IEEE MTT-V056-I02 (2008-02) [56, 02 ed.]


199 31 41MB

English Pages 308 Year 2008

Report DMCA / Copyright

DOWNLOAD PDF FILE

Table of contents :
010 - 04446043......Page 1
020 - 04446044......Page 3
030 - [email protected] 4
040 - [email protected] 13
050 - [email protected] 21
060 - [email protected] 29
070 - [email protected] 36
080 - [email protected] 43
090 - [email protected] 48
100 - [email protected] 63
110 - [email protected] 71
120 - [email protected] 82
130 - [email protected] 89
140 - [email protected] 98
150 - [email protected] 107
160 - [email protected] 115
170 - [email protected] 128
180 - [email protected] 136
190 - [email protected] 151
200 - [email protected] 157
210 - [email protected] 163
220 - [email protected] 174
230 - [email protected] 183
240 - [email protected] 192
250 - [email protected] 200
260 - [email protected] 210
270 - [email protected] 218
280 - [email protected] 230
290 - [email protected] 236
300 - [email protected] 242
310 - [email protected] 250
320 - [email protected] 258
330 - [email protected] 265
340 - [email protected] 273
350 - [email protected] 285
360 - [email protected] 297
370 - 04446046......Page 303
380 - 04446047......Page 304
390 - 04446048......Page 305
400 - 04446049......Page 306
410 - 04446050......Page 307
420 - 04446045......Page 308
Recommend Papers

IEEE MTT-V056-I02 (2008-02) [56, 02 ed.]

  • Commentary
  • FANTOMASPING
  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

FEBRUARY 2008

VOLUME 56

NUMBER 2

IETMAB

(ISSN 0018-9480)

PAPERS

Smart Antennas, Phased Arrays, and Radars Millimeter-Wave-Radar Sensor Based on a Transceiver Array for Automotive Applications .... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ... M. Steinhauer, H.-O. Ruoß, H. Irion, and W. Menzel A Monolithic Phased Array Using 3-bit Distributed RF MEMS Phase Shifters . ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... .... K. Topalli, O. A. Civi, S. Demir, S. Koc, and T. Akin 24-GHz Frequency-Modulation Continuous-Wave Radar Front-End System-on-Substrate ...... ... ...... . Z. Li and K. Wu

261 270 278

Active Circuits, Semiconductor Devices, and ICs A Subthreshold Low-Noise Amplifier Optimized for Ultra-Low-Power Applications in the ISM Band ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ....... A. V. Do, C. C. Boon, M. A. Do, K. S. Yeo, and A. Cabuk - and -Band Compact Octave Bandwidth 4-bit MMIC Phase Shifters ........ ......... ....... I. J. Bahl and D. Conway A 2-GHz GaAs HBT RF Pulsewidth Modulator . ........ ......... ......... . ........ ......... ........ M. Nielsen and T. Larsen A Compact Highly Reconfigurable CMOS MMIC Directional Coupler . ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ... M. A. Y. Abdalla, K. Phang, and G. V. Eleftheriades Fast Estimation of Spectral Spreading in GSM OPLL Transmitters Based on Folding Effects Analysis in Quadrature Phase Modulator ...... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .. H. Shin Analysis of a Fully Matched Saturated Doherty Amplifier With Excellent Efficiency ... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ....... J. Kim, J. Moon, Y. Y. Woo, S. Hong, I. Kim, J. Kim, and B. Kim 16.6- and 28-GHz Fully Integrated CMOS RF Switches With Improved Body Floating ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ... Q. Li, Y. P. Zhang, K. S. Yeo, and W. M. Lim

305

Signal Generation, Frequency Conversion, and Control A Triple-Tuned Ultra-Wideband VCO ... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... .... M. Tsuru, K. Kawakami, K. Tajima, K. Miyamoto, M. Nakane, K. Itoh, M. Miyazaki, and Y. Isota Analysis and Design of a Double-Quadrature CMOS VCO for Subharmonic Mixing at -Band ..... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ...... A. Mazzanti, E. Sacchi, P. Andreani, and F. Svelto

346

Millimeter-Wave and Terahertz Technologies Schottky Barrier Diode Circuits in Silicon for Future Millimeter-Wave and Terahertz Applications .... ......... ......... .. .. ........ ......... ......... ........ ......... ......... U. R. Pfeiffer, C. Mishra, R. M. Rassel, S. Pinkett, and S. K. Reynolds

286 293 300

320 328 339

355

364

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Wireless Communication Systems Multi-Lookup Table FPGA Implementation of an Adaptive Digital Predistorter for Linearizing RF Power Amplifiers With Memory Effects ........ ........ ......... ......... ... P. L. Gilabert, A. Cesari, G. Montoro, E. Bertran, and J.-M. Dilhac A New Wideband Adaptive Digital Predistortion Technique Employing Feedback Linearization ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ . J. Kim, Y. Y. Woo, J. Moon, and B. Kim CAD Algorithms and Numerical Techniques Phase-Noise Analysis of Injection-Locked Oscillators and Analog Frequency Dividers ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ....... F. Ramírez, M. Pontón, S. Sancho, and A. Suárez Efficient Algorithms for Crank–Nicolson-Based Finite-Difference Time-Domain Methods ..... ........ ......... E. L. Tan Exact Equivalent Straight Waveguide Model for Bent and Twisted Waveguides ......... ......... ........ ... D. M. Shyroki Filters and Muliplexers Modeling and Optimization of Compact Microwave Bandpass Filters ... ........ ... M. Bekheit, S. Amari, and W. Menzel A Compact Open-Loop Filter With Mixed Electric and Magnetic Coupling .... ......... ......... Q.-X. Chu and H. Wang Miniaturized Hexagonal Stepped-Impedance Resonators and Their Applications to Filters ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... R.-J. Mao, X.-H. Tang, L. Wang, and G.-H. Du RF Amplitude and Phase-Noise Reduction of an Optical Link and an Opto-Electronic Oscillator ...... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ .... D. Eliyahu, D. Seidel, and L. Maleki A Series Solution for the Single-Mode Synthesis Problem Based on the Coupled-Mode Theory ....... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ...... I. Arnedo, M. A. G. Laso, F. Falcone, D. Benito, and T. Lopetegi Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements Design Considerations of Miniaturized Least Dispersive Periodic Slow-Wave Structures .... C. Zhou and H. Y. D. Yang Vertical Topologies of Miniature Multispiral Stacked Inductors . ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. W.-Y. Yin, J.-Y. Xie, K. Kang, J. Shi, J.-F. Mao, and X.-W. Sun A Novel Approach to the Design and Implementation of Dual-Band Power Divider .... .... K.-K. M. Cheng and C. Law Instrumentation and Measurement Techniques Six-Port Reflectometer Based on Modified Hybrid Couplers .... ......... ......... ......... ......... ... J. J. Yao and S. P. Yeo Microwave (8–50 GHz) Characterization of Multiwalled Carbon Nanotube Papers Using Rectangular Waveguides .... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ... L. Wang, R. Zhou, and H. Xin Synthesis of a Wideband Multiprobe Reflectometer ..... ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... .... B. M. Kats, A. A. Lvov, V. P. Meschanov, E. M. Shatalov, and L. V. Shikova Comb-Generator Characterization ........ ........ .. ........ .... H. C. Reader, D. F. Williams, P. D. Hale, and T. S. Clement MEMS and Acoustic Wave Components Wafer-Scale Packaged RF Microelectromechanical Switches .... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ....... J. Muldavin, C. O. Bozler, S. Rabe, P. W. Wyatt, and C. L. Keast Novel High- MEMS Curled-Plate Variable Capacitors Fabricated in 0.35- m CMOS Technology ... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ...... M. Bakri-Kassem, S. Fouladi, and R. R. Mansour Photonic Generation of Chirped Millimeter-Wave Pulses Based on Nonlinear Frequency-to-Time Mapping in a Nonlinearly Chirped Fiber Bragg Grating ...... ........ ......... ......... ........ ......... ......... ..... C. Wang and J. Yao

372 385

393 408 414 420 431 440 449 457 467 475 487 493 499 507 515

522 530 542

Biological, Imaging, and Medical Applications FDTD Calculations of Specific Absorption Rate in Fetus Caused by Electromagnetic Waves From Mobile Radio Terminal Using Pregnant Woman Model ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ .. T. Togashi, T. Nagaoka, S. Kikuchi, K. Saito, S. Watanabe, M. Takahashi, and K. Ito

554

Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .

560

CALLS FOR PAPERS

Special Issue on RFID Hardware and Integration Technologies . ......... ........ ......... ...... .... ........ ......... ......... .

561

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $22.00 per year for electronic media only or $44.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE J. MODESKI, President D. HARVEY L. BOGLIONI S. M. EL-GHAZALY J. HAUSNER K. ITOH J. HACKER M. HARRIS

B. PERLMAN, President Elect L. KATEHI T. LEE B. KIM J. LIN N. KOLIAS

B. SZENDRENYI, Secretary A. MORTAZAWI A. ROSEN V. J. NAIR

Honorary Life Members T. ITOH A. A. OLINER

N. KOLIAS, Treasurer K. VARIAN K. WU R. WEIGEL R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

T. S. SAAD P. STAECKER

W. SHIROMA R. SNYDER

G. BOECK A. HAJIMIRI W. HOEFER

B. KIM V. LUBECKE L. MAURER

V. NAIR J. C. RAUTIO D. ROOT

Past Presidents P. SIEGEL R. SNYDER A. SUAREZ

J. S. KENNEY (2007) K. VARIAN (2006) K. C. GUPTA (2005)

MTT-S Chapter Chairs Albuquerque: L. H. BOWEN Atlanta: D. KOKOTOFF Austria: A. SPRINGER Baltimore: N. BUSHYAGER Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: M. QUDDUS Buffalo: VACANT Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: G. CHU Central & South Italy: G. D’INZEO Central No. Carolina: I. KATEEB Chengdu: Z. NEI Chicago: H. LIU Cleveland: M. SCARDELLETTI Columbus: M. CARR Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: M. POLIVKA Dallas: L. ZHANG Dayton: A. TERZUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: A. LUUKANEN Florida West Coast: K. O’CONNOR

Editors-In-Chief AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected] DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected]

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: H. DARAL/K. DIXIT North Queensland: M. V. JACOB Northern Nevada: B. S. RAWAT Norway: U. HANKE Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: X. GONG Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: W. J. KRZYSZTOFIK Portugal: C. PEIXEIRO Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: G. LOJEWSKI Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: M. SAYED Seattle: W. P. HALL Seoul Council: H.-Y. LEE Serbia Montenegro: B. MILOVANOVIC Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: R. V. MESCHERIAKOV Singapore: A. ALPHONES

South Africa: P. W. VAN DER WALT South Australia: B. BATES South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: C. FUMEAUX Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: VACANT Turkey: I. TEKIN Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. NOSICH Ukraine, Rep. of Georgia: D. KAKULIA Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV ˇ Venezuela: J. PENA Victoria: K. GHORBANI Virginia Mountain: T. WINSLOW Washington DC/Northern Virginia: B. LEVINE Winnipeg: V. OKHMATOVSKI Youngnam/Taegu: Y.-H. JEONG

Associate Editors DANIEL DE ZUTTER Universiteit Gent Belgium email: [email protected] YOUNGWOO KWON Seoul Nat. Univ. Korea email: [email protected]

M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine

JENSHAN LIN Univ. of Florida USA email: [email protected] JOSÉ PEDRO Univ. of Aveiro Portugal email: jcp.mtted.av.it.pt

ZOYA POPOVIC Univ. of Colorado, Boulder USA email: [email protected] SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] RICHARD SNYDER RS Microwave Company USA email: [email protected] G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

KE-LI WU Chinese Univ. of Hong Kong Hong Kong email: [email protected] RUEY-BEEI WU National Taiwan Univ. Taiwan, R.O.C. email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers JOHN BAILLIEUL, Vice President, Publication Services and Products LEWIS M. TERMAN, President JOHN R. VIG, President-Elect JOSEPH V. LILLIE, Vice President, Member and Geographic Activities BARRY L. SHOOP, Secretary GEORGE W. ARNOLD, President, IEEE Standards Association DAVID G. GREEN, Treasurer J. ROBERTO B. DE MARCA, Vice President, Technical Activities LEAH H. JAMIESON, Past President RUSSELL J. LEFEVRE, President, IEEE-USA EVANGELIA MICHELI-TZANAKOU, Vice President, Educational Activities EDWARD DELLA TORRE, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer MATTHEW LOEB, Corporate Strategy & Communications BETSY DAVIS, SPHR, Human Resources RICHARD D. SCHWARTZ, Business Administration ANTHONY DURNIAK, Publications Activities CHRIS BRANTLEY, IEEE-USA JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities CECELIA JANKOWSKI, Member and Geographic Activities SALLY A. ERICKSEN, CIO-Information Technology DOUGLAS GORHAM, Educational Activities IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $96.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2008 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada.

Digital Object Identifier 10.1109/TMTT.2008.917703

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

261

Millimeter-Wave-Radar Sensor Based on a Transceiver Array for Automotive Applications Matthias Steinhauer, Member, IEEE, Hans-Oliver Ruoß, Member, IEEE, Hans Irion, and Wolfgang Menzel, Fellow, IEEE

Abstract—This paper describes a new radar sensor architecture comprising an array of transceiver modules. Target applications of the sensor are automotive driver assistance systems. In conjunction with a monolithic integration of each transceiver, the concept offers the possibility for a cost-effective realization of digital-beamforming radar sensors at millimeter-wave frequencies. A modulation sequence is investigated based on simultaneously transmitted frequency-modulated continuous-wave signals, which are separated by frequency multiplexing. Appropriate signal processing for the estimation of range, speed, and azimuth angle in multiple object situations is presented. Experimental results with an eight-channel radar sensor in the 76–77-GHz frequency band are presented, which demonstrate the feasibility of the proposed architecture and show the performance of the modulation sequence and signal processing. Index Terms—Array, automotive radar, digital beamforming (DBF), frequency-modulated continuous wave (FMCW), transceiver. Fig. 1. Block diagram of a typical DBF radar sensor frontend.

I. INTRODUCTION ILLIMETER-WAVE (MMW) radar is an important sensing principle for automotive driver assistance systems. The main automotive application of radar sensors today is adaptive cruise control, which is based on forward-looking long-range sensors in the 76–77-GHz frequency band covering a range up to 150 m and an azimuth field of view of 8 . According to the evolution of driver assistance systems towards active safety systems, the radar sensors will also be employed for functions such as collision warning, brake assistance, or automatic emergency braking. These applications demand a higher detection performance compared to current radar sensors. The azimuthal angular resolution has to especially be improved significantly to values below 2 to achieve a better object separation [1], [2]. Simultaneously, a larger field of view is required. A suitable sensor architecture for improved angular detection performance is an antenna array with beamforming or high-resolution direction of arrival (DOA) estimation in the digital do-

M

Manuscript received February 1, 2007; revised August 28, 2007. M. Steinhauer is with Chassis Systems Control, Robert Bosch GmbH, D-74232 Abstatt, Germany ([email protected]). H.-O. Ruoß is with Automotive Electronics, Robert Bosch GmbH, D-72703 Reutlingen, Germany ([email protected]). H. Irion is with Gasoline Systems, Robert Bosch GmbH, D-71701 Schwieberdingen, Germany ([email protected]). W. Menzel is with the Institute of Microwave Techniques, University of Ulm, D-89069 Ulm, Germany ([email protected]). Digital Object Identifier 10.1109/TMTT.2007.914635

main. A typical digital beamforming (DBF) frontend architecture is shown in Fig. 1. The main circuit blocks are a signal source, which feeds a single transmit antenna, and an array of receivers where a part of the transmit signal is used as a local oscillator signal to down-convert the RF signals to baseband. The cost associated with this architecture has prohibited its implementation for automotive radar sensors thus far. Different concepts based on switching in the transmit or receive paths have been proposed to reduce the required number of receiver channels [2]–[7]. However, switching operation at MMW frequencies of 76 GHz introduces significant losses, which are disadvantageous in the receive path due to an increased noise figure and in the transmit path due to the limited transmit power of monolithic signal sources. Switching typically also requires an increased bandwidth in the baseband, which additionally increases noise power and, therefore, reduces system sensitivity. A different approach to reduce the cost of an array sensor architecture is pursued with the sensor concept proposed in this paper. The proposed architecture comprises an array of transmit–receive modules, which can be cost-effectively implemented by monolithically integrating each transceiver module including antenna structures, thereby avoiding interconnects at MMW frequencies. II. SENSOR CONCEPT A block diagram of the radar sensor frontend is depicted in Fig. 2. The channels of the array are realized as identical transmit–receive modules instead of receivers in a conventional

0018-9480/$25.00 © 2008 IEEE

262

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. Principle block diagram of proposed transceiver array frontend.

array frontend architecture. This arrangement is advantageous from a cost perspective in combination with a monolithic integration of each transceiver including antenna structures. The monolithic integration of the transceiver modules allows an assembly without the need of interconnects and wire bonds in the carrier frequency range of 76 GHz as no MMW signal has to be distributed between the transceivers. Therefore, the assembly is simplified, and a standard printed circuit board substrate material can be used. The multiple deployment of one monolithic microwave integrated circuit (MMIC) also leads to a high modularity of the sensor concept. In principle, all basic radar modulation signals as FMCW, pulse Doppler, or pseudonoise phase coding can be used as transmit signals of the transceiver modules. The FMCW modulation is chosen here because of the low complexity required for the transceiver modules and the efficient use of available signal power. The availability of independent signal sources for each array module facilitates the formation of different transmit–receive configurations of the array. A favorable configuration is the monostatic transmit–receive mode of each transceiver with homodyne conversion of the received signals, as depicted in Fig. 2. The main advantage of this configuration is the elimination of the carrier signal phases by the homodyne mixing process whereby the need for exact phase synchronization of the sources is avoided. A prerequisite of this mode is the decoupling of the modules to avoid transmitter interference. The modulation schemes developed for switched FMCW arrays represent a time-domain multiplex of the channels and could, therefore, be applied to the transceiver array. Examples are the processing of sequential fast frequency-modulated continuous-wave (FMCW) sweeps [6], [7] or the fragmentation of a single FMCW sweep upon the different channels [2]. Here, a different modulation scheme is proposed, making use of the available signal sources in each channel. The time–frequency relation of the transmit signals of the different sources is depicted in Fig. 3. All sources are transmitting simultaneously, and transmitter interference is avoided by frequency multiplexing of the carrier frequencies. Advantages of this modulation scheme are the avoidance of switching operations and the minimized cycle time due to the simultaneous transmission. By the prevention of switching, a better system sensitivity can

Fig. 3. Time section of the transmit signals with frequency multiplex between the different transceiver modules.

be achieved, and no additional signal processing effort is required to eliminate potential ambiguities resulting from aliasing effects. The FMCW transmit signal of the th source can be described as (1) and are the bandwidth and duration of the moduwhere lation, respectively, is the reference carrier frequency, is the frequency shift between the carrier frequencies of adjacent is the constant carrier signal phase of the th modules, and source. A received echo signal of the th module, resulting from a reflection of its own transmit signal at a moving object, is an attenuated and time-delayed replica of the transmit signal with a Doppler shift of the carrier frequency

(2) where is the attenuation, is the object range to the reference module, is the object speed, and is the free-space propagation velocity. The baseband signal results from the multiplication of transmit and receive signal. For further analysis, only the phase of the resulting signal is relevant. Taking the module at the left edge of the array as the reference, the phase of the baseband signal of the th module can be expressed after some approximations as

(3) where is the azimuth angle of the incoming signal, is the free-space wavelength, and is the element spacing of the array.

STEINHAUER et al.: MMW-RADAR SENSOR BASED ON TRANSCEIVER ARRAY FOR AUTOMOTIVE APPLICATIONS

263

Baseband signals resulting from transmit signals of all other modules can be suppressed by a low-pass filter as they are . shifted by the transmit frequency difference The time-dependent phase term in (3) represents the baseband frequency, which is dependent on distance and velocity of an object (4) The progression of the time-independent baseband signal phase along the array corresponds to the phases of the steering vector components and is dependent on the angle of arrival of the incoming signal. Additionally the phase progression of the reflecting object. This is dependent on the distance relation is caused by the carrier frequency shift between the transceiver modules. By evaluating time-shifted sections of the baseband signals, an effective time and frequency shift is realized between the FMCW signals of adjacent modules, as depicted in Fig. 3. For the effective time and frequency shift and the physical frequency shift, the following relation holds: (5) and are the effective frequency and time shifts, where respectively. This leads to a total phase progression along the array, which is dependent on azimuth angle, distance, and velocity of an object (6) The result is a virtual shift of the detected azimuth angle according to (7) with the estimated azimuth angle , the real azimuth angle , and the object velocity and distance and . Furthermore, the phase lags between adjacent modules are doubled compared to a conventional receiver array with a single transmit source. This results from the simultaneous variation of transmitter and receiver location due to the monostatic processing of the respective transmit and receive signals as the phase lags of transmit and receive path are added [3]–[5]. The addition of the phase lags is, in the current case, equivalent to a doubling of the physical aperture of the array. Therefore, a required angular resolution can be achieved with a smaller physical aperture. As the number of elements is kept constant, the unambiguous angular range determined by the distance of grating lobes of the array factor is reduced to

Fig. 4. Modulation sequence.

baseband frequency according to (4). A common approach to resolve the ambiguity of the baseband frequency is the processing of several FMCW sweeps with different modulation parameters, e.g., a triangular waveform with an ascending and a descending frequency sweep [8]. This yields two linear equations, which can be solved unambiguously only for a single object. In mulpostiple object situations with objects, this leads to sible objects, and the so-called ghost targets have to be resolved by further FMCW sweeps. This results in a high processing effort, and the risk of unresolved ghost targets remains. The unambiguous estimation of distance and speed is possible by the utilization of frequency- or time-shifted FMCW sweeps by using the additional phase information [9], [10]. The underlying principle is the dependency of the baseband phase difference from distance and velocity when applying a time or frequency shift. With the system concept and modulation scheme proposed here, the virtual shift of the estimated azimuth angle from the actual value can be deployed to extract the relevant phase information. A suitable modulation sequence is represented by the first two FMCW sweeps depicted in Fig. 4. In the first step of the signal processing, baseband frequencies and associated azimuth angles are estimated for any reflected signal within each sweep. Due to the identical sweep rate of each channel, equal baseband frequencies result for each channel. The baseband frequencies can be efficiently estimated by a fast Fourier transform (FFT) and subsequent peak detection. The associated azimuth angles are estimated by the application of DBF algorithms to the amplitude and phase information in the frequency domain. For the ease of calculation, the wavenumber in direction of the array (9) is used instead of the azimuth angle, assuming the -axis is oriented along the array. Rewriting (7) results in

(8) (10) III. MODULATION SEQUENCE AND SIGNAL PROCESSING The additional dependence of the estimated azimuth angle from object distance and speed according to (7) can be deployed for the unambiguous estimation of distance and speed. A drawback of the FMCW modulation is the coupling of and in the

Together with the baseband frequency, one FMCW sweep results in two linear independent equations, which are connected for each object, containing and . The equations cannot be directly solved, as after (10), the estimated wavenumber is also dependent on the unknown actual wavenumber . Two

264

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

consecutive FMCW sweeps according to the first two sweeps in Fig. 4 can be used to eliminate , as its value can be assumed to stay constant during the measurement cycle. Using a different carrier frequency shift between adjacent transceivers in each sweep, two linear independent equations result, which can be subtracted to yield

TABLE I ARRAY, MODULATION, AND SIGNAL PARAMETERS DEPLOYED FOR VARIANCE CALCULATION

(11) From (11) and (4),

and

can be directly estimated as (12) (13)

The actual azimuth angle can subsequently be calculated from (7) using the estimated distance and velocity. In general, the achievable accuracy of distance and velocity estimation using (12) and (13) is lower compared to the use of two independent baseband frequencies. This is due to the lower accuracy of angle or phase estimation compared to frequency estimation. Therefore, if a higher accuracy is required, a third FMCW sweep can be used, as depicted in Fig. 4. Using the resulting baseband frequency, the estimation accuracy from the first step according to (12) and (13) is improved. A. Unambiguous Range The phase difference or angle difference, respectively, involved in the distance and velocity estimation according to (12) and (13) is ambiguous. The unambiguous phase range corresponds to , where is the phase difference between the baseband signals of adjacent transceivers. The relevant phase difference between the baseband signals of two adjacent transceivers can be derived from (11) as (14) Considering the unambiguous phase range, the effective time and frequency shifts for unambiguous distance and velocity estimation must satisfy the following relation: (15) where the unambiguous distance range is assumed as and the unambiguous velocity range as

.

The estimation accuracy is dependent on the variance of freand angle estimation , respectively. quency estimation For the modulation parameters and unambiguous distance and velocity ranges listed in Table I, the minimum standard deviation is achieved for maximum admissible effective time shift and zero effective frequency shift between adjacent transceiver signals. Here, the maximum admissible effective time shift equals s after (15). Using an FFT of length 512 with subsequent maximum detection and center of gravity estimation, a standard deviation for can the frequency estimation of approximately be achieved for a signal of length . As a practical estimation accuracy for estimation of the azimuth angle, a standard devicorresponding to a standard deviation of ation of m is assumed here, which is achieved with the experimental radar sensor described in Section IV. The resulting standard deviations for the estimation of and can, therefore, be calculated as m m/s

(18) (19)

It has to be considered that deviations of the nominal frequency offset between the carrier frequencies lead to a bias in the estimation of distance and velocity. A synchronization of the carrier frequencies is, therefore, required to achieve the estimation accuracy derived above.

B. Estimation Accuracy The variance of the estimation of and using (12) and (13) can be derived by error propagation as (16)

(17)

IV. EXPERIMENTAL RADAR SENSOR A prototypical radar sensor for the 76–77-GHz frequency range has been realized to investigate the feasibility of the system concept with emphasis on the modulation sequence and signal-processing scheme. A block diagram of the sensor is depicted in Fig. 5. The sensor comprises eight parallel transmit–receive circuits, which are realized in a hybrid assembly of MMICs and discrete components on an organic

STEINHAUER et al.: MMW-RADAR SENSOR BASED ON TRANSCEIVER ARRAY FOR AUTOMOTIVE APPLICATIONS

265

Fig. 6. RF circuit board. Fig. 5. Block diagram of experimental radar sensor consisting of eight separate transmit–receive modules.

high-frequency substrate. A hybrid assembly on a high-frequency laminate is used, as no fully monolithic transceiver modules were available yet. The essential properties of the sensor concept can be evaluated independent from the assembly technology. In parallel, a development of monolithic transceiver modules for MMW frequencies is ongoing. In a first step, the feasibility of key components as oscillators and mixers for frequencies beyond 100 GHz is shown in an SiGe–BiCMOS technology [11]. At the current prototypic sensor, MMIC oscillators at a nominal frequency of 38 GHz are used as signal sources. For each channel, a subsequent frequency doubler and power amplifier MMIC transfers the oscillator signal to the target frequency range and provides a typical output power of 18 dBm. The mixers are realized as transfer mixers consisting of a single series diode. A part of the source signal is coupled with a directional coupler and a bandpass filter to a harmonic mixer, where it is converted to an intermediate frequency of 2 GHz. A 18.6-GHz dielectric resonator oscillator provides the local oscillator signal for all eight channels. Its signal is distributed to the eight subharmonic mixers by a three-stage Wilkinson power-divider network. The nonlinear component of the subharmonic mixers is a single diode of the same type, as it is used for the receiving mixers. A 15-MHz quartz oscillator is used as a common reference source for the phase locked-loop (PLL) circuits. The antennas consist of half-wavelength microstrip patches as radiating elements with dielectric rods on top. The dielectric rods have a lower cylindric and an upper conical section with an overall length of approximately 8 mm. The geometric parameters as length, diameter, and taper of the conical section have been optimized with the help of a full-wave electromagnetic simulation to achieve an efficient illumination of the subsequent lens. A cylindric dielectric lens is deployed to obtain a narrow elevation beam and an increased antenna gain. The influence on the azimuth radiation characteristic is intentionally low to achieve the largest possible beam overlap of the eight channels. A spacing of the patch antennas of one free-space wavelength is chosen.

A photograph of the RF frontend is depicted in Fig. 6. In the center, the MMICs for the signal sources are recognizable. The output signals are transferred to the antenna circuits by microstrip lines whose length varies between the transceivers due to assembly constraints. The polyrod antennas are visible at the top of the picture. The attenuation of the transmission lines between signal source and transfer mixers varies from 1.5 to 5 dB due to the different line lengths. The insertion loss of the transmit path of the mixer amounts to 3 dB. With the typical output power of the frequency doubler of 18 dBm from 76 to 77 GHz, the signal power at the antenna feed points can be estimated to vary from 10 to 13.5 dBm among the channels. The low-frequency circuits as PLL application-specific integrated circuits (ASICs), baseband amplifiers and filters are assembled on an FR4 substrate, which is laminated to the backside of the RF substrate. For the sensor control and data acquisition, a separate board with a Motorola MPC5200 microprocessor is used. The microprocessor is controlled from a PC using LabView, and a controller area network (CAN) interface is used for communication. The bandwidth of the system is limited by the maximum sweep bandwidth of the PLL-ASIC to approximately 500 MHz. V. MEASUREMENT RESULTS A. Phase Noise of Transmit Signals For characterization of the phase noise of the sources, the transmitted signals at a fixed frequency of approximately 76.5 GHz are received by means of a horn antenna close to the sensor. A 40-GHz spectrum analyzer with an external -band downconverter is used to measure the power spectrum. The power spectrum of one channel is depicted in Fig. 7. The phase noise can be estimated to approximately 80 dBc/Hz at 100-kHz offset from the carrier. At this measurement, the frequency spacing between the transmit signals of different channels is 18 MHz. With decreasing frequency spacing, the phase noise increases due to parasitic coupling between the PLLs. For

266

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 7. Power spectrum of transmit signal of one transceiver channel.

Fig. 8. Normalized two-way single-channel azimuth and elevation radiation pattern.

all measurement results, presented below, the same frequency spacing of 18 MHz is chosen.

Fig. 9. Normalized array pattern for reflector at 0 with 25-dB Chebyshev weighting applied to the baseband signals.

The azimuth pattern has a sector-like form with a 6-dB two-way beamwidth, corresponding to the one-way 3-dB beamwidth of approximately 52 , and a steep transition from the main lobe to the sidelobe region. The elevation pattern has a 6-dB two-way beamwidth of 4 due to the dielectric lens. The highest sidelobes are approximately 30 dB below the main lobe for the two-way pattern. 2) Array Pattern: The array pattern resulting after array calibration is depicted in Fig. 9. A Chebyshev window with 25-dB sidelobe suppression is applied to the signals of the eight channels. Array calibration has been performed using the algorithm described in [12]. The 3-dB beamwidth of the main lobe is 3.9 and the first zero of the array pattern is located at 4.6 . Grating lobes occur at 30 and 90 , which result from the effective spacing of the antennas of two wavelengths due to the synthetic doubling of the physical spacing when monostatic transmit–receive processing is applied. The Chebyshev weighting is effective only for sidelobes beyond 10 . The close-in sidelobes are limited to approximately 20 dB below the main lobe due to calibration imperfections. It has to be noted that the dynamic range with respect to interfering signals beyond 30 is substantially enhanced due to the single-channel pattern. C. Range and Velocity Estimation

B. Antenna Radiation Pattern 1) Single-Channel Pattern: With monostatic operation of the transceiver modules, the effective antenna characteristics correspond to the two-way radiation pattern. This characteristic is measured here for all eight transceivers by analyzing the peak power of the FMCW baseband signals resulting from the reflected power of a corner reflector in a fixed distance of 6 m. The measured two-way azimuth and elevation characteristics of one channel are depicted in Fig. 8. The antenna characteristic is normalized to the maximum baseband signal power. A simulation of the antenna system including losses shows an absolute antenna gain of 20 dB.

1) Static Objects: The estimation of range and velocity using the principle modulation scheme, as presented in Section III, has been investigated experimentally for static and dynamic objects. The static measurement setup consists of a corner reflector in a distance of approximately 6 m to the sensor. The size of the reflector is varied to analyze the influence of signal-to-noise ratio. The whole setup is placed in an anechoic chamber. Sweep bandwidth and duration of the FMCW sweep are chosen as MHz and ms for all eight signal sources. The carrier frequency difference between the transmit signals of adjacent modules is chosen large enough to allow a decoupling of the transceiver signals by filtering in the baseband.

STEINHAUER et al.: MMW-RADAR SENSOR BASED ON TRANSCEIVER ARRAY FOR AUTOMOTIVE APPLICATIONS

Fig. 10. Standard deviation of the direct estimation of R from (12) in dependence of effective frequency shift f and signal-to-noise ratio.

Fig. 11. Standard deviation of the direct estimation of R from (12) in dependence of effective time shift t and signal-to-noise ratio.

The standard deviation for the distance estimation is depicted in Figs. 10 and 11 for different effective frequency shifts and different effective time shifts, respectively. The measured standard deviation for velocity estimation is not depicted. It shows qualitatively equal results due to the coupling of and according to (4). The standard deviation is estimated from the results of 15 measurements for each parameter. Here, time and frequency shift denote the difference of the effective time or frequency shift of adjacent transmit signals between the first two commensurable frequency sweeps according to Fig. 4. Physically, the frequency shift is varied. The effective time and frequency shifts are applied by analyzing appropriately time-shifted sections of the baseband signals. Additionally, the theoretical curves for the standard deviation after (16) at a signal-to-noise ratio of 20 dB are depicted, assuming a standard deviation of angle estimaand of baseband frequency estimation of tion of

267

Fig. 12. Estimated distance of an approaching target for estimation of R from (12) and using two independent baseband frequencies.

, which are realistic values for the experimental sensor. The measured curves show the principal reciprocal relationship of the standard deviation to the effective time and frequency shifts according to (16). The standard deviation decreases, as expected, with increasing signal-to-noise ratio. Considering the required unambiguous distance range of 200 m and unambiguous velocity range of 250 km/h, the maximum s and the maximum frepermissible time shift is quency shift is kHz. For a signal-to-noise ratio of 20 dB, the resulting standard deviation for a time shift of 14 s cm. The corresponding standard deviation for the is m/s. velocity estimation yields 2) Dynamic Objects: The estimation of and using the proposed signal-processing scheme utilizes differential phase information from two consecutive FMCW sweeps, as depicted in the modulation cycle in Fig. 4. A prerequisite for unbiased range and velocity estimation is that the azimuth angle of a target shows a negligible change between the two measurements as the azimuth angle is assumed as equal. To confirm the validity of this assumption, measurements with dynamic objects have been conducted. In Fig. 12, the results of distance estimation over time for a measurement setup with a static sensor and a car approaching the sensor are depicted. During the measurement, the car is accelerating from 10 to 30 km/h. The results of direct estimation of and are shown for an effective frequency shift kHz and for an effective time shift of only of only s. Additionally the results of the following distance and velocity estimation are depicted using the baseband frequencies of the second FMCW sweep and a third sweep with different frequency slope. The results show that the distance and velocity estimation deploying differential phase information is reliably applicable to dynamic scenarios. D. Azimuth Angle Estimation For the evaluation of angular resolution in the azimuth direction, a measurement setup with two equidistant corner reflec-

268

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 13. Estimated azimuth angles of two equidistant corner reflectors with an increasing lateral displacement. Conventional beamforming with 25-dB Chebyshev weighting.

tors in a distance of 5 m to the sensor is used. The lateral displacement of the reflectors is varied from 8 cm to 80 cm, corresponding to azimuth angle differences between approximately 1 and 9.4 . The processing of the azimuth angle is applied to the baseband signals after transformation to the frequency domain. In principle, all beamforming and DOA estimation techniques can be applied to the signals. Here, at first the performance of the Bartlett beamforming algorithm, below referred to as conventional beamforming, is investigated. Previous study has shown the potential of high-resolution DOA techniques like MUSIC and ESPRIT [13] applied to automotive radar sensors for carrier frequencies of 24 GHz [14] and 77 GHz [2]. By the possible improvement of the resolution limit beyond that of conventional beamforming, the required aperture size can be reduced. To show the angular resolution achievable with the current prototypic sensor, the baseband signals have, therefore, additionally been processed using the Root-MUSIC algorithm [15]. In Fig. 13, the results of the azimuth angle estimation using the conventional beamforming algorithm with 25-dB Chebyshev weighting of the eight channels are depicted. The variation of the estimation results of 15 measurements is shown by the length of the bars. The two corner reflectors are resolved for angular displacements larger than approximately 6.2 . For an , a theoretical resolution array with a physical aperture of limit of approximately 9.8 for the single aperture and of 4.9 for the double aperture can be estimated from the occurrence of the first zero of the array pattern. It is evident that the resolution limit of the single aperture is nearly improved by a factor of 2. The results of the DOA estimation using the Root-MUSIC algorithm are depicted in Fig. 14. The covariance matrix of the array has been averaged over two sub-arrays of seven elements to increase the robustness of the estimation against nonwhite noise and coherent signals. The results show an angular resolution limit of 1.7 , which is more than a threefold improvement over conventional beamforming.

Fig. 14. Estimated azimuth angles of two equidistant corner reflectors with an increasing lateral displacement. Root-MUSIC algorithm.

VI. CONCLUSION A radar sensor concept based on an array of transceiver modules has been presented, which enables the cost-effective realization of electronic beamforming radar sensors at MMW frequencies in conjunction with a monolithic integration of each transceiver. A suitable modulation sequence, based on FMCW signals and appropriate signal processing has been described, which enables the unambiguous estimation of range and velocity in multiple object situations. An experimental radar sensor comprising eight transceiver channels in a hybrid assembly for the 76–77-GHz frequency band has been realized to show the feasibility of the sensor concept. The performance of the modulation sequence has been evaluated and the achieved estimation accuracy is in good agreement with the theoretical results. The performance of azimuth angle estimation and the high object separation capability has been shown for the Bartlett beamformer and for the Root-MUSIC DOA estimation algorithm. REFERENCES [1] J. Wenger, “Automotive radar—Status and perspectives,” in IEEE CSIC Symp. Dig., 2005, pp. 21–24. [2] Y. Asano, S. Oshima, T. Harada, and M. Ogawa, “Proposal for holographic radar with antenna switching,” Res. Develop. Rev. Toyota CRDL, vol. 37, no. 2, pp. 1–6, 2002. [3] N. Kees, E. Schmidhammer, and J. Detlefsen, “Improvement of angular resolution of a millimeter wave imaging system by transmitter location multiplexing,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 969–972. [4] W. Mayer, A. Gronau, W. Menzel, and H. Leier, “A compact 24 GHz sensor for beam-forming and imaging,” in 9th Int. Control, Autom., Robot., Vision Conf., Singapore, Dec. 2006, pp. 153–158. [5] S. Tokoro, K. Kuroda, A. Kawakubo, K. Fujita, and H. Fujinami, “Electronically scanned millimeter-wave radar for pre-crash safety and adaptive cruise control system,” in IEEE Intell. Veh. Symp., 2003, pp. 304–309. [6] L. Yang, L. Liwan, P. Weifeng, C. Yaqin, and F. Zhenghe, “Signal processing method for switch antenna array of the FMCW radar,” in Proc. IEEE Radar Conf., 2001, pp. 289–293.

STEINHAUER et al.: MMW-RADAR SENSOR BASED ON TRANSCEIVER ARRAY FOR AUTOMOTIVE APPLICATIONS

[7] V. Katkovnik, M. S. Lee, and Y. H. Kim, “High-resolution signal processing for a switch antenna array FMCW radar with a single channel receiver,” in Proc. Sensor Array and Multichannel Signal Process. Workshop, 2002, pp. 543–547. [8] M. Skolnik, Radar Handbook. New York: McGraw-Hill, 1970. [9] S. Kemkemian and P. Lacomme, “Method and device for frequency modulated continuous-wave radar detection with removal of ambiguity between distance and speed,” U.S. Patent 005963163A, Oct. 10, 1999. [10] H. Rohling and M.-M. Meinecke, “Waveform design principles for automotive radar systems,” in CIE Int. Radar Conf., 2001, pp. 1–4. [11] M. Steinhauer, H. Irion, M. Schott, M. Thiel, O. Ruoss, and W. Heinrich, “SiGe-based circuits for sensor applications beyond 100 GHz,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 223–226. [12] C. M. S. See, “Method for array calibration in high-resolution sensor array processing,” in IEEE Proc. Radar, Sonar, Navigation, 1995, vol. 142, no. 3, pp. 90–96. [13] H. Krim and M. Viberg, “Two decades of array signal processing research,” IEEE Signal Process. Mag., pp. 67–94, 1996. [14] M. Schneider et al., “Automotive short range radar (SRR) sensors at 24 GHz with smart antennas,” in Proc. German Radar Symp., Bonn, Germany, 2002, pp. 175–179. [15] A. J. Barabell, “Improving the resolution performance of eigenstructure-based direction-finding algorithms,” in Proc. ICASSP’83, Boston, MA, 1983, pp. 336–339.

Matthias Steinhauer (S’03–M’06) received the M.S. (Dipl.-Ing.) degree in electrical engineering from the Technical University of Aachen, Aachen, Germany, in 2002, and the Ph.D. (Dr.-Ing.) degree from the University of Ulm, Ulm, Germany, in 2007. From 2002 to 2005, he was with the RF Group, Research and Development Division, Robert Bosch GmbH. Since 2005, he has been a Development Engineer of magnetic rotational speed sensors with Chassis Systems Control, Robert Bosch GmbH, Abstatt, Germany. His research interests include radar sensor system aspects, FMCW-radar signal processing, and monolithic MMW oscillator design.

Hans-Oliver Ruoß (M’96) was born in Esslingen, Germany, on April 3, 1968. He received the M.S. (Dipl.-Ing.) and Ph.D. (Dr.-Ing.) degrees in electrical engineering from the University of Stuttgart, Stuttgart, Germany, in 1994 and 1998, respectively. From 1994 to 1998, he was with the Institut für Hochfrequenztechnik, where his main interests were antennas, electromagnetic compatibility (EMC), and numerical techniques in electromagnetics. Since 1998, he has been with the Robert Bosch Company, Reutlingen, Germany, where from 2001 to 2006, he

269

was Head of the RF Group, Research and Development Division. His research interests during this time were RF and radar sensors, RF power applications, and wireless data transmission. From 2006 to 2007, he was Head of the EMC Group for electronic control unit (ECU) development within Gasoline Systems, Robert Bosch Company, where, since 2007, he has been Director of the Electromagnetic Compatibility Division, Automotive Electronics. Dr. Ruoß was the recipient of the 1995 Best Paper Award presented at the ITG Conference on Mobile Communications, Ulm, Germany, and the 1997 Antenna Conference Prize presented at the Institution of Electrical Engineers (IEE) 10th International Conference on Antennas and Propagation, Edinburgh, U.K.

Hans Irion was born in Stuttgart, Germany, on September 11, 1967. He received the M.S. degree [Dipl.-Ing. (FH)] in communications engineering from the University of Applied Sciences Esslingen, Esslingen, Germany, in 1995. Since 1995, he has been with the Robert Bosch Company, Schwieberdingen, Germany, where from 1995 to 2004, he was a member of the RF Group, Research and Development Division. His research interests there were RF and radar sensors and integrated RF circuits. Since 2005, he has been a Project Manager for electromagnetic compatibility simulation with Gasoline Systems, Robert Bosch Company.

Wolfgang Menzel (M’89–SM’90–F’01) received the Dipl.-Ing. degree in electrical engineering from the Technical University of Aachen, Aachen, Germany, in 1974, and the Dr.-Ing. degree from the University of Duisburg, Duisburg, Germany, in 1977. From 1979 to 1989, he was with the Millimeter-Wave Department, AEG [now the European Aerospace, Defense, and Space Systems (EADS)], Ulm, Germany . From 1980 to 1985, he was Head of the Laboratory for Integrated Millimeter-Wave Circuits. From 1985 to 1989, he was Head of the entire Millimeter-Wave Department. During that time, his areas of interest included planar integrated circuits (mainly on the basis of fin-line techniques), planar antennas, and systems in the MMW frequency range. In 1989, he became a Full Professor with the Institute of Microwave Techniques, University of Ulm, Ulm, Germany. His current areas of interest are multilayer planar circuits, waveguide filters and components, antennas, MMW and microwave interconnects and packaging, and MMW application and system aspects. Dr. Menzel was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2005). From 1997 to 1999, he was a Distinguished Microwave Lecturer for Microwave/Millimeter Wave Packaging. From 1997 to 2001, he chaired the German IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter. He was the recipient of the 2002 European Microwave Prize.

270

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

A Monolithic Phased Array Using 3-bit Distributed RF MEMS Phase Shifters Kagan Topalli, Student Member, IEEE, Özlem Aydin Civi, Senior Member, IEEE, Simsek Demir, Member, IEEE, Sencer Koc, and Tayfun Akin, Member, IEEE

Abstract—This paper presents a novel electronically scanning phased-array antenna with 128 switches monolithically implemented using RF microelectromechanical systems (MEMS) technology. The structure, which is designed at 15 GHz, consists of four linearly placed microstrip patch antennas, 3-bit distributed RF MEMS low-loss phase shifters, and a corporate feed network. metal–air–metal capacitors are MEMS switches and highemployed as loading elements in the phase shifter. The system is fabricated monolithically using an in-house surface micromachining process on a glass substrate and occupies an area of 6 cm 5 cm. The measurement results show that the phase shifter can provide nearly 20 /50 /95 phase shifts and their combinations at the expense of 1.5-dB average insertion loss at 15 GHz for eight combinations. It is also shown by measurements that the main beam can be steered to required directions by suitable settings of the RF MEMS phase shifters. Index Terms—Microelectromechanical systems (MEMS), micromachining, microwave, phased array, phase shifter.

I. INTRODUCTION

O

VER THE past decade, RF microelectromechanical systems (MEMS) technology has offered solutions for the implementation of novel components and systems [1], [2]. This technology promises to solve many limitations of other technologies, especially for high-frequency applications. RF MEMS enables the realization of reconfigurable components such as switches, capacitors, and phase shifters with low insertion loss, low power consumption, and high linearity compared to the conventional techniques. One of the important components of the RF MEMS technology is to implement RF MEMS phase shifters for phased-array applications that require better performance than the arrays with conventional phase shifters in terms of losses and size. Phased arrays consist of multiple stationary radiating elements each of which are fed by tunable phase or time-delay control units to steer the beam [3], [4]. Phased arrays are generally implemented using separately produced components such as a feed network, phase shifters, and antennas. Hybrid connection

of these components not only increases the system size, but also introduces parasitic effects, packaging cost, and losses. In order to eliminate these drawbacks, there is a need to produce these components on the same substrate, forming a monolithic phased array, which is possible with the enabling RF MEMS technology [5], [6]. This paper presents a novel monolithic electronically scanning array using the RF MEMS technology. The phased-array system in this study is designed to operate at 15 GHz, and it employs 3-bit distributed MEMS transmission line (DMTL) type phase shifters. These phase shifters are monolithically integrated with the feed network and four linearly placed microstrip patch antennas on the same substrate [6]. The use of MEMS phase shifters in a phased array offers some advantages. First, MEMS phase shifters have low-loss performance with nearly zero dc power consumption compared to semiconductor-based counterparts [7], [8]. Moreover, MEMS phase shifters are suitable for monolithic phased-array designs with their reduced cost and volume compared to semiconductor- and ferrite-based counterparts. The phase shifters in the phased array presented in this study are used to obtain various combinations of progressive phase shift in the excitation of radiating elements to steer the beam. In this study, phase-shifter performance is improved using high- metal–air–metal (MAM) capacitors in addition to MEMS switches. Furthermore, the system losses and size are reduced by implementing the RF MEMS phase shifters in a phased array monolithically manufactured by micromachining technology. To the authors’ knowledge, this study is one of the first few monolithically fabricated electronically scanning phased-array systems employing a large amount of RF MEMS components reported in the literature [5], [6]. Section II gives the configuration of the electronically scanning array, while Section III presents the design, implementation, and measurement results of the low-loss MEMS phase shifter used in the phased array. Section IV provides the fabrication and measurement results of the array, which is followed by conclusions in Section V. II. PHASED-ARRAY STRUCTURE

Manuscript received April 26, 2007; revised October 4, 2007. This work was supported by The Scientific and Technical Research Council of Turkey (TUBITAK-EEEAG-104E041), by the Turkish State Planning Organization (DPT), and by the AMICOM (Advanced MEMS For RF and Millimeter Wave Communications) Network of Excellence under the 6th Framework Program of the European Union. The authors are with the Department of Electrical and Electronics Engineering, Middle East Technical University, Ankara 06531, Turkey (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914377

The phased array proposed in this paper is composed of four microstrip patch antennas, a corporate feed network, and four RF MEMS phase shifters. The operation frequency is selected as 15 GHz to be able fit the entire system into a single . 500- m-thick 4-in glass substrate The total size of the system is approximately 6 cm 5 cm. The device is fabricated using the surface micromachining process developed at the Middle East Technical University (METU), Ankara, Turkey. Fig. 1 presents a layout and photograph of

0018-9480/$25.00 © 2008 IEEE

TOPALLI et al.: MONOLITHIC PHASED ARRAY USING 3-bit DISTRIBUTED RF MEMS PHASE SHIFTERS

271

Progressive phase shifts of 135 and 180 can also be provided by the phase shifter; however, the main beam cannot be steered to the corresponding scan angles due to the element pattern. III. DIGITAL PHASE SHIFTER USING MAM CAPACITORS

Fig. 1. Proposed monolithically integrated phased array. (a) Layout drawing and (b) photograph of the fabricated device. The device is fabricated using an in-house surface micromachining process. The total chip size is approximately 6 cm 5 cm.

2

the monolithically integrated phased array. Microstrip patch antennas having dimensions of 4.65 mm 4.65 mm are equally spaced by (1 cm) distance from each other’s phase center. A corporate feed network, which is composed of 70 (2.2 mm) transformers, is optimized at around 15 GHz. The width of 50- and 70- lines are 0.95 and 0.43 mm. Tapered lines with low return loss are required for the transitions from microstrip lines to conductor backed coplanar waveguide (CB-CPW) since RF MEMS phase shifters are implemented on CB-CPW. These phase shifters are designed to provide progressive phase shifts of 45 /90 /180 and their combinations at 15 GHz. When both the array factor and element pattern are taken into account, the 3-dB beamwidth of the array is 25 , and the main beam can be rotated to approximately 12 and 24 for progressive phase shifts of 45 and 90 , respectively.

Most phase shifters currently being used in phased arrays are based on ferrite or semiconductor devices such as p-i-n diodes or field-effect transistor (FET) switches. Ferrite-based phase shifters are generally used in arrays where a low insertion loss is required ( 1 dB) and slow-switching time (150 s) is permissible [9], [10]. However, these phase shifters are not suitable for the implementation of low-profile and low-weight phased arrays. FET-based phase shifters consume very low power, but they have a large amount of RF loss (4–6 dB at 12–18 GHz) [11]. p-i-n diode-based phase shifters consume more dc power and have slightly better performance compared to FET-based phase shifters [12], [13]. However, the semiconductor device-based phase shifter cannot compete with the loss performance of the MEMS-based phase shifter, which has been shown with various designs [1]. MEMS phase shifters are designed using switched line [7], [8] or distributed loaded line [14]–[19] approaches. Switched line phase shifters are implemented using MEMS switches on microstrip transmission lines. These phase shifters either require via-holes for series switch configuration, increasing the fabrication complexity [7], or radial stubs for shunt switch configuration, limiting the bandwidth of the phase shifter [8]. Among the MEMS phase shifters, the ones designed using distributed techniques, namely, DMTL, offer wideband and low-loss cascadable devices with a simple design and fabrication method on relatively and glass low-permittivity substrates such as quartz . These low-permittivity substrates also allow the implementation of radiators monolithically integrated with the phase shifters. Therefore, the monolithic phased-array structure in this study employs a phase-shifter structure designed using distributed techniques. The reason for low-loss characteristics of a MEMS phase shifter is that the MEMS switches used in the implementation of a DMTL have a very low series resistance (0.1–0.3 ) compared to solid-state devices [14]–[19]. The average loss of a typical 3-bit MEMS phase shifter is 1.2 dB at 13.6 GHz [17], which is a 3–4-dB improvement compared to designs using GaAs FET switches. This leads to an improvement of 6–8 dB in the insertion loss of a radar system. Therefore, one of the amplifier stages can be removed from the system, reducing both dc power consumption and manufacturing costs of the system. A. Phase-Shifter Design The digital phase shifter used in the system is composed of a periodically loaded high-impedance transmission line with MEMS bridges in series with lumped capacitors forming a DMTL [15]. Fig. 2 shows a general view of the phase shifter and its unit cell. Fig. 3 gives the corresponding circuit model of the unit cell, which provides the required closed-form expressions. The unit cell has two states for the bridge: the up position and the down position. The total loading capacitance when the MEMS bridge is in the upstate position is the series and . As the bridge is combination of two capacitors:

272

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

electric constant of the unloaded transmission line, respectively. The periodicity, i.e., the total length of the unit cell, is defined as , and it is optimized as 800 m considering the Bragg frequency, , which is the cutoff frequency observed in periodic structures when the guided wavelength is getting closer to the GHz as periodic spacing. The selection of ( GHz) ensures the proper operation of the phase shifter as true-time delay at 15 GHz with acceptable return and insertion losses. The following equations can be solved to define the required capacitance values and periodicity. Equations (1)–(4) are based on the work of Hayden and Rebeiz [17] and Hung et al. [18], and are summarized here for completeness: meters farads

(3) farads

Fig. 2. (a) Phase-shifter structure. The air bridge on the external resistor is removed in the drawing for better visualization. (b) Top view of the unit cell of the phase shifter showing the dimension.

Fig. 3. Circuit model for the unit cell of the phase shifter.

actuated by applying a dc-bias voltage and collapsed on the dc isolation dielectric, the bridge capacitance increases by a factor of 60–70 theoretically, and the resulting loading capacitance seen by the line is simply reduced to . These two states in the loading capacitance yields two distinct loaded characteris(upstate loaded charactics impedance of DMTL, namely, (downstate loaded characterteristic impedance: 58 ) and istic impedance: 44 ), where an acceptable return loss for both states can be achieved [17]–[19]. The phase shift per unit section can be controlled with the change of the phase velocity due to the change in the loaded characteristic impedance as [17]:

(2)

(4)

The designed unit cell shown in Fig. 2(b) can provide nearly an 11.5 phase shift at 15 GHz, which is verified with Ansoft’s High Frequency Structure Simulator (HFSS) simulations where (55 fF) and (115 fF) are tuned to achieve the specified and . The nonmovable static capacitor is realized as a MAM capacitor, which has a high- factor ( 400 at 15 GHz) compared to metal–insulator–metal (MIM) capacitors [17]. The height of membranes in the MAM capacitor and the switch is 2 m. The characteristic impedance of the unloaded transmission line is selected to be (CB-CPW dimensions: m, m). The attenuation constant and of the unloaded line is found the effective dielectric constant to be 20 dB/m and 2.8 at 15 GHz, respectively [20]. The overall 3-bit RF MEMS phase shifter employed in the system consists of three sections with a total of 28 unit cells within an area of 22.4 mm 2.1 mm. The first section has four . The cells and is designed to have a phase shift of second section has eight cells providing 90.4 , and the next 16 cells forms the third bit, which is designed to give 182.6 at 15 GHz. The dominant loss mechanism of the phase shifter is the loss of the unloaded CB-CPW. However, the series resistance of the also play a significant role. bridge and the factor of the To be more specific, in order to accurately model the loss of in the upstate the structure, a series resistance of and in the downstate is used, which is found by is found curve fitting. The factor of the static capacitor k . The high- values to be 433 with a value of of MAM capacitors improve the loss performance of the phase shifter. However, the bias resistors have an adverse effect on the factor of the capacitors and the loss of the phase shifter, which is explained in Section III-B. B. Effect of Bias Resistors

rad/section

(1)

where is the frequency in radians, is the free-space velocity, and and is the characteristic impedance and effective di-

In order to actuate each section of the phase shifter separately, thin-film resistors are employed. Fig. 2(b) shows that Si–Cr bias lines are placed inside the gaps of CB-CPW extending from one bridge to the other to carry the dc actuation voltage. The con-

TOPALLI et al.: MONOLITHIC PHASED ARRAY USING 3-bit DISTRIBUTED RF MEMS PHASE SHIFTERS

273

TABLE I SIMULATED AND MEASURED CIRCUIT PARAMETERS FOR THE UNIT CELL OF THE DMTL PHASE SHIFTER

Fig. 4. Simulated insertion loss of the phase shifter, which is obtained from S when the ports of the structure are tuned as 44 (Z ).

ductivity of the Si–Cr layer is optimized during the fabrication S/m), which is cruprocess to have a low value ( Si Cr cial in determining the loss of the structure. The return loss of the structure is not significantly affected by the presence of the bias resistors since the return loss is determined by the loaded and , which are only affected from the line impedances unloaded transmission line parameters and the loading capaciand . Fig. 4 shows the effect of the bias lines using tors the simulation results of insertion-loss characteristics. The designed phase shifter has an insertion loss of nearly 1.08 dB for the worst case (i.e., when all switches are down) if no bias lines are present. The insertion loss is calculated directly from characteristics with the return loss removed by tuning the port impedance to 44 , i.e., downstate loaded line impedance. The bias lines, which are placed inside the gaps of the CB-CPW, have an adverse effect on the insertion loss due to the decrease of the factor of the static capacitor . The internal Si–Cr bias lines of shown in Fig. 3 are shunt connected to the self resistance the static capacitor , reducing the factor. Since each static capacitor sees two bias lines, the equivalent resistance of the careduces to from (40 k ) where pacitor (internal bias resistor) is equal to 20 k S/m, Si–Cr m, width m, and thickness m). length The factor reduces accordingly to 87 from 433. The insertion loss of the entire structure, which is calculated as 1.08 dB without any bias lines, increases to 1.56 dB due to reduced factor of . The external bias resistors that are placed underneath the air bridges on the ground depicted in Fig. 2 are used only for the three cells to actuate each bit separately. Due to the strong coupling between the ground plane and external bias resistor underneath, the external bias resistor is modeled with k . The factor a smaller effective resistance of the static capacitor reduces accordingly to 13 for those three cells. The insertion loss of the structure is 1.91 dB, where the factor of three cells with external bias lines is 13 and the factor of the remaining 25 cells (out of 28) is 87. C. Phase-Shifter Measurements The phase shifters of the phased array are also fabricated separately on the same wafer for characterization purposes. They are measured using thru-reflect-line (TRL) calibration with a

port impedance of 77 , where all eight states are measured by applying dc voltage with dc probes and bias tee. Actuation voltage of the phase shifters is measured to be 16 V. All the states have a return loss better than 10 dB with a worst case insertion loss of 2 dB at 15 GHz. The average insertion loss for eight states is 1.5 dB. Table I shows the simulated and measured circuit parameters for the unit cell. The bridge capacitance for ) can be fabricated very close to the designed the upstate ( value. However, the MAM capacitors ( ) show a deviation compared to the simulated value. The measured downstate capacitance value is also degraded compared to simulated value due to the surface roughness [21]. Nevertheless, the measured downstate capacitance is high enough to have a virtual short circuit through the bridge. The MAM capacitors have a different mechanical design and are fixed on three sides to have a rigid structure that might result in an increase in the height due to the residual stress on the structural layer metal. Fig. 5 shows a 3-D plot of the surface profile data of the fabricated phase shifter obtained using a light-interferometer microscope. The bridge height is around 2 and 2.6 m for the central bridge and MAM capacitor, respectively. The decrease of the MAM capacitances and . The shift reduces shifts the loaded line impedances unit cell performance of the measured phase shifter to 6 according to (1), which is 11 for the designed phase shifter. Fig. 6 shows the measured insertion phase characteristics for all the states of the phase shifter. The measured phase shifter provides approximately 20 /50 /95 phase shifts and their combinations. Table II shows simulated and measured loss components at 15 GHz. The loaded line loss, which is defined when , is directly related to the unloaded . The loaded line line loss with a multiplicative factor of loss is increased in the measurements due to the increase of the of the unloaded line. The factor attenuation constant losses are increased not only due to the decrease of the quality factor, but also due to the change of the loaded line impedance factor of to 52.9 from the designed value of 44 . The the MAM capacitors with internal bias resistors reduces to 53

274

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 5. Surface profiler view of the unit cell of the phase shifter obtained using an interferometer microscope.

Fig. 7. Insertion loss of the phase shifter (extracted from measurement results), which is obtained from S when the ports of the structure are tuned as 52:9 (Z ).

Fig. 6. Measured insertion phase-shift characteristics of the phase shifter for different states.

TABLE II SIMULATED AND MEASURED LOSS COMPONENTS AT 15 GHz. LOADED LINE LOSS IS DEFINED WHEN R = 0 ; R = 40 k ; R = R

!1

(simulated value: 87) due to the decrease of the capacitance factor of the MAM capacitors having both invalue. The ternal and external resistors is equal to 8 (simulated value: 13). Fig. 7 shows the insertion-loss analysis for the measured structure illustrating the effect of -factor values of MAM capaci(no bias line present) for 28 tors. These cases are: 1) cells; 2) for 28 cells; and 3) (for 25 cells) (for three cells). The analysis also shows that if a and conductivity of 2500 S/m is achieved, the worst case insertion factors loss can be reduced down to nearly 1.6 dB, where can be increased to 132 for 25 cells with internal bias resistors and to 22 for three cells with both internal and external resistors. The average insertion loss of the phase shifter would be approximately 1.3 dB, which is quite close to the reported losses of these types of MEMS phase shifters [17]. The conductivity

Fig. 8. Process flow used in the fabrication of the phased array.

value of the Si–Cr film depends on the chamber conditions of the sputter equipment and the gas flow during processing, and it can be reduced by process optimizations to further reduce the losses of the phase shifters, which is under progress.

TOPALLI et al.: MONOLITHIC PHASED ARRAY USING 3-bit DISTRIBUTED RF MEMS PHASE SHIFTERS

275

Fig. 10. Measured return loss of the monolithic phased array.

Fig. 9. SEM photographs of the phase-shifter structure. (a) Two unit cells where Si–Cr internal resistors connecting the unit cells to carry dc signal can be seen. (b) Detailed view of the anchor region of the MEMS bridge where both external and internal resistors are attached. Etch holes on the suspended regions are used to improve the removal of sacrificial layer underneath those regions.

IV. FABRICATION AND MEASUREMENTS OF THE ARRAY The phased array presented in this study is fabricated using the surface micromachining process developed at METU for implementation of various RF MEMS components on 500- m-thick Pyrex 7740 glass substrates . The backside of the wafer is coated with a 100-Å/2- m Ti/Au layer by sputtering for ground metallization of microstrips and CB-CPWs. Fig. 8(i)–(vi) shows the surface micromachining process. (i) The process begins with 2000-Å-thick Si-Cr resistive layer deposition by sputtering and patterning by wet etching. (ii) The next step is the sputtering of a 100/3000-Å-thick Ti/Au layer, which is required as the seed layer for electroplating of the base metallization. The base metallization layer is formed using a 2- m-thick gold layer, which is electroplated inside the regions defined by the mold photoresist. The remaining Ti/Au seed layer is etched using wet etching with selective titanium and gold etchants. (iii)

Fig. 11. Measured radiation pattern of the monolithic phased array for different progressive phase shifts.

A 3000-Å-thick Si N layer is coated as the dc isolation layer using a plasma enhanced chemical vapor deposition technique (PECVD) and patterned using the reactive ion etching (RIE) technique. (iv) The next step is the spin coating of the photodefinable polyimide (PI 2737) as the 2- m-thick sacrificial layer. (v) A 1- m-thick gold layer is then sputter deposited and patterned as the structural layer. (vi) The sacrificial layer photoresist stripper, rinsed in is wet etched in the isopropyl alcohol, and dried in a supercritical point dryer. Fig. 9 shows the scanning electron microscrope (SEM) photographs of the phase shifters fabricated using the process flow described above. The radiation pattern measurements of the phased array are performed via an in-house anechoic chamber. In order to apply the dc voltages, the fabricated phased array is attached to a printed circuit board (PCB) card. There exist mechanical switches located at the back of the card in order not to affect the radiation characteristics. The dc connections to the phased array are obtained using wire bonds. Fig. 10 shows the reflection coefficient characteristics of the phased array. The measured resonant frequency of the system is at 14.62 GHz with a 10-dB

276

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

bandwidth of 6%, which is very close to the designed value of 15 GHz with a 10-dB bandwidth of 2.5% [6]. Fig. 11 gives the measured radiation patterns of the phased array for different phase-shifter settings. It is observed that the beam can be tilted by 4 and 14 when the progressive phase shifts are adjusted nearly 20 and 50 , respectively. These values are quite close to the expected amount of steering. The back-radiation levels around 15 dB are acceptable considering the PCB card and the mechanical switches attached to this card for dc biasing of MEMS phase shifters. V. CONCLUSION This paper has presented the design and implementation of a novel monolithic phased-array system with RF MEMS phase shifters. The phased-array system is fabricated using the micromachining process developed at METU. The system employs 3-bit DMTL-type RF MEMS phase shifters, which are composed of high-impedance transmission lines loaded with MEMS bridges in series with MAM capacitors. The phase shifter can provide nearly 20 /50 /95 phase shifts and their combinations at the expense of 1.5-dB average insertion loss at 15 GHz, showing low-loss performance compared to semiconductor counterparts. The reduction of the losses in the phase-shifting elements of a phased array can be used to eliminate one of the amplification stages, decreasing both the system costs and dc power consumption. Radiation pattern measurements shows that the beam can be steered to the desired angles by the appropriate settings of MEMS phase shifters. This study shows that the use of RF MEMS phase shifters monolithically integrated with patch antennas and feed network can offer better performance phased arrays by reducing the dc power consumption, packaging costs, and the size of the system compared to arrays with ferrite- or semiconductor-based phase shifters. This study is one of the first that demonstrate the monolithic implementation of a phased array on a single substrate with an acceptable performance, which can be further improved by increasing the number of antenna elements. Such monolithically integrated MEMS-based phased arrays can be a good candidate in weight- and power-constrained applications such as fire-control radars and automotive radars. ACKNOWLEDGMENT The authors would like to thank to the Microelectronic Technologies staff of the Middle East Technical University, Ankara, Turkey, particularly O. S. Akar, for their support in the fabrication. The authors also thank M. Unlu and H. I. Atasoy for the process development and RF measurements. REFERENCES [1] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. Hoboken, NJ: Wiley, 2003. [2] B. R. Norvell, R. J. Hancock, J. K. Smith, M. I. Pugh, S. W. Theis, and J. Kviakofsky, “Micro electro mechanical switch (MEMS) technology applied to electronically scanned arrays for space based radar,” in Proc. Aerosp. Conf., 1999, pp. 239–247. [3] R. J. Mailloux, Phased Array Antenna Handbook. Norwood, MA: Artech House, 1994.

[4] D. Parker and D. C. Zimmermann, “Phased arrays—Part II: Implementations, applications, and future trends,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 688–698, Mar. 2002. [5] K. Van Caekenberghe, T. Vaha-Heikkila, G. Rebeiz, and K. Sarabandi, -band MEMS TTD passive electronically scanned array (ESA),” “ in IEEE Antennas Propag. Symp. Dig., Jul. 2006, pp. 513–516. [6] K. Topalli, M. Unlu, O. A. Civi, S. Demir, S. Koc, and T. Akin, “A monolithic phased array using 3-bit DMTL RF MEMS phase shifters,” in IEEE Antennas Propag. Symp. Dig., Jul. 2006, pp. 517–520. [7] M. Kim, J. B. Hacker, R. E. Mihailovich, and J. F. DeNatale, “A DC-to-40 GHz four-bit RF MEMS true-time delay network,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 56–58, Feb. 2001. [8] B. Pillans, S. Eshelman, A. Malczewski, J. Ehmke, and C. Goldsmith, “ -band RF MEMS phase shifters,” IEEE Microw. Guided Wave Lett., vol. 9, no. 12, pp. 520–522, Dec. 1999. [9] W. E. Hord, C. R. Boyd, Jr., and D. Diaz, “A new type of fast switching dual-mode ferrite phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1987, vol. II, pp. 985–988. [10] C. R. Boyd, “An accurate analog ferrite phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., May 1971, pp. 104–105. [11] V. Sokolov, J. J. Geddes, A. Contolatis, P. E. Bauhahn, and C. Chao, “A -band GaAs monolithic phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 12, pp. 1077–1083, Dec. 1983. [12] P. R. Shepherd and M. J. Cryan, “Schottky diodes for analog phase shifters in GaAs MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2112–2116, Nov. 1996. [13] J. F. White, “Diode phase shifters for array antennas,” IEEE Trans. Microw. Theory Tech. (Special Issue), vol. MTT-22, no. 6, pp. 658–674, Jun. 1974. [14] K. Topalli, M. Unlu, S. Demir, O. A. Civi, S. Koc, and T. Akin, “New approach for modeling distributed MEMS transmission lines,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 153, no. 2, pp. 152–162, Apr. 2006. [15] N. S. Barker and G. M. Rebeiz, “Optimization of distributed MEMS transmission-line phase shifters— -band and -band designs,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1957–1966, Nov. 2000. [16] J. Perruisseau-Carrier, R. Fritschi, P. Crespo-Valero, and A. K. Skrivervik, “Modeling of periodic distributed MEMS, application to the design of variable true-time delay lines,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 383–392, Jan. 2006. [17] J. S. Hayden and G. M. Rebeiz, “Very low-loss distributed -band and -band MEMS phase shifters using metal–air–metal capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 309–314, Jan. 2003. [18] J.-J. Hung, L. Dussopt, and G. M. Rebeiz, “Distributed 2- and 3-bit -band MEMS phase shifters on glass substrates,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 600–606, Feb. 2004. [19] H. T. Kim, J. H. Park, S. Lee, S. Kim, J. M. Kim, Y. K. Kim, and Y. Kwon, “ -band 2-b and 4-b low-loss and low-voltage distributed MEMS digital phase shifter using metal–air–metal capacitors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2918–2923, Dec. 2002. [20] R. N. Simons, Coplanar Waveguide Circuits, Components and Systems. New York: Wiley, 2001. [21] J. B. Muldavin and G. M. Rebeiz, “High isolation CPW MEMS shunt switches—Part I: Modeling,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1045–1052, Jun. 2000.

Ka

Ka

Ka

U

W

X

Ka

W

V

Kagan Topalli (S’99) was born in Eskisehir, Turkey, in 1979. He received the B.Sc. and Ph.D. degrees in electrical and electronics engineering from the Middle East Technical University (METU), Ankara, Turkey, in 2001 and 2007, respectively. From 2001 to 2007, he was a Research Assistant with the Department of Electrical and Electronics Engineering, METU. He is currently a Senior Research Scientist with the same department. His major research interests include development, characterization, and integration of novel RF MEMS structures such as switches, phase shifters, and impedance tuners for RF front ends at microwave and millimeter wave, reconfigurable antennas, phased arrays, microwave packaging, and microfabrication technologies.

TOPALLI et al.: MONOLITHIC PHASED ARRAY USING 3-bit DISTRIBUTED RF MEMS PHASE SHIFTERS

Özlem Aydin Civi (S’90–M’97–SM’05) received the B.Sc., M.Sc., and Ph.D. degrees in electrical and electronics engineering from the Middle East Technical University (METU), Ankara, Turkey, in 1990, 1992, and 1996, respectively. From 1990 to 1996, she was a Research Assistant with METU. From 1997 to 1998, she was a Visiting Scientist with the ElectroScience Laboratory, The Ohio State University. Since 1998, she has been with the Department of Electrical and Electronics Engineering, METU, where she is currently an Associate Professor. Her research interests include analytical, numerical, and hybrid techniques in electromagnetic theory problems, especially fast asymptotic/hybrid techniques for the analysis of large finite periodic structures, multifunction antenna design, phased arrays, and RF MEMS applications. Since 1997, she has been a national expert on antennas of the European actions COST260, COST284, COST-IC0603. She is one of the work package leaders on RF MEMS of AMICOM, a European Network of Excellence. Since 2004, she has been a Technical Reviewer of the European community for scientific projects in the fields of antennas and communication. She has authored or coauthored over 80 journal and international conference papers. Dr. Civi was chair of the IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT)/Electron Devices (ED)/Electromagnetic Compatibility (EMC) Chapter from 2004 to 2006. She is the chair of the IEEE Turkey Section and a member of the Administrative Committee of the Turkish National Committee, URSI. She was a recipient of the 1993 Erol Gelenbe Best Masters Thesis Award, the 1994 Prof. Mustafa Parlar Foundation Research and Encouragement Award with the METU Radar Group, and the 1996 URSI Young Scientist Award.

Simsek Demir (S’91–M’98) received the B.Sc., M.Sc., and Ph.D. degrees in electrical and electronics engineering from Middle East Technical University (METU), Ankara, Turkey, in 1991, 1993 and 1998, respectively. From 1991 to 1998, he was a Research Assistant with METU. From 1998 to 1999, he contributed to atmospheric radar antenna design with the International Research Centre for Telecommunications-Transmission and Radar, Technical University of Delft (TU-Delft), Delft, Netherlands. Since 2000, he has been a Professor with the Department of Electrical and Electronics Engineering, METU. His main scientific interests include microwave and millimeter-wave active and passive component and system design, analysis, and modeling. Some of his research topics have been exploitation of RF MEMS technology toward industrial use, power amplifier design, modeling and implementation, and radar applications. Dr. Demir was a recipient of several awards including the North Atlantic Treaty Organization (NATO) A2 Fellowship, which supported him as a Visiting Researcher with the University of Massachusetts at Amherst in 1995.

277

Sencer Koc was born in Yozgat, Turkey, in 1958. He received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Middle East Technical University, Ankara, Turkey, in 1979, 1983, and 1987, respectively. He is currently an Associate Professor with the Department of Electrical and Electronics Engineering, Middle East Technical University. His research interests include numerical methods in electromagnetic theory, antenna measurements, and RF MEMS devices.

Tayfun Akin (S’90–M’97) was born in Van, Turkey, in 1966. He received the B.S. degree in electrical engineering (with high honors) from the Middle East Technical University (METU), Ankara, Turkey, in 1987, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1989 and 1994, respectively. In 1995, 1998, and 2004, he was an Assistant Professor, Associate Professor, and Professor, respectively, with the Department of Electrical and Electronics Engineering, METU. He is also the Technical Coordinator of Microelectronic Technologies, METU, an integrated circuit fabrication factory, which was transferred to METU by the government for MEMS-related production. His research interests include MEMS, microsystems technologies, infrared detectors and readout circuits, silicon-based integrated sensors and transducers, and analog and digital integrated circuit design. Dr. Akin has served in various MEMS, Eurosensors, and Transducers conferences as a Technical Program Committee member. He was the co-chair of the 19th IEEE International Conference of MEMS (2006), Istanbul, Turkey. He was the recipient of a 1987 Graduate Fellowship provided by the NATO Science Scholarship Program through the Scientific and Technical Research Council of Turkey (TUBITAK). He was also the recipient of the First Prize in the Experienced Analog/Digital Mixed-Signal Design Category of the 1994 Student Very Large Scale Integration (VLSI) Circuit Design Contest organized and sponsored by Mentor Graphics, Texas Instruments Incorporated, Hewlett-Packard, Sun Microsystems, and Electronic Design Magazine. He coauthored a symmetric and decoupled gyroscope project, which received the First Prize Award in the Operational Designs Category of the international design contest organized by DATE Conference and CMP in March 2001. He also coauthored the gyroscope project, which received the Third Prize Award of the 3-D MEMS Design Challenge organized by the MEMGen Corporation (now Microfabrica).

278

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

24-GHz Frequency-Modulation Continuous-Wave Radar Front-End System-on-Substrate Zhaolong Li, Student Member, IEEE, and Ke Wu, Fellow, IEEE

Abstract—Design and implementation of a 24-GHz frequency-modulation continuous-wave radar front-end system is presented and discussed, and its hybrid planar and waveguide building blocks are fully integrated on one single substrate. A flexible and compact integration methodology on the basis of the substrate-integrated-circuits concept is deployed to design such a microwave front-end system-on-substrate. In this study, it is found that this surface-volume hybrid integration scheme not only enables the complete system integration of planar and nonplanar microwave circuits, but also combines respective advantages of such structures in connection with microstrip lines (planar) and waveguides (nonplanar). Design strategies of the system building blocks including mixers, power dividers, and antenna arrays are discussed together along with the measured results. To verify the developed radar prototype, laboratory-based target-range measurements are conducted. Index Terms—Antennas, frequency-modulation continuous-wave (FMCW) radar, microwave integrated circuits (MICs), microwave mixers, substrate integrated circuits (SICs), substrate integrated waveguide (SIW), system-on-substrate (SoS).

I. INTRODUCTION NTEGRATED frequency-modulation continuous-wave (FMCW) radar sensors for microwave and millimeter-wave applications in high-precision range measurement have been investigated by using many different approaches. Usually, the front-end module in those devices is made of planar integrated monolithic microwave integrated circuits (MMICs) together with a 3-D antenna such as a horn, lens, or dish to achieve a high-efficiency and high-gain antenna system [1]–[3]. However, the required transitions between planar MMIC chips and nonplanar antennas usually complicate the design and installation of the entire system and also increase the system cost, which may overshadow the advantages of employing the integrated MMICs. Research studies on integrated phased-array transceiver with on-chip antennas [4], [5] have demonstrated a potential on integrating a planar antenna on a semiconductor substrate, yet the fabrication cost is still relatively high compared with the conventional hybrid microwave integrated circuit (MIC) fabrication approach. Recently, a fully integrated FMCW radar front-end system-on-substrate (SoS) has been reported [6], which integrates the antenna onto the system using a substrate integrated circuit (SIC) hybrid approach. The concept

I

Manuscript received March 26, 2007; revised November 1, 2007. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada (NSERC). The authors are with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3T 1J4 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914363

of SICs as a potentially new generation of high-frequency integrated circuits has been discussed in greater detail in [7]. Thus far, many different types of synthesized nonplanar waveguides in planar form have been proposed and developed together with integrated transitions from substrate integrated structures to conventional planar transmission lines, as well as passive components on the basis of this approach [8]–[13]. The essence of SICs is concerned with a balanced design methodology, which generally combines different or complementary structures such as metallic nonplanar waveguides and planar microstrip lines on a single substrate through a conventional or well-established hybrid fabrication process. As such, the complementary physical geometry and electrical features of these structures can effectively be exploited. One member of the SIC’s family, namely, the substrate integrated waveguide (SIW), has been widely investigated, and has been found useful in practical microwave designs and applications ranging from transitions and directive slot array antennas to microwave transceivers on substrates. In fact, the principal advantage of the SIW is that a complete transceiver can be designed and made with planar and nonplanar structures in the same dielectric substrate. In this paper, the design and implementation issues of individual front-end building blocks of such a transceiver for 24-GHz radar applications are presented and discussed. In particular, key components including up-converters, down-converters, power dividers, and antennas will be emphasized in this paper together with their design strategies and integration aspects. II. SIW AND SIC MIXERS A. SIW Mixer It is known that 90 hybrid single-balanced mixers made of planar structures such as microstrip quadrature couplers are generally limited to applications working from low frequencies -band. This is due to circuit size limitations at up to the high frequency, where the line length decreases with increasing frequency, becoming comparable to its width. Therefore, the junction discontinuities become dominant, resulting in a lower performance and rendering the microstrip quadrature coupler almost unemployable at high frequencies. The use of the SIW in the making of the hybrid junction allows the design of a 90 single-balanced mixer at high frequencies without compromising the performance of the mixer. A complete SIW-based 90 down-converter is designed in this study, as shown in Fig. 1(a) via a 3-D perspective, and the detailed design parameters of the mixer hybrid junction are shown in Fig. 1(b) and Table I. The 90 SIW hybrid junction consists of two adjacent SIWs with a shared aperture on the common sidewall, which enables

0018-9480/$25.00 © 2008 IEEE

LI AND WU: 24-GHz FMCW RADAR FRONT-END SoS

279

(a)

Fig. 2. Measured SIW mixer conversion gain.

(b) Fig. 1. (a) 3-D schematic view of a 90 SIW mixer (not drawn to scale). (b) Geometrical top view of a 90 SIW hybrid junction (not drawn to scale). TABLE I DESIGN PARAMETERS OF THE 90 SIW HYBRID JUNCTION

the excitation of the mode. A simplified design approach and modes is to model the summation effects of to determine the 90 phase shifting and a 3-dB power coupling at the two output ports (ports 2 and 3) of the coupler. A capacitive metallized septum is positioned along the aperture to compensate for the parasitic reactance introduced by the open aperture. Two silicon beam lead Schottky diodes are used as the time-varying conductance junction in this mixer instead of GaAs diodes to provide lower barrier heights and better local oscillator (LO) port voltage standing-wave ratio (VSWR) [14]. The LO port and RF port of the SIW mixer are transitioned into microstrip so that the mixer can be clamped by a universal test fixture with a right-angle launcher for measurement purposes. The offset ability of the fixture jaws provides freedom to design the layout of the mixer RF port and IF port with an offset. The mixer conversion gain is measured with two signal sources input from the LO and the RF port, respectively, and a spectrum analyzer is used to measure the IF output signal power level. The measurement of the conversion gain is performed with a fixed RF frequency at 24.1 GHz and a fixed LO frequency at 22.6 GHz. Both RF and LO port power levels are swept with a 1-dBm step from 6 to 8 dBm and from 0 to 14 dBm, respectively. The two signal sources together with measurement

cables are power calibrated at the mixer ports at every swept power level. The measured mixer frequency conversion gain corresponding to the mixer LO port driven level of 7 and 12 dBm are shown in Fig. 2. The mixer operates well with as low as a 7-dBm LO driven level. This is because the lower barrier heights of the silicon diodes require less LO power as compared to GaAs diodes. It is expected that the mixer frequency conversion gain is a function of the LO power level, as well as the RF input power level, because single balanced diode mixer frequency conversion capability strongly depends on the proper LO driven level to bias the Schottky diodes and make them rectify. It is demonstrated in Fig. 2 that the mixer exhibits a linear frequency conversion response as RF power increase from 6.0 to 4.0 dBm with the maximum frequency conversion gain of 6.7 dB. This is almost unchanged as the RF power sweeps from 4.0 to 2.0 dBm. As the RF power level continues to increase, the mixer starts to saturate and the conversion gain starts to decrease. The with a 7-dBm LO input level is exmixer RF port input pected to be higher than 8 dBm because the conversion gain drops down only by 0.5 dB when the RF port input level is 8 dBm, as shown in Fig. 2. B. SICs Mixer To obtain a better LO-RF isolation performance than a 90 mixer [14], a 180 SIC mixer as an up-converter is designed, as shown in Fig. 3. In addition to its isolation performance improvement, the 180 mixer also has a better spurious response rejection performance for both even even , and odd ; instead of only even spurious-response rejection in the case of a 90 mixer. This SIC mixer design is a hybrid integration of SIWs and conventional microstrip lines. The RF and LO port are designed using SIWs, whereas the in-phase and out-of-phase power hybrid is designed using a microstrip hybrid ring, as shown in Fig. 3. The use of the microstrip in this mixer basically aims at facilitating the integration with beam lead diodes and minimizing the entire mixer circuit size at the same time. This is because a complete -plane SIW 3-dB hybrid ring will occupy a larger substrate area, which translates into a larger circuit profile. The use of the SIW in the RF and

280

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 3. 3-D schematic view of a surface-volume 180 SIC mixer (not drawn to scale). Fig. 5. Measured SIC and microstrip mixer conversion gain (LO = 7 dBm).

Fig. 4. 3-D schematic view of a 180 microstrip mixer-counterpart of a 180 SIC mixer (not drawn to scale).

LO port aims at reducing transmission losses associated with microstrip lines at high frequencies. From the fabrication perspective of MICs, the making of conventional planar transmission lines, such as a microstrip, involves only the surface of a substrate (“simple printed or surface etching techniques”); therefore, microstrip circuitry may be viewed as “surface circuits.” The fabrication process of synthesized planar waveguides, such as the SIW, not only involves the surface of a substrate, but also involves the volume etching or processing of a substrate. Thus, SIW circuitry may better be viewed as “volume circuits” [12]. Encompassing the advantages of simplicity of integration with semiconductor devices such as diodes for conventional planar transmission lines, while exploiting the merits of high , well-confining electromagnetic (EM) waves for synthesized waveguides, this “surface-volume” integration scenario optimizes and maximizes the usage of the substrate electrically and materially. For example, the “surface-volume” SIC mixer is expected to have a higher frequency conversion gain compared with geometrically similar microstrip mixer. This is because the complete enclosure of the SIW will confine EM waves well within the substrate and, therefore, reduce the unavoidable radiation and transmission losses typical of microstrip lines at high frequencies. A geometrically resembling microstrip 180 ring mixer is fabricated, as shown in Fig. 4, to compare the performance with the SIC mixer. Both mixers were designed with the same 180 hybrid rings and Schottky diodes. The only difference for these two mixers is that the conventional microstrip mixer LO and RF ports are designed using the microstrip lines. Measured results for the conversion gain with the same measurement setup as described before are shown in Figs. 5 and 6. As demonstrated, around 3-dB improvement at different LO drive levels is achieved for the SIC

Fig. 6. Measured SIC and microstrip mixer conversion gain (LO = 12 dBm).

mixer. The SIC mixer maximum conversion gain of 6.9 dB is recorded with dBm. The variation of the conversion gain as a function of both LO and RF power levels is similar to that previously observed in the case of the SIW mixer. Clearly, in this case, this surface-volume hybrid integration approach indeed demonstrates a superior performance compared with its conventional counterpart. At the same time, compared with the above-mentioned SIW mixer, this hybrid surface-volume integration strategy requires less substrate surface area (163.8 mm ), as compared with the SIW mixer (270.0 mm ), which may particularly be interesting for MMIC design using GaAs material. C. Mixer Measurement The mixer port reflection and port-to-port isolation are measured by a vector network analyzer (VNA) Anritsu 37397C. Since the reflection and isolation measurements are linear, a three-port mixer reflection and isolation measurements can be accomplished by using a two-port VNA through classical -parameter measurement [15]. To measure the mixer RF port reflection and the RF-IF isolation, the network analyzer is tuned to an RF frequency band with the mixer RF port connected to the network analyzer port 1 and the mixer IF port connected to the network analyzer port 2. The mixer LO port is connected to an external signal source. Both mixers are measured

LI AND WU: 24-GHz FMCW RADAR FRONT-END SoS

281

Fig. 9. Measured SIW mixer RF-IF port isolation. Fig. 7. Measured SIW mixer RF port reflection.

Fig. 8. Measured SIC mixer RF port reflection.

in the down-converting mode so that their performances are comparable under the same measurement conditions. Since all the mixer port impedances are related to the LO power level as a result of changes in diodes impedances, the mixer RF port reflection and the RF-IF port isolation are measured at different LO power levels of 2, 7, and 12 dBm, respecis interpreted as the RF tively. With the sampled data, is interpreted as the RF-IF port isolation, port reflection; which is the measured transfer power ratio at the RF frequency band at the IF port. At the industrial–scientific–medical (ISM) below band (24.00–24.25 GHz), the SIW mixer showed 13.0 dB at the RF port, whereas the SIC mixer showed below 14.5 dB when mixers are driven with the LO level of 7 dBm. The RF-IF isolation of the SIW mixer is better than 17.3 dB over the ISM band of interest, and the RF-IF isolation for the SIC mixer is more than 20.0 dB with a 7-dBm LO driven level, as shown in Figs. 7–10. The LO-RF port isolation is an important indicator of the mixer performance and it is difficult to measure since the mixer should be measured with an appropriate LO power-driven level. However, VNAs generally cannot provide enough high-frequency power output to adequately bias the LO port. To amplify the network analyzer output power level, an extra low-noise amplifier HMC517LC4 is inserted in the network analyzer port 1 branch so that the mixer can be properly driven. The obtained output power level at port 1 is 6.2 dBm (with the

Fig. 10. Measured SIC mixer RF-IF port isolation.

Fig. 11. Measured mixer LO-RF port isolation.

default network analyzer output power of 7.0 dBm plus the amplifier gain and the interior port 1 branch insertion losses and exterior cable losses). The LO-RF port isolation, defined as the transfer power ratio between the RF and LO port, is denoted by . The measured results are shown in Fig. 11. At 24 GHz, the measured LO-RF isolation for the SIW mixer is over 17.7 dB and the LO-RF isolation is over 26.8 dB in the case of the SIC mixer. The third-order input intercept point (IIP3) is measured by using the network analyzer (with its video IF set to 1 kHz) to control two external RF signal sources spaced 10 MHz

282

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 12. Schematic of a SIW power divider design details (not drawn to scale). Fig. 13. Schematic view of a SIW feeding slot array antenna (not drawn to scale). TABLE II DESIGN PARAMETERS OF THE SIW POWER DIVIDER

apart with a 0-dBm output power level. The mixer LO power is provided by a third signal source with 7.0-dBm output. At 24.125 GHz, the SIW mixer IIP3 is 14.6 dBm and the SIC mixer IIP3 is 10.5 dBm.

Fig. 14. Measured SIW antenna input S 11 magnitude. From [6].

III. SIW POWER DIVIDER AND SLOT ARRAY ANTENNA Two different types of SIW power dividers are designed in this study, namely, a straight Y-type that divides the FMCW signal into the transmitting antenna and the receiver; and a 120 divider in a SIW feeding network in connection with a SIW slot array. The synthesized SIW structure enables the design of a power divider based on classic waveguide design theory [16] while fabricated with a planar profile. A metallized septum is inserted to tune input matching at the power divider input port. Detailed design parameters of the straight Y-type power divider are shown in Fig. 12 and Table II. A complete SIW feeding instead of microstrip feeding [11] slot array antenna is designed in this study, as shown in Fig. 13. Off-centered longitudinal-cutting slots, which disturb the transverse SIW surface currents, are spaced every half guided-wavelength to achieve a resonant element array when the mode is excited. Slots cut on the broad wall of the height-reduced dielectric-filled SIW along the longitudinal direction can be modeled approximately as a shunt element when the slot offset to the SIW center is small. An accurate full-wave analysis, however, is needed to determine the port impedance of the antenna array. Ansoft’s High Frequency Structure Simulator (HFSS) package is used in this study to optimize the slot length, offset, and width to achieve a matched input impedance of the antenna. The planar form of the SIW feeding network naturally eliminates the need for planar/nonplanar transition between the antenna and the transceiver, thus leading to a size compact profile and low-cost system.

is shown in Fig. 14, and a The measured -parameter 10-dB return loss bandwidth ranging from 23.75 to 24.40 GHz (650 MHz) is achieved. The antenna radiation pattern and gain are measured in a compact-range anechoic chamber with 1 azimuth angle and elevation angle resolution. The antenna under test is set as a receiving antenna with a standard gain horn used as a transmitting antenna. The measured and simulated radiation patterns over the - and -plane at 24.1 GHz are compared in Figs. 15 and 16, respectively. The measured half-power beamwidth (HPBW) at -plane is 31 (simulation results indicate 27.02 ) and that at -plane is 37 (simulation results indicate 36.11 ). A measured gain of 15.7 dBi is achieved with this type of antenna. IV. SOS AND RADAR EXPERIMENTS The completed radar is fabricated on a piece of PTFE composite material substrate (RT/Duroid 6002) with a dielectric constant of 2.94 and a thickness of 20 mil, as shown in Fig. 17. The fabrication process is similar to a standard hybrid MICs fabrication process such as in the case of microstrip circuits. The details are given as follow. First of all, the SIW sidewalls, which need cut-through within the substrate, are made. An Nd-YAG pulsed laser is used to fulfill this task. The metallization of cut-through sidewalls is then made by sputtering a thin copper layer on them followed by electroplating. A standard photolithography process for printed circuit board (PCB) is used in the final stage to create the circuits pattern on the

LI AND WU: 24-GHz FMCW RADAR FRONT-END SoS

Fig. 15. SIW slot array E -plane radiation pattern.

Fig. 16. SIW slot array H -plane radiation pattern.

Fig. 17. Photograph of a radar front-end SoS. From [6].

substrate surface. The rectangular squares shown in the photograph are reserved spaces for the GaAs amplifier dies used in the front-end. The PCB is mounted on the top of a copper base to facilitate the installation of the connectors for the baseband signal and the receiver IF output with the amplifier dies wire-bond integrated (not shown) within the PCB. Although 90 mixers are not favored among many researchers because a large frequency offset between the LO and RF frequencies

283

Fig. 18. Measured transmit signal spectrum.

could unbalance the RF power applied at the two diodes [14], its SIW version can still be usefully designed in the homodyne receiver in such a way that the zero receiver IF design enables the LO and RF ports to operate at the same frequency band. Therefore, the diodes matching circuitry can be designed to match the two ports simultaneously. Since the LO and the RF ports of the SIW quadrature coupler are on the same side of the hybrid, it allows the receiver and transmitter antenna to be placed almost in line to achieve a good free-space antenna isolation (the measured free-space isolation between the two antennas is over 47 dB) along with a compact circuitry. The 180 SIC mixer, using a microstrip ring hybrid instead of a SIW quadrature hybrid, is used in the transmitter to provide an easy integration with the beam lead diodes, as well as a compact size as compared to a complete SIW mixer. This is because the SIW transmission lines normally possess a wider linewidth compared with microstrip transmission lines at the same frequency band. Another advantage of using a 180 mixer instead of a 90 counterpart in the transmitter is its higher LO-RF isolation, thus reducing the LO leakage to the transmitting antenna. The radar ranging functionality is verified by measuring a metal object used as a simulated target. The target is stationed away from the radar with two different range incremental steps of 0.25 and 0.5 m. The demodulated IF signal is measured using a spectrum analyzer and the frequency value of the measured signal peak is recorded to calculate the real target range. To group most converted energy spectra of the return signal into one major spectrum peak, a linear-frequency-modulation (LFM) signal is used in the experiment instead of a sine-wave modulation. An HMC385 VCO with 0-dBm output power is used to generate the required baseband FM signal. The output radar transmitted signal is measured around 10 dBm from 24.021 to 24.228 GHz, as shown in Fig. 18. As an example of typical measured radar responses, the converted receiver IF signal spectrum is shown in Fig. 19. The baseband VCO is swept at a rate of 20 kHz over 6.3 V using a symmetrical sawtooth waveform (also called triangular waveform), resulting in a frequency-modulation bandwidth of 207 MHz and an IF frequency of 55.2-kHz/m distance between the antenna and target. Two types of linear modulation techniques, i.e., symmetrical sawtooth modulation and asymmetrical sawtooth modulation, are used and the measured IF frequencies as a

284

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

few [12], [13], and classic planar guiding structures such as a microstrip line and coplanar waveguide.

ACKNOWLEDGMENT

Fig. 19. Measured spectrum of a converted receiver IF output for a 2-m target with a peak frequency value of 121.44 kHz.

The authors are grateful to J. Gauthier, S. Dube, R. Brassard, and E. Marsan, all with the École Polytechnique de Montréal, Montréal, QC, Canada, for their help in the fabrication and testing of the prototype, and to Amphitech Systems Inc., Laval, QC, Canada, and Purelink Tech. Inc., Montréal, QC, Canada, for their industrial assistance. The authors would like to extend their appreciation to the Ansoft Corporation, Pittsburgh, PA, for providing simulation software, the Hittite Microwave Corporation, Chelmsford, MA, for providing MMICs samples, and the Rogers Corporation, Rogers, CT, for substrate samples.

REFERENCES

W

[1] A. Tessmann, S. Kudszus, T. Feltgen, M. Riessle, C. Sklarczyk, and W. H. Haydl, “Compact single-chip -band FMCW radar modules for commercial high-resolution sensor applications,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2995–3001, Dec. 2002. [2] K. W. Chang, H. Wang, G. Shreve, J. G. Harrison, M. Core, A. Paxton, M. Yu, C. H. Chen, and G. S. Dow, “Forward-looking automotive radar using a -band single-chip transceiver,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1659–1668, Jul. 1995. [3] H. H. Meinel, “Automotive radar and related traffic applications of millimeter waves,” in Proc. Millimeter Waves Top. Symp., 1997, pp. 151–154. [4] A. Hajimiri, H. Hashemi, A. Natarajan, X. Guan, and A. Komijani, “Integrated phased array systems in silicon,” Proc. IEEE, vol. 93, no. 9, pp. 1637–1655, Sep. 2005. [5] A. Babakhani, X. Guan, A. Komijani, A. Natarajan, and A. Hajimiri, “A 77 GHz phased transceiver with on chip dipole antennas: Receiver and on-chip antennas,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2795–2806, Dec. 2006. [6] Z. Li and K. Wu, “24 GHz FMCW radar front-end system on substrate,” in Proc. IEEE Radio Wireless Symp., Jan. 2007, pp. 233–236. [7] K. Wu, “Integration and interconnect techniques of planar and nonplanar structures for microwave and millimeter-wave circuits—Current status and future trend,” in Proc. Asia–Pacific Microw. Conf., Dec. 2001, pp. 441–416. [8] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [9] D. Deslandes and K. Wu, “Single-substrate integration technique of planar circuits and waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 593–596, Feb. 2003. [10] J.-X. Chen, W. Hong, Z.-C. Hao, H. Li, and K. Wu, “Development of a low cost microwave mixer using a broadband substrate integrated waveguide (SIW) coupler,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 2, pp. 84–86, Feb. 2006. [11] L. Yan, W. Hong, G. Hua, J. Chen, K. Wu, and T. J. Cui, “Simulation and experiment on SIW slot array antennas,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 446–448, Sep. 2004. [12] K. Wu, D. Deslandes, and Y. Cassivi, “The substrate integrated circuits—A new concept for high-frequency electronics and optoelectronics,” in Proc. 6th Int. Telecommun. Modern Satellite, Cable, Broadcast. Service Conf., Oct. 2003, pp. P-III–P-X. [13] K. Wu, “Towards system-on-substrate approach for future millimeterwave and photonic wireless applications,” in Proc. Asia–Pacific Microw. Conf., Dec. 2006, pp. 1895–1900. [14] S. A. Mass, Microwave Mixers, 2nd ed. Boston, MA: Artech House, 1993. [15] “Measuring frequency conversion devices,” Anritsu, Morgan Hill, CA, Applicat. Note, Mar. 2007. [Online]. Available: https:www.us.anritsu. com/downloads/files/11410-00197.pdf [16] N. Marcuvitz, Waveguide Handbook. Lexington, MA: Boston Tech. Pub., 1951.

W

Fig. 20. Measured IF frequency as a function of range using different modulation techniques for a modulation frequency of 20 kHz.

function of target range are shown in Fig. 20. Obviously, a linear IF frequency-range measurement is observed, which confirms the functionality of the radar. V. CONCLUSION An integrated FMCW radar front-end SoS concept has been presented with an experimental prototype. The application of SICs in a MIC design enables a complete system-level integration. The integrated SoS is essentially a 3-D full-wave microwave circuits design made through a 2-D planar PCB fabrication process. Compared with the monolithic MICs technology, the proposed hybrid integration strategy offers a unique possibility of exploiting the complementary advantages of each individual building block while eliminating (partly at least, if not completely) inherent drawbacks. Therefore, a complete system with different waveguiding structures can be constructed together on a single substrate in a coherent manner. In fact, a SIC system design is not (and should not be) limited to a single waveguiding structure. A complex versatile SoS could also include different substrate integrated waveguiding structures, such as substrate integrated nonradiating dielectric guide, SIW, or substrate integrated image guide, to name a

LI AND WU: 24-GHz FMCW RADAR FRONT-END SoS

Zhaolong Li (S’01) was born in Zhenjiang, China. He received the B.S. degree in radio science (with distinction) from Lanzhou University, Lanzhou, China, in 1998, and the M.S. degree in radio engineering from Southeast University, Nanjing, China, in 2002. Since 2002 he has been with the Département de Génie Électrique, Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada. His current research interests involve radar system engineering, antenna arrays, and microwave/millimeter-wave passive and active circuits design.

Ke Wu (M’87–SM’92–F’01) is Professor of electrical engineering, and Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal, Montréal, QC, Canada. He also holds a Cheung Kong endowed chair professorship (visiting) with Southeast University, and an honorary professorship with the Nanjing University of Science and Technology, Nanjing, China, and the City University of Hong Kong. He has been the Director of the Poly-Grames Research Center. He has authored or coauthored over 515

285

referred papers and several books/book chapters. He has served on the Editorial/Review Boards of numerous technical journals, transactions, and letters, including being an Editor and Guest Editor. His current research interests involve SICs, antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers and sensors. He is also interested in the modeling and design of microwave photonic circuits and systems. Dr. Wu is a Fellow of the Canadian Academy of Engineering (CAE) and the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He is a member of the Electromagnetics Academy, Sigma Xi, and URSI. He has held key positions in and has served on various panels and international committees including the chair of Technical Program Committees, International Steering Committees, and international conferences/symposia. He is currently the chair of the joint IEEE Chapters of the Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS), Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He was the recipient of many awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award.

286

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

A Subthreshold Low-Noise Amplifier Optimized for Ultra-Low-Power Applications in the ISM Band Aaron V. Do, Member, IEEE, Chirn Chye Boon, Manh Anh Do, Senior Member, IEEE, Kiat Seng Yeo, Member, IEEE, and Alper Cabuk, Member, IEEE

Abstract—The IEEE 802.15.4 standard relaxes the requirements on the receiver front-end making subthreshold operation a viable solution. The specification is discussed and guidelines are presented for a small area ultra-low-power design. A subthreshold biased low-noise amplifier (LNA) has been designed and fabricated for the 2.4-GHz IEEE 802.15.4 standard using a standard low-cost 0.18- m RF CMOS process. The single-stage LNA saves on chip area by using only one inductor. The measured gain is more than 20 dB with an 11 of 19 dB while using 630 A of dc current. The measured noise figure is 5.2 dB. Index Terms—Front-end, low-noise amplifier (LNA), low power, subthreshold.

I. INTRODUCTION HE IEEE 802.15.4 standard was introduced to meet the growing demand for low-cost low-power short-range wireless communications devices. The specifications relax the requirements on the RF front-end and allow for less power-hungry designs [1]. The IEEE 802.15.4 standard covers three frequency bands, i.e., 868 MHz, 915 MHz, and 2.4 GHz. This study will use the 2.4-GHz industrial, scientific, and medical (ISM) band. This paper presents the design of a low-noise amplifier (LNA) for the IEEE 802.15.4 standard, which fully exploits the relaxed front-end requirements to minimize the power consumption and chip area. To that end, the input transistor of the LNA is biased in the subthreshold region, which offers superior gain per current consumption. We will justify the use of subthreshold biasing through MOSFET characteristic equations and simulations. The MOS transistor’s noise characteristics are not adequately modeled in the weak-inversion/subthreshold region at high frequencies, therefore, the analysis of noise performance will not be included [2]. We will also avoid the use of off-chip components. Finally, we remove two typically used on-chip inductors, which require a large chip area while providing only marginal benefits. This is in line with the need for a low-cost RF front-end. The LNA was designed using the Chartered Semiconductor Manufacturing (CSM) 0.18- m RF CMOS Process Design Kit (PDK). Section II will cover the LNA specifications based on IEEE 802.15.4 standard specifications. Section III will present the LNA design. Section IV will present the simulated and measured results. This paper then concludes in Section V.

T

Manuscript received February 9, 2007; revised September 4, 2007. This work was supported by the Agency for Science, Technology and Research (A*STAR). The authors are with Nanyang Technological University, Singapore 639798 (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.913366

TABLE I IEEE 802.15.4 RECEIVER REQUIREMENTS

II. IEEE 802.15.4 SPECIFICATIONS A. Overall System Table I shows the receiver specifications important to the LNA design [3]. The requirements can be calculated from the IEEE 802.15.4 standard’s physical layer (PHY) specifications [1], [4]. Based on the overall requirements of the receiver and past experience in IF block design, we can formulate the requirements for the LNA. The LNA was designed for a system assuming that a low-IF or zero-IF architecture is used. Such a system can achieve high integration by eliminating the need for off-chip image-reject filtering after the LNA. Therefore, the LNA will be followed directly by an image reject mixer as is used in the Hartley or Weaver receivers [5]. B. Noise Figure (NF) The required NF assuming a 5-dB loss preceding the LNA is 20.5 dB [1]. This 5-dB margin will account for issues such as board losses and band-select filter insertion losses. The NF can be expressed as a magnitude to yield the noise factor , which is defined as the ratio of the total output noise to the output noise arising due to the source resistance only. By convention, is defined at 290 K as follows: (1) is the input noise due to the source, and is the input-referred noise (IRN) due to the device-under-test (DUT). We define the input terminal as the node connecting the DUT to the source resistance. We can derive the IRN of the IF stages (taken at the output of the as down-conversion mixer) where

where

(2) is the voltage gain of the LNA and mixer, is the required system noise factor, and is

0018-9480/$25.00 © 2008 IEEE

DO et al.: SUBTHRESHOLD LNA OPTIMIZED FOR ULTRA-LOW-POWER APPLICATIONS IN ISM BAND

the noise factor of the LNA and mixer. is the most important factor in determining the power consumption of the analog IF blocks such as the channel select filter (CSF) and the variable gain amplifier (VGA). This is because input referred noise varies inversely with transconductance [7]. In general we can improve the transconductance by increasing the power consumption. While research has typically focused on minimizing the LNA power consumption and NF, the analog section still takes up significant power consumption to minimize its noise contribution [8], [9]. The complex baseband filter designed in [9] requires 4.7 mA to maintain its IRN of 30 nV Hz. According to (2), in terms of overall receiver power consumption, it is more beneficial to optimize LNA gain than the LNA NF. , we can then neglect the From (2), if . For this purpose, let us assume that contribution of becomes insignificant if it is less than 10% of . to be 112.2. Converting 20.5 dB to magnitude, we find of 11.2 or 10.5 dB, Therefore, we can tolerate an which is not difficult to achieve even with low power consumpis directly proportional to tion. On the other hand, . Therefore, for the IEEE 802.15.4 standard, high LNA gain is much more important than a low NF. C. Linearity When strong out-of-channel interference passes through a nonlinear system, the power of the interferer can be frequency translated into the desired channel. It can then be treated as noise and will degrade the system’s throughput. The linearity of the LNA is usually measured by its input-referred third-order inter). The trades directly with the LNA gain, cept point ( but in a low-power design, gain is scarce. Therefore, we must maximize the gain to dc current ratio. This is elaborated upon in Section III-D. has little impact on the overall of In fact, the LNA the system, as is obvious from the equations derived in [9]. This result is intuitive because, as the signal progresses to the later stages of the receiver, it passes through more amplifying blocks and its amplitude becomes larger. For example, if the mixer has of 0 dBm and is preceded by an LNA gain of 20 dB, an of the combined LNA and mixer will be lower the overall than 20 dBm. Since the LNA is the first amplification block in requirements. the receiver chain, it is not difficult to meet For our system, the 1-dB compression point imposes a . much stricter linearity requirement on the LNA than the of the LNA is still achievable. Even so, the required III. LNA DESIGN A. Main Changes Fig. 1(a) shows the standard inductively degenerated LNA [5] and Fig. 1(b) shows the proposed LNA. The three main changes are the removal of , replacing with a resistor, and biasing in the weak inversion region. is biased in the saturation region to take advantage of the low parasitic output capacitance associated with the smaller device size. We will justify each of these changes. Using the aforementioned design methods, the LNA meets the IEEE 802.15.4 standard’s requirements.

287

Fig. 1. (a) Typical inductively degenerated LNA. (b) Proposed LNA. In the proposed LNA, we have removed L and replaced L with R . M is biased in the subthreshold region.

B. Input Matching In Fig. 1(a), adds a real part to the input impedance, while resonates with (gate–source capacitance) of to provide impedance matching at the operating frequency. Ideadds no noise to the system, making this input matching ally, , method highly attractive. If the impedance at resonance is is then the input current (3) where

is the input voltage. Thus, the voltage across

is (4)

and the transconductance from the input to the drain of

is (5)

For the proposed LNA, the source is matched to the parasitic series resistance of . If this resistance is insufficient, an extra resistor can be added in series with . The justification for this is that the IEEE 802.15.4 standard does not require a low LNA NF, as demonstrated in Section II-B. Furthermore, in typical designs, the low- inductor, i.e., , is often so large that its parasitic resistance not only significantly affects the input matching, but its noise contribution masks the noise contribution of even when using inductive degeneration. In the proposed topology, following the same reasoning, it is is calculated by (5). In other words, the 50easy to see that series resistor introduces no additional loss into the system. The proposed input matching network saves one inductor in the LNA design. In the CSM 0.18- m RF CMOS process, a single-turn top metal inductor with a 100- m-core diameter requires a total m including a peripheral area, area of approximately which must be left unoccupied to prevent magnetic coupling into nearby metal lines. Each additional turn adds 12 m to the radius. C. LNA Load In traditional board level design, the LNA output is expected to match to a 50- load. This practice has continued in several integrated circuit designs through the use of an LC matching

288

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

network like the one used in Fig. 1(a). Using this network, we by (see Appendix) can approximate the voltage gain

(6) is the parallel parasitic resistance of combined where . We have assumed that the cawith the output resistance of has sufficiently high , and that its parasitic resispacitor can be made equal to , tance can be neglected. If the voltage gain will be maximized, and the matching network still performs the useful funcis unnecessary. The inductor and tion of resonating with the parasitic load capacitance of equal or the down-conversion mixer. In order to make greater than , we can minimize the device sizes of the passive mixer. This is in line with the low-power theme since the reduced loading on the local oscillator (LO) signal will further reduce the required power consumption. with a load reIn the proposed design, we have replaced . This is possible because the dc current through the sistor LNA is only 630 A. For a 1-k resistor, this results in a voltage drop of 0.63 V, which provides for sufficient voltage swing at the output. Use of a load resistor significantly reduces the chip area needed by a load inductor. Furthermore, the load has no resonant frequency, making the gain broadband and less sensitive to process variation. This increases the chance of a first-pass circuit design success. Unfortunately, these benefits come at the cost of reduced gain due to signal loss through the parasitic load capacitance, and a 3-dB loss in the maximum voltage swing.

Fig. 2. g =I 2.45 GHz.

of a MOS transistor and cascode structure at 100 Hz and

process, is roughly equal to 1.5. The corresponding subthreshold equations can be derived based on the BSIM3v3 model [11]

(9) is proportional to , and is an offset voltage. If is more than , then the first term in brackets is close to . Thereunity and we can safely ignore the contribution of fore,

(10) (11)

D. Weak Inversion Biasing From the discussion in Section II, we can relax the LNA noise requirements and, therefore, lower power consumption. We must now find a way to meet the high voltage gain requirements with reduced power consumption. Weak inversion biasing is usually restricted to low-frequency circuit designs because of the poor associated with the low current drive. It is well known that lowering the gate overdrive to dc current ratio, but to meet the required improves the , large input transistors are usually needed, which have correspondingly large gate capacitances. It is clear from (5) that, , we need to minimize the gate capacitance. to maximize Using the standard saturation region dc current equations for long channel devices, we can approximate

(7) (8) is a technology dependant constant, and is the where threshold voltage. To ensure saturation region operation, we to at least [10], where is the should set mV , and is the subthermal voltage threshold slope, which, for the CSM 0.18- m RF CMOS

Equations (8) and (11) illustrate that improves until the device enters subthreshold operation then reaches its maxincreases with imum value, while (7) and (10) show that . We can also see that (8) and (11) disagree at the increasing border between saturation and subthreshold operation V . Equation (8) shows is roughly , whereas (11) shows is roughly equal equal to 51.3 . In practice, the gradient of (8) will gradually level to 25.6 will tend to (11). off and While these trends hold at low frequencies, they are somewhat distorted at higher frequencies due to parasitic effects. At 2.45 GHz, the amount of leakage current through the gate–drain can exceed the channel current if the trancapacitance of sistor is biased in the deep subthreshold region. Fig. 2 shows at 100 Hz and 2.45 GHz, and Fig. 3 simulations of shows the corresponding phase difference between the input voltage and output current. The simulations are run for both single device and cascode structures. From Fig. 2, reaches a maximum value of around 26 V at low frequency, which agrees with (11). With improving technology, the same , can be yielded device dc current, and hence, subthreshold with a smaller gatewidth. Since is proportional to channel width, improving technology can make subthreshold biasing a reduces, the ratio of leakage more attractive solution. As current to channel current will correspondingly reduce.

DO et al.: SUBTHRESHOLD LNA OPTIMIZED FOR ULTRA-LOW-POWER APPLICATIONS IN ISM BAND

289

Fig. 3. Output current phase shift of a MOS transistor and cascode structure at 100 Hz and 2.45 GHz.

From Fig. 3, when is less than 0.35 V for a single transistor or 0.44 V for a cascade structure, the leakage current exis biased by a curceeds the channel current. In our design, rent mirror at around 0.47 V. In designing the LNA, we must realize that the ultimate goal is high voltage gain measured from the input to output. In lowcurrent designs, the output resistance is improved since (12) where is a constant, which depends on the channel-length modulation of the device, and we have assumed the cascode stage is biased in the saturation region. Smaller device size also results in lower output capacitance. Hence, for our design, for the advantage of high and we can accept low . Our resulting voltage gain is enough to meet the high receiver requirements. The reader should remember that the LNA will be loaded by an impedance equal to the following stage’s input impedance, which will appear in parallel with (see Section III-C). Therefore, lowering past a certain point will result in insufficient voltage gain. IV. SIMULATED AND MEASURED RESULTS A. Design for Measurement The LNA was designed and fabricated using CSM’s 0.18- m RF CMOS process. For measurement purposes, the standard practice is to design a buffer to match the output port to the 50load of the measuring equipment. Unfortunately, it is difficult to accurately model both the gain and noise contribution of such a buffer, and it will inevitably distort the frequency response characteristics of the LNA. with In order to avoid the need for a buffer, we replaced the simple resistive divider attenuator shown in Fig. 4. This attenuator was used for measuring voltage gain. NF was measured separately. Since on-chip matching of resistors is extremely accurate (within 0.1%) [12], the voltage gain can be accurately deembedded with knowledge of the resistor ratios and the mea. The 900- resistor is formed by three 300- resissured tors in series and the 50- resistor is formed by six 300- resistors in parallel. The nine resistors were placed in three rows and three columns with the three series resistors forming the second

Fig. 4. Fabricated LNA including a dummy quadrature mixer to account for the load impedance. The inset shows a passive mixer, where, in this case, and connect to V , and and are grounded.

LO0

IF+

IF0

LO+

row. This common-centroid arrangement minimizes the effects of process variation. and are controlled by a cascode curFrom Fig. 4, rent mirror. The current to the LNA and the current mirror come from separate supply voltages, allowing us to accurately meaand are ac grounded. sure the LNA current consumption. Not only does this remove noise arising from the current mirror, but it also prevents feedback through the gate–drain capacitance of the cascade transistor from lowering the output impedance is set to around 1.2 V to allow and, hence, the voltage gain. the supply voltage to vary from 1.5 to 1.8 V without significantly affecting the voltage gain. The 10-pF capacitor is a bypass capacitor. The fabricated design includes a quadrature passive mixer to properly account for LNA loading. The passive mixer has recently gained a lot of attention and has been used in several studies [8], [13], [14] for its low power and low flicker noise, making it appealing for direct conversion and low-IF receivers. In this design, the quadrature passive mixer is properly biased, but the LO signal is shorted to ground. The single balanced mixer schematic is shown in the inset of Fig. 4. In a typical pasand to sive mixer, a large capacitor is added between ac ground any RF signals [13]. With no LO signal to make the outputs differential, we instead chose to ground the mixer outputs. Two such single balanced mixers were used to load the LNA to account for both the in-phase and quadrature (I and Q) paths. In a fully differential system, two LNAs are used and each is loaded by a pair of single balanced mixers with cross coupled outputs of the corresponding I and Q mixers. In our design, small switch sizes are used to increase the load impedance seen by the LNA and the LO. However, the switches are directly in the signal path, and the mixer noise performance degrades as the device width reduces and resistance increases. This is compensated by the high LNA gain. Another drawback to small switch sizes is device mismatch due to process variation. Mismatch between the I and Q paths leads to loss in image rejection. For the IEEE 802.15.4 standard, however, the image rejection requirement is equal to the signal-to-noise (SNR) requirement [8], which is only 0.5 dB [1]. The mixer input impedance was derived in [13], where the switch capacitance was not included.

290

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

The derivation in [14] shows that small device size and low duty cycle are favorable for high input impedance. Simulations on the 66.25 passive mixer show that the input impedance is 1042 (2588 in parallel with 57 fF). B. Voltage Gain and NF Measurement For accurate measurement, the voltage gain and NF were measured from separate output pads. From Fig. 4, the voltage gain was measured from Output 1, and the NF was measured from Output 2. The actual LNA output node is also marked in Fig. 4. When one output pad is under test, the other is considered an open circuit and ideally has no effect on the circuit. In practice, the pad introduces a small capacitance to ground. The true resistance of the 50- resistor in Fig. 4 can be approximated as (13)

Fig. 5. Measured and simulated S erating frequency.

and voltage gain (in decibels) versus op-

From the output port, the resistance looking into the 900resistor appears as a much larger value since it appears in series with the output impedance of the cascade transistor combined with the input impedance of the mixer. Therefore, its contribuis negligible. The overall voltage gain is tion to (14) Measuring the NF from the attenuator output is complicated due to the deembedding. Furthermore, the circuit noise level is already very low so passing it through an attenuator can only degrade the measurement accuracy. For these reasons, the NF was measured from Output 2. Before measuring the NF, the system is calibrated to deembed any noise resulting from cables, the probe to wafer connection, and the load. Since the load noise is deembedded, loading of the LNA with a small resistance (50 ) will not affect the measured NF as long as the dc characteristics of the LNA remain the same. The same cannot be said of voltage gain.

Fig. 6. Simulated and measured NF versus frequency.

C. Measurement Results The -parameters of the fabricated LNA were measured with a 15-dBm input power, which is higher than the required . This was necessary in order to properly calibrate the test equipment. Fig. 5 shows the measured and deembedded voltage gain. From Fig. 5, the optimum operating frequency is 2.52 GHz, but the circuit has better than 15-dB input matching over the desired ISM bandwidth. Fig. 6 shows the measured versus simulated NF of the LNA. The data was averaged over the four corners of the wafer. The gain variation for the wafer was 0.25 dB and the NF variation was 0.11 dB at 2.4 GHz when the current was maintained at 630 A. The measured NF exceeded the simulated NF by 1 dB. This large difference is attributed to the poor noise modeling in the subthreshold region. is 15 dBm and the is 10 dBm. The simulated As shown in Fig. 5, the LNA was purposely designed with a positive frequency offset in order to account for un-modeled paris thus asitic capacitances and inductances. The measured shifted to the left. Fig. 7 shows a micrograph of the chip. The LNA area is approximately 500 m 360 m. From the micrograph, it is obvious that the inductor including the peripheral

Fig. 7. Micrograph of the fabricated LNA.

area, which cannot be used takes up most of the LNA area (approximately 90%). This stresses the importance of minimizing the number of on-chip inductors. D. Discussion In order to properly analyze the LNA’s performance, we compare our design to several recently published studies in Table II. Designs in [15] and [16] are subthreshold designs. In [16], the output is matched to a 50- load, which, as shown in Section III, results in suboptimal voltage gain. The LNA in [8] achieves significantly higher gain than this study, while using double the current consumption. The high gain is a result of the two-stage current reuse topology employed. Multistaging increases gain exponentially while increasing current consumption linearly. The design requires stacking four transistors and three inductors. Such high gain adds strain to the linearity requirements of the

DO et al.: SUBTHRESHOLD LNA OPTIMIZED FOR ULTRA-LOW-POWER APPLICATIONS IN ISM BAND

291

TABLE II COMPARISON OF PROPOSED LNA AND CURRENT LITERATURE

NF is for the entire receiver. The theoretical minimum NF for a common gate amplifier with matched input (used in [8]) is 2.2 dB [17].

ideal, and the signal power going into the network from the left . At resonance, we is equal to the power across the load can write (15) Therefore, the voltage gain at the resonant frequency can be calculated as Fig. 8. Small-signal equivalent output matching network of Fig. 1(a).

following stages. The design in [9] achieves the lowest NF, but this comes at the cost of the highest current consumption. Such low NF is not necessary for our application. It should also be noted that [9] uses 0.35- m technology. All of the LNAs are fully integrated, but this study requires only one inductor, while the other designs require at least three. The number of inductors is one of the main factors in determining the overall silicon area and resulting cost of an RF integrated circuit (RFIC). V. CONCLUSION A fully integrated LNA was designed based on the IEEE 802.15.4 standard. In Section II, we showed that the LNA NF is not a critical performance parameter for the IEEE 802.15.4 standard. The required performance was shown and justified, and an architecture was proposed that best suits the requirements. The design method was explained, the LNA was fabricated, and its performance was measured. The measured dc current consumption is among the lowest in current literature, while the gain is over 20 dB. The fabricated LNA uses only one inductor to minimize the area, thereby improving the cost effectiveness of the design. APPENDIX Fig. 8 shows the small-signal output matching network of Fig. 1(a). approximates the output resistance of the cascade transistor combined with the parallel parasitic impedance is of sufficiently high that its parasitic resistance of . can be ignored. The matching network is, therefore, considered

(16)

ACKNOWLEDGMENT The authors would like to acknowledge the help of L. W. Meng, Nanyang Technological University, Singapore, on the on-wafer measurement. The authors also wish to acknowledge the support of CSM, Singapore, for wafer fabrication. REFERENCES [1] N.-J. Oh and S.-G. Lee, “Building a 2.4-GHz radio transceiver using IEEE 802.15.4,” IEEE Circuits Devices Mag., vol. 21, pp. 43–51, Jan. –Feb. 2006. [2] K.-H. To, Y.-B. Park, R. Thoma, W. Brown, and M. W. Huang, “High frequency noise characteristics of RF MOSFETs in subthreshold region,” in Proc. IEEE Radio Freq. Integrated Circuits Symp., Jun. 2003, pp. 163–166. [3] IEEE Local and Metropolitan Area Networks, IEEE 802.15.4 Standard, 2003. [4] N.-J. Oh and S.-G. Lee, “A CMOS 868/915 MHz direct conversion ZigBee single-chip radio,” IEEE Commun. Mag., vol. 43, no. 12, pp. 100–109, Dec. 2005. [5] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 1998, ch. 5 and 6, sec. 5.1.3 and 6.1.2, pp. 138–146, 173. [6] J. C. Rudell, J. A. Weldon, J.-J. Ou, L. Lin, and P. Gray, “An integrated GSM/DECT receiver: Design specifications,” Electron. Res. Lab., Univ. California at Berkeley, Berkeley, CA, UCB/ERL M97/82, 1998. [7] B. Razavi, “Noise,” in Design of Analog CMOS Integrated Circuits, Int. ed. Singapore: McGraw-Hill, 2001, ch. 7, pp. 201–245. [8] W. Kluge, F. Poegel, H. Roller, M. Lange, T. Ferchland, L. Dathe, and D. Eggert, “A fully integrated 2.4-GHz IEEE 802.15.4-compliant transceiver for ZigBee applications,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2767–2775, Dec. 2006. [9] W. Sheng, A. Emira, and E. Sanchez-Sinencio, “CMOS RF receiver system design: A systematic approach,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 5, pp. 1023–1034, May 2006.

292

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[10] T. H. Lee, “A review of MOS device physics,” in The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2004, ch. 5, p. 182. [11] W. Liu et al., BSIM3v3.2 MOSFET Model, User’s Manual. Berkeley, CA: Univ. California at Berkeley, 1998. [12] A. Hastings, “Resistors,” in The Art of Analog Design. Upper Saddle River, NJ: Pearson Educ., 2001, ch. 5, p. 156. [13] B. W. Cook, A. Berny, A. Molnar, S. Lanzisera, and K. S. J. Pister, “Low-power 2.4-GHz transceiver with passive RX front-end and 400-mV supply,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2757–2766, Dec. 2006. [14] T.-K. Nguyen, V. Krizhanovskii, J. Lee, S.-K. Han, and S.-G. Lee, “A low power RF direct conversion receiver/transmitter for 2.4 GHz-band IEEE 802.15.4 standard in 0.18-m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4062–4071, Dec. 2006. [15] B. G. Perumana, S. Chakraboty, C.-H. Lee, and J. Laskar, “A fully monolithic 260- W, 1-GHz subthreshold low noise amplifier,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 6, pp. 428–430, Jun. 2005. [16] H. Lee and S. Mohammadi, “A 3 GHz subthreshold CMOS low noise amplifier,” in Proc. Radio Freq. Integrated Circuits (RFIC) Symp., Jun. 2006, [CD ROM]. [17] Y. Lu, K. S. Yeo, A. Cabuk, J. Ma, M. A. Do, and Z. Lu, “A novel CMOS low-noise amplifier design for 3.1- to 10.6-GHz ultra-wideband wireless receivers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 8, pp. 1683–1692, Aug. 2006. Aaron V. Do (S’05–M’06) was born in Wellington, New Zealand, in 1982. He received the B.Sc. degree in electrical engineering from The University of Texas at Austin, in 2004, the M.Eng. degree in electrical and electronic engineering (with a specialization in LNA and passive mixer design for direct conversion receivers) from Nanyang Technological University, Nanyang, Singapore, in 2006, and is currently working toward the Ph.D. degree (part time) at Nanyang Technological University. He is currently a Research Associate with Nanyang Technological University. His research is focused on ultra-low power RF front-end circuit and system design.

Chirn Chye Boon received the B.E. degree (Hons.) in electronics and Ph.D. degree in electrical engineering from Nanyang Technological University (NTU), Singapore, in 2000 and 2004, respectively. In 2005, he joined NTU as a Research Fellow and became an Assistant Professor later that same year. Prior to that, he was a Senior Engineer with Advanced RFIC. He specializes in direct conversion RF transceiver front-end design, phase-locked-loop frequency synthesizers, clock and data recovery circuits, and frequency dividers. Prof. Boon is a reviewer for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PART I: REGULAR PAPERS, the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS, and the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Manh Anh Do (M’05–SM’05) received the B.Sc. degree in physics from University of Saigon, Saigon, Vietnam, in 1969, and the B.E. degree (Hons.) in electronics and Ph.D. degree in electrical engineering from the University of Canterbury, Canterbury, New Zealand, in 1973 and 1977, respectively. From 1977 to 1989, he held various positions including Research and Development Engineer and Production Manager with Radio Engineering Ltd., Research Scientist with the Fisheries Research Centre, Wellington, New Zealand, and Senior Lec-

turer with the National University of Singapore. In 1989, he joined the School of Electrical and Electronic Engineering, Nanyang Technological University (NTU), as a Senior Lecturer, became an Associate Professor in 1996, and a Professor in 2001. He has been a consultant for numerous projects in the Singapore electronic industry, and was the principal consultant for the design, testing, and implementation of the $200 million Electronic Road Pricing (ERP) island-wide project in Singapore (1990–2001). From 1995 and 2005, he was Head of the Division of Circuits and Systems, School of Electrical and Electronic Engineering, NTU. He is currently the Director of Centre for Integrated Circuits and Systems (CICS), NTU. He has authored or coauthored over 200 papers in the areas of electronic and communication circuits and systems. His current research concerns digital and mobile communications, RF integrated-circuit (IC) design, mixed-signal circuits, and intelligent transport systems. Prior to that, he specialized in sonar designing, biomedical engineering, and signal processing. Dr. Do is a Fellow of the Institution of Engineering and Technology (IET), U.K., a Chartered Engineer in the U.K., and a Professional Engineer (Singapore). He was a council member of the IET from 2001 to 2004. Since April 2005, he has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES.

Kiat Seng Yeo (M’00) received the B.E. degree in electronics (Hons.) and Ph.D. degree in electrical engineering from Nanyang Technological University (NTU), Singapore in 1993 and 1996, respectively. In 1996, he began his academic career as a Lecturer, became an Assistant Professor in 1999, and then an Associate Professor in 2002. from 2001 to 2005, he was Sub-Dean (Student Affairs), during which time he held several concurrent appointments as Program Manager of the System-on-Chip flagship project, Coordinator of the Integrated Circuit Design Research Group, and Principal Investigator of the Integrated Circuit Technology Research Group, NTU. He is on the Advisory Committee of the Centre for Science Research and Talent Development, Hwa Chong Junior College. In July 2005, he became Head of Circuits and Systems for a three-year period. He is also a consultant/advisor to statutory boards and multinational corporations in the areas of semiconductor devices, electronics, and integrated circuit design. He authored Low-Voltage, Low-Power VLSI Subsystems (McGraw-Hill, Int. ed., 2005), Low-Voltage Low-Power Digital BiCMOS Circuits: Circuit Design, Comparative Study and Sensitivity Analysis (Prentice-Hall, 2000, Int. ed.), and CMOS/BiCMOS ULSI: Low-Voltage, Low-Power (Prentice-Hall, NJ, 2002, Int. ed.). The latter was translated into a Chinese language version. He holds more than six patents and has additional patents pending. He has authored or coauthored over 200 papers on CMOS/BiCMOS technology and integrated circuit design appearing in leading technical journals and conferences worldwide. He is a Technical Reviewer for several international journals. He was listed in Marquis’ Who’s Who in the World and Marquis’ Who’s Who in Science and Engineering. Prof. Yeo was the technical chair of the 8th and 9th International Symposium on Integrated Circuits, Devices, and Systems (ISIC’99 and ISIC’01, respectively). He also served on the Program Committee of the International Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA), Taiwan, R.O.C., and the International Symposium on Low-Power and High-Speed Chips (COOL Chips), Tokyo, Japan, in 1999 and 2002, respectively.

Alper Cabuk (M’07) received the B.Sc. degree in electrical engineering from Middle East Technical University (METU), Ankara, Turkey, in 1999, and the M.Eng. and Ph.D. degrees in electrical and electronic engineering from Nanyang Technological University (NTU), Singapore, in 2002 and 2006. From 1998 to 1999, he was with the Information Technologies Institute (Bilten), Ankara, Turkey. He is currently a Research Fellow with NTU. His research interests include CMOS RF integrated circuit design, low-voltage low-power analog/baseband circuit design, and clock and data recovery circuits for SONET systems.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

293

L- and S -Band Compact Octave Bandwidth 4-bit MMIC Phase Shifters Inder J. Bahl, Fellow, IEEE, and David Conway

Abstract—This paper presents the design approach and test results of - and -band compact monolithic microwave integrated circuit (MMIC) phase shifters (PSs) developed for octave band applications. The PS designs were based on an optimum topology selected for each bit for compact size and low insertion loss, and have on-chip integrated digital control. The MMIC PSs were fabricated employing GaAs-based high-performance 0.4- m MSAG MESFET technology with multilevel-plating process. We achieved a chip size of 2.6 mm2 , the smallest size reported to date. Index Terms—4-bit phase shifters (PSs), PSs, -band PSs.

TABLE I SUMMARY OF L- AND S -BAND MMIC PSS

-band PSs, multibit

I. INTRODUCTION

D

URING THE past decade, there has been significant progress in programmable phase shifters (PSs). Many different technologies including GaAs- and Si-based monolithic microwave integrated circuits (MMICs) [1]–[4], microelectromechanical systems (MEMS) [5], and ferroelectric [6], [7] are being pursued to develop compact and low-cost PSs. Several - and -band applications require multibit compact and low-cost PSs. However, to date, not much research on and -band multibit MMIC PSs has been reported in the published literature. Progress in multibit MMIC PSs is summarized [1]–[7] in Table I. Most practical electronically steered antennas for commercial applications including satellite communication and WiMax base stations use 4-bit PSs as a compromise between the cost, size, insertion loss, and the incremental improvement in system performance when more phase bits are used. This paper reports on the design and test results of compact and low-loss - and -band 4-bit GaAs MMIC PSs. Both these circuits have on-chip integrated digital drivers for low-cost applications. II. PS DESIGN We have developed excellent multibit PSs for narrowband -band using the and wideband applications from - through GaAs MSAG MESFET process [8]. In order to meet current requirements and gain wider acceptance of these components, PSs must have a smaller size and lower insertion loss. These features can be accomplished with the MSAG process using 5C field-effect transistors (FETs) (a cross-sectional view is shown in Fig. 1)

Manuscript received May 30, 2007; revised September 14, 2007. The authors are with Tyco Electronics, Roanoke, VA 24019 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914636

M/A-COM, RF and Microwave Product Solutions, Lowell, MA 3 Do not have on-chip integrated digital circuits

Fig. 1. Cross-sectional view of the MSAG switch FET.

with multilevel-plating (MLP), high- inductors [9], [10] and a 5-mil-thick GaAs substrate. The insertion loss can further be reduced using a suitable topology with fewer devices connected in series, while the size is reduced by using a suitable topology, compacting components, using 3-D inductors and MLP. The MLP process enables the incorporation of 3-D inductors and also provides the flexibility in routing the control bias lines in a compact way. Digital PSs can have a number of topologies, but the general principle is either that the signal is switched between two networks, which have a fixed phase difference, or the phase shift of a single network is controlled by switching elements in or out of the network. Generally, a different technique is optimum for each of these individual ‘bits’ and also depends on the bandwidth. In general, the insertion loss of single-pole double-throw (SPDT)-based PSs is high because the switching devices are in series with the transmission path and its insertion loss increases with frequency. Multiple sections of series/shunt lumped elements results in smaller size and larger bandwidth at the cost of increased insertion loss due to low of these elements as compared to distributed circuit elements such as microstrip.

0018-9480/$25.00 © 2008 IEEE

294

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. Simplified ON and OFF state ECs of a switch FET.

However, using lumped elements for the low-pass/high-pass filter networks makes this technique more suitable for low-frequency and broadband applications. In order to further reduce the size, it has been shown that FET switches can be directly integrated into the filters, and the FET parasitic capacitances can be absorbed into the filter network [11]–[14]. Switching Devices: MESFETs or simply FETs are used as passive two-terminal switching devices with the gate terminal acting as a port for the control signal only. The RF connections are made to the drain and source terminals, and the gate terminal looks into an open circuit for the RF signal. In most applications, gate control voltage is approximately 1.5–2.0 times the pinchoff voltage and is applied through a resistor, which isolates the RF between the FET and power supply. The resistor value depends upon the FET’s gate periphery and frequency of operation. For - and -band switches its value is approximately 3000 mm, i.e., if the gate periphery is 1 mm, the resistor is approximately 3k . Switching FETs are modeled by two lumped-element equivalent-circuit models: one when the device is ON (low impedance state) and the second one when the device is OFF (high-impedance state). The model parameters extraction is generally based on statistical data with average and standard deviation values, which will help in centering designs for high yield. A simplified equivalent circuit (EC) model for an MSAG 5C FET is shown in Fig. 2. The model element values shown are normalized to 1 mm of gate periphery. Circuit Design: Both - and -band four bit PSs were designed with 22.5 , 45 , 90 , and 180 values. A suitable topology was selected for each bit to provide optimum performance and size. The topology was then optimized employing an FET model shown in Fig. 2 where FET periphery is a variable. Finally, the circuit was re-optimized using measured -parameters data for standard FET sizes, e.g., 50, 75, 100, 150, 200 m, etc. closest to initially optimized FET sizes. During the design of the compact and low-loss PSs, several tradeoffs were considered. These were as follows: 1) insertion loss; 2) insertion-loss ripple; 3) rms phase error; 4) voltage standing wave ratio (VSWR); 5) size. The topology used in the 22.5 bits is of the integrated or embedded-FET type, as shown in Fig. 3, where the FET’s reactances become part of the phase-shifting low-pass/high-pass filter networks. Here, for the reference state, FET1 and FET2 are ON and FET3 is OFF. This configuration has a lower insertion loss due to a single device in series. The configuration for the 45 bits is an extended version of the embedded-FET type topology and is shown in Fig. 4. Here

Fig. 3. Topology of a simplified embedded-FET type 22.5 PS bit.

Fig. 4. Topology of a modified embedded-FET type 45 PS bit.

an extra switching component denoted by FET4 is added and the device parasitics are absorbed in the low-pass/high-pass filter networks. This bit functions the same way as the 22.5 bit. For the reference state, FET1 and FET2 are ON, and FET3 and FET4 are OFF. This configuration has slightly higher insertion loss due to an additional series FET4. The 90 bits are again extended versions of the 45 bits. As shown in Fig. 5, an extra switching component denoted by FET4 is added in the input side, and the input and output become symmetrical. This bit functions the same way as the 45 bit. The 180 bit designs are of switched-low-pass/high-pass filter PS type, shown in Fig. 6. These designs employ SPDT switches to switch the signal between a high- and low-pass filter. The filters have equal amplitude responses over the range of interest.

BAHL AND CONWAY:

- AND

-BAND COMPACT OCTAVE BANDWIDTH 4-bit MMIC PSs

295

TABLE II FET SIZE USED IN L- AND S -BAND PS BITS

TABLE III SUMMARY OF SIMULATED DATA FOR L- AND S -BAND 4-bit PSS

Fig. 5. Topology used for the 90 PS bit.

with transistor–transistor logic (TTL) levels; 2) minimum GaAs chip size; 3) achieve the same or better chip yield; and 4) to maintain overall lower cost. In these drivers, both depletion- and enhancement-mode transistors are used. The logic gate design is generally optimized by adjusting the sizes of FETs and voltageshift diodes to achieve correct voltage levels, low power consumption, and high-speed operation. The design of such drivers is accomplished by using the SPICE program. These drivers are compatible with CMOS and TTL logic families and their treatments can be found in [15]. for the digital circuits is The power supply voltage 5 V and the logic input voltage is 0 V for “low” and 5 V for and to drive “high.” The logic inputs are converted to the switching FETs. The device control voltages are 4.8 and 0 V. III. CIRCUIT FABRICATION

Fig. 6. Switched network 180 PS bit.

The low-pass/high-pass PS configuration, is very suitable where wider bandwidth and compact size are required. Here the phase shift is realized by using the phase lag property of the low-pass network and phase lead characteristic of the high-pass network, which are connected between two SPDT switches. However, this topology has relatively higher insertion loss. Table II summarizes FET sizes used for the - and -band 4-bit PS bits. In these designs, the metal–insulator–metal (MIM) capacitors have a range from 0.3 to 6 pF and their values are given in [10]. The inductors used are of single- and two-layer types and their values range is from 0.7 to 14 nH. The value for inductors is between 15–32. Table III provides a summary of simulated data for the - and -band 4-bit PSs. On-Chip Integrated Digital Control: To obtain high-speed operation with low-power dissipation in FET switches, the PS integrated circuits (ICs) have integrated on-chip drivers. The primary objectives of the on-chip drivers are; 1) to be compatible

The PS circuits were fabricated using M/A-COM’s ion-implanted planar refractory gate multifunction self-aligned gate (MSAG) MESFET MMIC process [8]. MSAG features a full suite of active and passive components fabricated on 4-in-diameter GaAs wafers and is being used to develop low-cost, high-volume, high-performance, and highly reliable multifunction monolithic ICs for commercial and military applications. Due to its versatility in integrating microwave and high-speed large-scale integration (LSI) functions on a single chip, the process has been called the MSAG process. As shown in Fig. 1, the MSAG process eliminates the need for a gate recess, the single most important yield and reproducibility limiting step. As each device type, which may include enhancement-mode field-effect transistor (EFET), depletion-mode field-effect transistor (DFET), Schottky diode/limiter, low-noise FET, implants, is optimized for switching FET, power FET, and its respective function, it requires an additional mask. The PS devices were fabricated using the Process 5 EFET, DFET, and switching FET implants. The process includes Au/Ge/Ni metallization for ohmic contacts, 0.4- m TiWN Schottky barrier gates, along with thin-film and ion-implanted resistors. The 0.4- m TiWN gates are covered by a 0.8- m overlay

296

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

(a)

Fig. 8. Measured and simulated performance of the L-band 4-bit PS.

(b) Fig. 7. (a) L-band 4-bit PS. (b) S -band 4-bit PS. Chip size is 2.6 mm .

after planarization. The MSAG TiWN gate is extremely robust (survives 900 C rapid thermal anneal temperature), which results in mean time to failure (MTTF) of 100 years at a channel temperature of 150 C. A thickness of 2000 silicon nitride is used for both MIM capacitors and passivation. The air bridges and bonding pads are 4.5- m-thick plated gold. In the MLP MSAG process, we use three layers of poly: interlevel dielectric (3 m thick), inductor imide crossover layer (7 m), and scratch protection buffer layer (7- m thick) for mechanical protection of the finished circuitry. Three metal layers are metal 1 (0.5- m thick), first plated gold (4.5- m thick), and second plated gold (4.5- m thick). The MLP process allows the designer to reduce overall chip size and lower resistive loss in passive components. Low capacitance metallization crossovers are achieved by a polyimide intermetal dielectric layer. Front side processing is completed by the pattering of a polyimide buffer layer. The buffer layer provides mechanical protection of the circuit structures during backside processing, dicing, and subsequent assembly operations. Finally, the wafers are thinned to its final thickness, through-wafer vias are etched, and the backside is metallized. The substrate thickness of the PS chips is 125 m. Fig. 7(a) and (b) shows photographs of the - and -band PS ICs, respectively. The chip size is 2.4 1.1 mm . IV. TEST DATA AND DISCUSSIONS The measured performance of the - and -band PSs was determined using on-wafer measurements of four wafers. For both circuits, the data from several hundred ICs was screened and averaged to develop the performance charts that follow. Both PS designs had final test yields of approximately 80%.

Fig. 9.

Fig. 10.

L-band PS, insertion loss versus state.

L-band PS, input return loss versus state.

Average measured phase shift for each bit and total phase shift of the -band 4-bit PS are shown in Fig. 8. Simulated data is also shown for comparison. There is an excellent agreement between the simulated and measured performance. The -parameters of the -band PS are shown in Figs. 9–11, insertion loss, input return loss, and output return loss, respectively, versus phase state and frequency. The phase states shown are reference state or all phase bits off; all primary bits: 22.5 , 45 , 90 , and 180 ; and two combination states; 270 and 337.5 , the all-phase bits on state. This collection of phase states yields a concise representation of the IC performance without the overhead of measuring and analyzing every phase-state combination. Over the design

BAHL AND CONWAY:

- AND

-BAND COMPACT OCTAVE BANDWIDTH 4-bit MMIC PSs

Fig. 11.

L-band PS, output return loss versus state.

Fig. 12.

L-band PS, relative phase response versus commanded state.

Fig. 14

297

L-band PS, statistical phase errors.

Fig. 15. Measured and simulated performance of the S -band 4-bit PS.

PS: the average phase error and rms error. The average error is biased positively. This means that this PS is a bit short and will be corrected in the next iteration. The rms error is 3 across the operating band. Taken together, the peak, average, and rms phase errors are indicative of a very good mature PS design. However, these results were achieved on a first iteration. The rms error was calculated as follows:

Fig. 13.

L-band PS, peak phase error versus commanded state.

(1) where

band of 1.4–2.4 GHz, the insertion loss (Fig. 9) of the -band PS is excellent at 4.2 dB. In addition, the gain variation with respect to phase state is also quite good at 1.3 dB. As seen in and magnitudes (input and output Figs. 10 and 11, the 10 dB. return loss) of this IC over phase state are The phase performance metrics of the -band PS are shown in Figs. 12–14. In Fig. 12, the normalized phase shift of the device is shown as a function of the idealized phase response and frequency. The three separate traces for the band edges and midband are smooth and well behaved. This behavior is borne out in better detail in Fig. 13 where the measured phase shift is compared against the ideal phase value. Worst case or peak phase error over all states and frequencies is from 5 to 10 . Finally, Fig. 14 shows the statistical figures of merit for this

; phase-shift error of state frequency;

as a function of

measured relative phase shift of state versus frequency; ideal phase shift of state ; i

1, 2

, 16; phase-state counter.

Average measured phase shift for each bit and total phase shift of the -band 4-bit PS are shown in Fig. 15. Simulated data is also shown for comparison. Again, there is an excellent agreement between the simulated and measured performance. The

298

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 16.

S -band PS, insertion loss versus state.

Fig. 19.

S -band PS, relative phase response versus commanded state.

Fig. 17.

S -band PS, input return loss versus state.

Fig. 20.

S -band PS, peak phase error versus commanded state.

Fig. 18.

S -band PS, output return loss versus state.

Fig. 21.

S -band PS, statistical phase errors.

-parameters of the -band PS are shown in Figs. 16–18, insertion loss, input return loss, and output return loss, respectively, versus phase state and frequency. Over the design band of 2.2–3.8 GHz, the insertion loss (Fig. 16) of the -band PS is excellent at 4.7 dB. In addition, the gain variation with respect to phase state is also quite good at 1.7 dB. As seen in Figs. 17 and magnitudes (input and output return and 18, the loss) of this IC over phase state are 10 dB. The phase performance metrics of the -band PS are shown in Figs. 19–21. In Fig. 19, the normalized phase shift of the device is shown as a function of the idealized phase response and frequency. Like the -band design, the phase performance across the band is smooth and well behaved. This observation is

borne out in detail in Fig. 20 where the measured phase shift is compared against the ideal phase value. Peak phase error over all states and frequencies is from 8 to 9 . Finally, Fig. 21 shows the statistical figures of merit for this PS: the average phase error and the rms error. The average error is biased negatively. This 3 across means that this PS is a bit long. The rms error is the operating band. Taken together, the peak, average, and rms phase errors are indicative of a very good PS design. Again, these results were achieved on a first iteration. The plots shown in Figs. 22 and 23 are population histograms of each circuit. In each case, the parameter plotted is the relative insertion phase of the PS in the “all bits on” state at midband. In a 4-bit PS, the ideal relative phase shift for this state is 337.5 .

BAHL AND CONWAY:

- AND

-BAND COMPACT OCTAVE BANDWIDTH 4-bit MMIC PSs

299

REFERENCES

Fig. 22. L-band PS, population histogram of “all bits on” phase state, phase shift = 337:5 , freq = 1:9 GHz.

Fig. 23. S -band PS, population histogram of “all bits on” phase state, phase shift = 337:5 , freq = 3:0 GHz.

The histograms shown in these plots tell two stories. First, the accuracy of both PSs as was shown with other more comprehensive figures of merit is quite good. In both cases, the median phase shift is within 2 –3 of the design target. Second and more importantly, the spread in the relative phase shift of the all bits on state over hundreds of parts and four wafers is very small, 95% of the parts are within 4 . This result is a testament to the repeatability and uniformity of the MSAG processed MMICs. In terms of power parameters, both PS designs can handle incident power levels of up to 1 W, and have input TOIs of approximately 32 dBm. V. CONCLUSION The performance outcomes of both the - and -band PSs are a strong validation of the topologies and methodologies employed in the execution of these designs. We achieved rms error 3 across the operating bands. At these error levels, these PSs could be used in most phased-array applications without a lookup table. A lookup table is a mapping used to minimize the error between the PS value and commanded state. This fact results in a greatly simplified aperture calibration process and less overhead in terms of physical memory employed in the aperture beam-steering processors. ACKNOWLEDGMENT The author wishes to acknowledge the support of the layout, test, standard microwave products, and wafer-processing groups of M/A-COM, Roanoke, VA.

[1] Y. Ayasli, S. W. Miller, R. Mozzi, and L. K. Hanes, “Wide-band monolithic phase shifter,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 12, pp. 1710–1714, Dec. 1984. [2] P. R. Maloney, M. A. Merger, and J. P. Sasonoff, “L-band GaAs transceiver components,” in IEEE GaAs IC Symp. Dig., 1985, pp. 121–124. [3] H. Hayashi and M. Muraguchi, “An MMIC active phase shifter using a variable resonant circuit,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 10, pp. 2021–2026, Oct. 1999. [4] C. F. Campbell and S. A. Brown, “A compact 5-bit phase-shifter MMIC for K -band satellite communications systems,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2652–2656, Dec. 2000. [5] S. Lee, J. Park, H. Kim, J. Kim, Y. Kim, and Y. Kwon, “Low-loss analog and digital reflection-type MEMS phase shifters with 1 : 3 bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 211–219, Jan. 2004. [6] A. Mahmud, T. S. Kalkur, A. Jamil, and N. Cramer, “A 1-GHz active phase shifter with a ferroelectric varactor,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 5, pp. 261–263, May 2006. [7] Q. Meng, X. Zhang, F. Li, J. Huang, X. Zhu, D. Zheng, B. Cheng, Q. Luo, C. Gu, and Y. He, “An impedance matched phase shifter using BaSrTiO thin film,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 6, pp. 345–347, Jun. 2006. [8] I. J. Bahl, M. J. Drinkwine, A. E. Geissberger, E. L. Griffin, and J. A. Grzyb, “Multifunction SAG process for high-yield low cost GaAs microwave integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 9, pp. 1175–1182, Sep. 1990. [9] M. Ashman and I. Bahl, “High performance wideband MSAG gain block/driver amplifier MMICs using MLP technology,” Microw. J., vol. 47, pp. 74–88, Dec. 2004. [10] I. Bahl, Lumped Elements for RF and Microwave Circuits. Norwood, MA: Artech House, 2003. [11] R. Coats, J. Klein, S. D. Pritchett, and D. Zimmermann, “A low loss monolithic five-bit PIN diode phase shifter,” in IEEE MTT-S Int. Microw. Symp. Dig., 1990, pp. 915–918. [12] P. Miller and J. S. Joshi, “MMIC phase shifters for space applications,” in Proc. ESA Int. MMICs for Space Applicat. Workshop, Noordwijk, The Netherlands, Mar. 1990. [13] I. D. Robertson and S. Lucyszyn, Eds., RFIC and MMIC Design and Technology. London, U.K.: IEE Press, 2001. [14] S. Marsh, Practical MMIC Design. Norwood, MA: Artech House, 2006. [15] K. Chang, I. Bahl, and V. Nair, RF and Microwave Circuit and Component Design for Wireless Systems. Hoboken, NJ: Wiley, 2002, ch. 7. Inder J. Bahl (M’80–SM’80–F’89) received the B.S. degree in physics from Punjab University, Punjab, India, in 1965, the M.S. degree in physics and M.S. (Tech.) degree in electronics engineering from the Birla Institute of Technology and Science, Pilani, India, in 1967 and 1969, respectively, and the Ph.D. degree in electrical engineering from the Indian Institute of Technology, Kanpur, India, in 1975. He is currently a Distinguished Fellow of Technology with M/A-COM, Roanoke, VA. He has authored or coauthored over 150 research papers and 13 books. He holds 16 patents. He is the Editor for the International Journal of RF and Microwave Computer-Aided Engineering. Dr. Bahl is a member of the Electromagnetic Academy. David Conway received the B.S.E.E. degree from the Georgia Institute of Technology, Atlanta, in 1984, and the M.S.E.E. degree in electromagnetics from the University of Southern California, Los Angeles, in 1986. From 1984 to 1989, he was with the Hughes Aircraft Company, where he developed phased-array hardware and simulation software. From 1989 to 2000, he was with ITT, where he was involved in the areas of phased-array radiators and T/R module design and test development. In 2000, he joined M/A-COM, Roanoke, VA, where he is currently a Product Engineering Manager overseeing MMIC testing, standard product development, and customer support.

300

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

A 2-GHz GaAs HBT RF Pulsewidth Modulator Michael Nielsen and Torben Larsen, Senior Member, IEEE

Abstract—RF pulsewidth modulation (PWM) has been proposed as an efficiency improving technique for power amplifiers (PAs) handling varying envelope signals. The core idea is to modulate the varying envelope into a square-wave signal such that the width of each pulse is varied according to the envelope, and any phase information is contained in the timing of the pulses. The square wave signal can give a theoretical efficiency of 100% for the subsequent PA. Such a system differs significantly from a conventional up-conversion and PA and, therefore, a significant design challenge results. The first important step is to generate the PWM signal. This paper demonstrates a functional modulator for Universal Mobile Telecommunications System operating at 2 GHz. The modulator is designed in a GaAs HBT process and uses low-frequency feedback combined with predistortion to obtain a high spectral purity. Measurements show a Universal Mobile Telecommunications System PWM signal with more than 10-dB margin to the modulation mask and an error vector magnitude of less than 1.5% rms (requirement: 17.5%). Index Terms—Class D, class E, modulator, power amplifier (PA), RF pulsewidth modulation (RF PWM), switch mode.

I. INTRODUCTION CLASS D or E amplifier combined with a square-wave modulator has been proposed as a system for linear RF transmission [1]–[8]. Since the modulator transforms the varying envelope input signal into square waves, the power amplifier (PA) can be driven as a switch with a theoretical power efficiency of 100%. The concept is illustrated in Fig. 1. The modulation [1]–[5] or it can be an RF modulation can be pulsewidth modulation (PWM) [6], [8]. For systems with a modulator, the quantization noise must be filtered after the PA. Unfortunately, for standards where spurious noise is a concern, such as the Universal Mobile Telecommunications System (UMTS) [9] and EDGE [10], the amount of needed filtration makes the system less attractive. An RF PWM modulator does not suffer from quantization noise and is, therefore, more useful for standards such as UMTS and EDGE. The concept of RF PWM was introduced in 1973 by Raab [6]. Raab demonstrated the concept by building a 3-W AM transmitter, where the carrier frequency was limited to 100 kHz. The generation of the pulses was done in a somewhat complex circuit involving a full-wave rectifier, a clipper, a comparator, inverters, and AND-gates. In 1999, Wagh and Midya [7] reinvented the concept, designed a 100-MHz PA, and concluded that the limitations are the capability of the analog circuitry to generate the pulses and devices capable of handling the fast transitions. They

A

Manuscript received June 18, 2007. The authors are with the Technology Platforms Section, Department of Electronic Systems, Aalborg University, 9100 Aalborg, Denmark (e-mail: mn@es. aau.dk; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.913375

Fig. 1. Transmitter system employing a square-wave modulator and a switched-mode PA.

did not, however, propose any method to generate the pulses. Recently, the authors of this paper have demonstrated the generation of the pulses in a linear modulator operating at 100 MHz [8]. RF PWM and the concept of the modulator is explained briefly in Section II. The design of a system such as the one shown in Fig. 1 can roughly be divided into three parts, which are: 1) the square wave modulator; 2) the driver stage; and 3) the PA. Each part is equally important for an overall successful solution. Unlike the design of a traditional transmitter, the design must be done sequentially, i.e., the square-wave modulator must be designed before the driver stage and PA. The reason for this is simply because it is not possible to test the latter two without the square wave signals in the first place. In this paper, the design of an RF PWM modulator is presented. The modulator is implemented in a GaAs HBT process and operates at 2 GHz. The design is based on previously published material of an RF PWM modulator operating at 100 MHz [8]. The twentyfold higher operating frequency is a nontrivial design task. For one thing, even small parasitic capacitances degrade performance as they move the signal away from the ideal square-wave shape. This study focuses on the changes in the design of the modulator due to the changed carrier frequency. To the authors’ best knowledge, this is the first published RF PWM modulator in the gigahertz range with sufficient linearity to meet the spectrum mask of a high-performance communication system such as UMTS. This paper is organized as follows. Section I provides a general introduction of RF PWM, and the modulator architecture is given. Section III presents the design and implementation of the comparator, which is a key element in the architecture. In Section IV, different implementation aspects are discussed Finally, Section V presents the obtained results, and Section VI gives the conclusions. II. RF PWM The idea of RF PWM is to transmit one pulse in each RF , where is the enveperiod of a signal is the phase, and is the carrier angular frequency. lope, This is done in such a way that the width of the pulse contains , and the timing of the pulse conthe envelope information . This scenario is illustrated in tains the phase information

0018-9480/$25.00 © 2008 IEEE

NIELSEN AND LARSEN: 2-GHz GaAs HBT RF PWM

301

Fig. 2. Illustration of RF PWM.

Fig. 4. Schematic of the implemented comparator. Fig. 3. Architecture for generation of RF PWM signals. The pulses are generated in the comparator by comparing a low-frequency signal with an RF sine wave. The linearity is ensured by combining a low-frequency feedback loop with predistortion [8].

Fig. 2. Since the signal information is represented by the width and timing of the pulse, the PA can be driven into compression in each period. Thereby a high (theoretically 100%) power efficiency can be obtained. The generation of the pulses can, in principle, be done in many ways. However, in order for the entire system in Fig. 1 to be linear, the generation of the pulses must be accomplished without sacrificing the linearity. One architecture that provides this high linearity is shown in Fig. 3 [8]. The functionality can briefly be explained as follows. The pulses are generated by comparing a low-frequency signal with an RF sine wave. By varying the level of the low-frequency input signal, the width of the pulses are varied. This is, however, not a linear process so the linearity must be ensured by other means. The solution in this case is to feedback the low-frequency part of the pulses. Thereby the input–output relationship of the analog part is the same as the relationship between the dc component and the fundamental of each pulse. This is a piece of a sine wave that must be predistorted to obtain overall linearity. At first sight, it might seem like the designer went through a lot of trouble just to end up with something that needs to be predistorted. The key element here is that the predistortion is defined from the relationship of the dc and the fundamental of the output signal. This turns out to be a rather robust solution. For more information about RF PWM and the modulator configuration refer to [8]. III. COMPARATOR DESIGN A comparator is essentially a differential amplifier with sufficient wideband gain such that it compresses even when the difference of the two input signals is small. The comparator, depicted in Fig. 4, consists of two differential amplifiers and a dc level shift in between them. The reason for having a two-stage solution is explained in the following. A. Two-Stage Topology Referring to the first stage, the current through and in simplified form can be found from the SPICE implementation of the Gummel–Poon model [11] as (1)

and (2) where and are both transistor parameters depending on size, etc., is Boltzmann’s constant, is the electronic charge, and is the absolute temperature in kelvin. is approxiacts as a constant mately 40 mV for the devices used. Since current source, the emitter voltage is to be adjusted such that (1) and (2) are fulfilled together with (3) is the bias current through where can be found as at the collector of

. The output voltage (4)

From (4), two important observations can be made. First of all, the maximum output voltage swing is entirely depending on the is a sine bias current and . Secondly, since the input wave, the rise and fall times are limited by the gain of the transistors—even when no parasitic elements are taken into account. for various Fig. 5(a) and (b) shows the output pulses of output levels with the amplitude of the RF input voltage set to 0.5 and 1 V, respectively. A reduction of the rise and fall times can be observed when the RF voltage is increased. In particular, it can be seen that the peak–peak voltage of the output is higher in Fig. 5(b) than in Fig. 5(a) when the output is backed off 6 and 12 dB. Fig. 6(a) shows the normalized peak–peak voltage of the output versus magnitude of the fundamental component for various RF drive levels. Three conclusions can be made, which are: 1) regardless of the design, the peak–peak voltage eventually drops for low output powers; 2) the higher the RF drive, the lower the output power before the peak–peak voltage starts to drop; and 3) for an RF drive above 1 V, the advantage of increasing the drive starts to decline. The natural conclusion is to increase the RF drive to have a better rise and fall time. However, the above considerations are based on intrinsic devices with no parasitic elements. The situation with parasitics included is handled by using a SPICE model of the transistors. Fig. 6(b) shows a simulation of a usinga SPICE single-stage comparator with model of the transistors. Clearly, the parasitic elements have a

302

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I COMPONENT VALUES

Fig. 5. Illustration of the effect of limited gain. The labels 0 dB, 6 dB, and 12 dB refer to the output voltage backoff from maximum. (a) V = 0:5 cos(! t). (b) V = cos(! t).

0

0

Fig. 6. (a) Simulated peak–peak voltage versus magnitude of the fundamental component of the output for various RF drive levels. (b) Simulated time-domain representation of output signals from circuit simulation of a single-stage comparator with SPICE models of transistors where parasitic capacitance is included. The simulation corresponds to the result of Fig. 5(b), except that the minimum output cannot go lower than approximately 8-dB backoff.

0

huge influence on the signal waveforms. The problem is the large voltage swing across the base–emitter of , which causes an ac current to flow through the parasitic base–emitter capacitance of . Since the current through is constant and (3) must be fulis superimposed on the ac current filled, the current through from the parasitic capacitance of . This can only be circumvented by either reducing the RF drive or by applying an equal RF signal with opposite phase on . Neither of these proposals are attractive for a single-stage solution. Therefore, it is necessary to use two stages and design the first stage to have a voltage swing large enough to turn the second stage fully on and off, but, on the other hand, not so large that the waveforms are corrupted by the current in the parasitic capacitance. By using both branches of and of Fig. 4) have RF stage 1, the transistors of stage 2 ( signals with opposite phase at the basis. B. Circuit Design With the topology selected, the remaining task is to make an appropriate design of the circuit. This involves selecting the appropriate RF input drive and sizing the transistors, resistors, and bias current. The RF input drive is found based on the same method as the single-stage case in Section III-A. The tendency found in Fig. 6(a) repeats itself in a two-stage solution, i.e., the higher the RF drive, the shorter the rise and fall times. Once again simulations have shown that the advantage of increasing beyond 1 V is somewhat limited. Hence, the RF drive is selected to 1 V. The transistors are straightforward selected to have minimum size to reduce the

effects of parasitic capacitance. The limiting factor is, in this case, the amount of current the transistors can withstand. The bias current in stage 1 is selected together with the collector resistor to give the required voltage swing to turn stage 2 fully on and off. Equation (4) can be used to get a reasonable estimate of this. However, this estimate must be verified by simulations since the equation does not take parasitic effects into account. Using both methods, 200 mV was found to be the optimum solution. If the voltage swing is higher, then the output waveforms are affected as described in Section III-A. If it is lower, then the rise and fall times increase when the duty cycle is low—i.e., at low power levels. The remaining parameters are selected according to the following guidelines. • The bias current and output resistor of stage 2 are selected with respect to the load impedance and the desired voltage swing. The voltage swing can be calculated as (5) denotes peak–peak voltage. Note the where subscript must be selected such that the dc-blocking capacitor load is not frequency dependent within the band at RF and and are, on the other dc [8]. The dc values of hand, given as (6) and (7) is the duty cycle of the signal and the capital where letters denote dc values. Therefore, the collector resistors of stage 2 are limited by the needed voltage of and to ensure that these do not enter the linear region. If the modulator is loaded with a large capacitance, the relationship between the collector resistors and bias current must be selected such that the resistors are relatively low. • The resistors and bias current of stage 1 are selected such that the voltage swing is approximately 200 mV. The relationship between the resistors and bias current is selected and does not such that the parasitic capacitance of have a significant effect on the rise and fall times. In the general case, the load and wanted voltage swing is selected with respect to the following driver stage. In this particular case, the modulator was designed for testing purpose, thus, the load is 50 . The values of the components are given in Table I. C. Bias Circuit The bias current is simply controlled by a current mirror. The are inserted to compensate for the temperature resistors

NIELSEN AND LARSEN: 2-GHz GaAs HBT RF PWM

Fig. 7. (a) Die photograph. The additional pads are placed for debugging, but have not been used. (b) Implemented PCB.

dependency of the transistors. Although it is not so important for the double-stage topology, it was found to be a problem in the single-stage comparator published in [8]. The reference current is generated internally on-chip using a resistor connected to the supply voltage. is inserted to provide isolate between the The resistor two stages such that the supply bouncing from stage 1 does not affect stage 2 and visa versa.

303

Fig. 8. Measured and simulated AM–AM and AM–PM data at 2 GHz. The measurements were made by a network analyzer with port 1 connected to the RF input. (a) Measured and simulated AM–AM data. (b) Measured and simulated AM–PM data.

D. Level Shift Circuit Due to the varying duty cycle of the waveforms, the traditional dc-blocking capacitor cannot be used. This is so because the varying amount of even harmonics at the signal causes varying maximum/minimum values of the signal compared to the dc value. Therefore, a diode coupled in parallel with a capacitor is used. When the diode is forward coupled, the voltage drop is approximately 1.2 V. The capacitor across the diode ensures that this voltage remains fixed and that fast transitions can pass the level shift circuit unchanged. The capacitor is selected to 2 pF. IV. IMPLEMENTATION Fig. 7(a) shows a die photograph of the implemented comparator. The comparator is implemented in a GaAs HBT process with the feedback circuit implemented off-chip using an operational amplifier, as depicted in Fig. 4. Maxim IC’s MAX4414 was used as operational amplifier. The internal pole of the operational amplifier was used as low-pass filter in the feedback circuit. It should be mentioned that the feedback circuit potentially can be implemented on-chip. The circuit was mounted on an FR4 gold-plated printed circuit board (PCB), as shown in Fig. 7(b). The RF input was simply matched with a 50- resistor on-chip. This results in a wideband match, but at the expense of a somewhat high RF drive of 10 dBm. For testing purposes this was considered the best solution. However, in a rerun of the design, this could be replaced with a traditional L/C input match to save RF drive power. V. EXPERIMENTAL RESULTS In all the simulated results presented here the most dominant parasitic effects were included such as bond-wire inductance, parasitic capacitance from traces, trace loss, etc. The transistor

Fig. 9. Measured spectrum of a UMTS signal.

model was provided by RFMD. Fig. 8(a) and (b) shows the measured and simulated AM–AM and AM–PM dependencies. A minor discrepancy can be observed in the AM–PM curve compared to the simulated. The discrepancy can be traced back to the inductance of the via from the chip ground on the PCB to the ground on the backside of the PCB. This was not included in the initial simulations before the experimental prototype build. Although this is a small inductance, simulations have shown that this has a dominant influence on the AM–PM curve. The simulations shown in Fig. 8(b) are the simulations prior to the fabricated design. A large portion of this AM–PM distortion is caused by the large current of the 50- resistor included on the chip for matching purposes. As mentioned in Section IV, this could be omitted in a rerun of the design. As expected, the AM–AM curve follows a part of a sine wave. At low power, it can be observed that the AM–AM curve is slightly different from the theoretical sine wave. Simulations have indicated that this primarily is caused by the feed-through from RF input to the output, but it is also to some extend caused by the changing slope of the rising and falling edge when the output power is low. The same tendency has been found in [8]. The data of Fig. 8(a) and (b) have been used in a lookup table to predistort a UMTS signal, and the resulting spectrum is shown in Fig. 9 together with the spectrum mask for UMTS. Throughout the spectrum, more than a 10-dB margin to the requirement mask can be observed, indicating a good linearity of the modulator. Error vector magnitude (EVM) has been measured to less than 1.5% rms—the maximum requirement from the standard is 17.5%.

304

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

surements, and numerous fruitful technical discussions. In particular, C. R. Iversen and N. J. Jensen deserve to be mentioned. REFERENCES

Fig. 10. Time-domain signals. (a) Measured and simulated first to fourth harmonics of the output signal. The carrier frequency was 1.7 GHz. (b) Simulation of time-domain signals of maximum power ( 6 dB) and 12-dB backoff. V op signals have been aligned with its minimum value and V on signals have been aligned with its maximum value.

0

0

Since a high-speed oscilloscope was not available, the timedomain signals could not be measured directly. To get an indication of this anyway, the first four harmonics were measured and the results were compared to the simulated ones. This was done using a Rohde & Schwarz FSIQ 07 spectrum analyzer, which is limited to 7 GHz. Therefore, the carrier frequency was shifted to 1.7 GHz (which also demonstrates the wideband nature of the modulator). Fig. 10(a) shows the simulated and measured harmonics of the output signal. Although minor differences can be observed, it is still considered an indication that the measured signals are close to the simulated ones. The simulated time-domain signals can be seen in Fig. 10(b). As predicted in Section III-A, the peak–peak value starts to drop for small power levels. It is beyond the scope of this paper to discuss how it impacts the overall system power efficiency that the peak–peak value starts to decrease for lower power levels. However, preliminary simulations have shown that a class E amplifier is not sensitive to this. It must be emphasized that this conclusion is based on preliminary simulations and must be verified in practice. VI. CONCLUSIONS AND PERSPECTIVE In this paper, an RF PWM has been presented with a demonstrated excellent performance for a UMTS signal at 2 GHz. There is more than 10-dB margin to the modulation mask and EVM is less than 1.5% (maximum 17.5% from the standard). The core part of the modulator is a two-stage comparator implemented in a GaAs HBT process. This is, to the authors’ knowledge, the first time this has been demonstrated. The success of a transmitter system employing RF PWM is not guaranteed based on these results alone, but is also dependent on the following driver stage and PA. The results here are, however, a first and necessary important step for designing a high-efficiency transmitter based on RF PWM. ACKNOWLEDGMENT The authors thank RF Microdevices, Norresundby, Denmark, for providing laboratory equipment, assistance with the mea-

[1] M. Nielsen and T. Larsen, “A transmitter architecture based on delta–sigma modulation and switch-mode power amplification,” Trans. Circuits Syst. II, Exp. Briefs, vol. 54, no. 8, pp. 735–739, Aug. 2007. [2] C. Berland, I. Hibon, J. F. Bercher, M. Villegas, D. Berlot, D. Pache, and V. L. Goascoz, “A transmitter architecture for nonconstant envelope modulation,” IEEE Trans. Circuits Syst., II: Exp. Briefs, vol. 53, no. 1, pp. 13–17, Jan. 2006. [3] Y. Wang, “An improved Kahn transmitter architecture based on delta–sigma modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 1327–1330. [4] J. Ketola, J. Sommarek, J. Vankka, and K. Halonen, “Transmitter utilizing bandpass delta–sigma modulator and switching mode power amplifier,” in IEEE Int. Circuits Syst. Symp., Vancouver, BC, Canada, May 23–26, 2004, pp. 633–636. [5] T. Johnson and S. P. Stapleton, “RF class-D amplification with bandpass sigma–delta modulator drive signals,” IEEE Trans. Circuits Syst., I, Reg. Papers, vol. 53, no. 12, pp. 2507–2520, Dec. 2006. [6] F. H. Raab, “Radio frequency pulsewidth modulation,” IEEE Trans. Commun., vol. COMM-21, no. 8, pp. 958–966, Aug. 1973. [7] P. Wagh and P. Midya, “High-efficiency switched-mode RF power amplifier,” in Midwest Circuits Syst. Symp., Aug. 1999, vol. 2, pp. 1044–1047. [8] M. Nielsen and T. Larsen, “An RF pulsewidth modulator for switchmode power amplification of varying envelope signals,” in Proc. Silicon Monolithic Integrated Circuits in RF Syst. Top. Meeting, Long Beach, CA, Jan. 2007, pp. 277–280. [9] Third Generation Partnership Project, Technical Specification Group Radio Access Network, User Equipment (UE) Radio Transmission and Reception (FDD), (release 7), TS 25.101, Mar. 2007 [Online]. Available: http://www.3gpp.org [10] Digital Cellular Telecommunications System (Phase 2 ); Radio Transmission and Reception, 3GPP TS 45.005, ver. 6.14.0, release 6, Apr. 2006 [Online]. Available: http://www.etsi.org [11] G. Massobrio and P. Antognetti, Semiconductor Device Modeling With SPICE, 2nd ed. New York: McGraw-Hill, 1993.

+

Michael Nielsen was born in Frederikshavn, Denmark, in 1979. He received the M.Sc. degree in electronic engineering from Aalborg University, Aalborg, Denmark, in 2004, and is currently working toward the Ph.D. degree at Aalborg University. Since 2007, he has also been with RF Micro Devices, Norresundby, Denmark. His area of research is high-efficiency linear PAs for wireless communication.

Torben Larsen (S’88–M’99–SM’04) received the M.Sc. and Dr.Techn. degrees from Aalborg University, Aalborg, Denmark, in 1988 and 1998, respectively. Since 2001, he has been a Full Professor with Aalborg University. He possesses industrial experience with Bosch Telecom and Siemens Mobile Phones. In 2005, he was appointed a member of the Research Council for Technology and Production by the Minister of Science, Technology, and Innovation . He has authored or coauthored over 75 peer-reviewed journal and conference papers. His research interests include RF system design, integrated circuit design, wireless communications, and transceiver design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

305

A Compact Highly Reconfigurable CMOS MMIC Directional Coupler Mohamed A. Y. Abdalla, Student Member, IEEE, Khoman Phang, Senior Member, IEEE, and George V. Eleftheriades, Fellow, IEEE

Abstract—This paper presents a tunable CMOS directional coupler that utilizes lumped-element L–C sections. The lumped-element approach used to build the directional coupler makes it possible to integrate the coupler onto a single monolithic microwave integrated circuit (MMIC), as it occupies a small area compared to printed designs. The directional coupler uses varactors and tunable active inductors (TAIs) to synthesize the series and shunt reactances, respectively, which allows extensive electronic control over the coupling coefficient, while insuring a low return loss and a very high isolation. Furthermore, using varactors and TAIs allows the directional coupler to be reconfigured for operation over a wide range of frequencies. Moreover, the symmetric configuration of the coupler allows it to switch from forward to backward operation by simply exchanging the bias voltages applied across the series varactors. The MMIC coupler was fabricated in a standard 0.13- m CMOS process and operates from a 1.5-V supply. The circuit occupies 730 m 600 m, and is capable of achieving tunable coupling coefficients from 1.4 to 7.1 dB, while maintaining an isolation higher than 41 dB. The MMIC coupler is also capable of operating at any center frequency over the 2.1–3.1-GHz frequency range with higher than 40-dB isolation. The coupler achieves a 4.1-dBm 1-dB compression point while operating from a 1.5-V supply. Index Terms—Active inductor, backward coupling, CMOS, directional coupler, forward coupling, high pass, lumped element, tunable.

I. INTRODUCTION IRECTIONAL couplers are one of the most commonly used building blocks in microwave and RF systems. However, printed transmission line (TL) implementations of directional couplers impose limitations on the area occupied by the couplers, especially for systems operating within the low gigahertz frequency range. This has hindered the integration of the couplers to produce single monolithic microwave integrated circuit (MMIC) solutions for such systems. Hence, various methods have been presented in the literature to design lumped-element directional couplers [1]. Furthermore, the recent demand for reconfigurable circuits capable of operating within multistandard systems has created a need for couplers capable of operating within different frequency bands [2]–[7],

D

Manuscript received June 25, 2007; revised October 2, 2007. This work was supported by the Canadian Microelectronics Corporation (CMC), NORTEL Networks, and the Natural Sciences and Engineering Research Council (NSERC) of Canada. The authors are with the Edward S. Rogers Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada M5S 3G4 (e-mail: [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.913360

Fig. 1. Block diagram of a four-port directional coupler configured in: (a) the forward mode of operation and (b) the backward mode of operation.

as well as capable of providing configurable coupling levels [8]–[10]. As a four-port device, the directional coupler has the potential to simultaneously realize the following multiple functions: 1) tuning the coupling coefficient; 2) tuning the operating frequency; 3) switching from forward to backward operation, as illustrated by the block diagram of Fig. 1, where the coupler is capable of switching the power between the through port (P2) and the isolated port (P4) while perfectly isolating the other. The goal of this study is to develop such a highly reconfigurable directional coupler in CMOS technology. To date, existing reconfigurable couplers individually realize only one of the above features [2]–[10]. Furthermore, to the authors’ knowledge, this is the first MMIC directional coupler reported in the literature that is capable of electronically switching between backward and forward operation. This feature could prove to be very useful for diversity systems, in which it is desired to electronically switch between different subsystems (e.g., antenna diversity systems). It can also be used within transceivers to connect the TX and RX ports to the antenna (duplexing). This will be explored in more detail later in this paper. Couplers with electronically tunable coupling coefficients are presented in [8]–[10]. In [8] and [9], varactors are used to control the coupling between two printed TLs. The design in [8] achieves a large tuning range from 4.1 to 19 dB for the coupling coefficient, from the input port to the coupled port, over a wide bandwidth. The design in [9] also achieves a 6–10-dB coupling coefficient tuning range. However, in both designs, the discrete varactors require a large reverse bias voltage; 25 V for the former and 10 V for the latter. The design in [10] proposes to use switches to control the coupling coefficient between two coupled TLs, resulting in coupling coefficients of 8–16 dB. Nevertheless, the switches are not actually implemented and they are replaced by hardwired connections (ideal short/open). Furthermore, the designs presented in [8]–[10] utilize printed TL structures; hence, they occupy a large area compared to a lumped-element approach.

0018-9480/$25.00 © 2008 IEEE

306

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

On the other hand, couplers with electronically tunable operating frequencies have also been presented in the literature [2]–[7]. In one of the most recently published papers [2], varactors are used to terminate the open-circuited TL stubs of a dual-band TL coupler, which was originally presented in [11]. Hence, the reverse bias voltage across the varactors controls the operating frequency, within a limited range, around each of the coupler bands by changing the effective electrical length of the stubs. Although the reverse bias voltage across the discrete varactors goes up to 30 V, this technique results in a limited frequency tuning range of 0.62 to 0.9 GHz and 1.63 to 1.8 GHz for the lower and upper frequency bands, respectively. Furthermore, the coupler isolation level is limited to 20 dB and the 6 cm. design occupies a large area of approximately 6 cm Other printed TL coupler designs have also been published in the literature [3]–[5] that utilize varactors in different ways to tune the coupler operating frequency, but all of them occupy a large area compared to lumped-element couplers, and they require large reverse bias voltages for the discrete varactors. Furthermore, they do not achieve a wide frequency tuning range: 1.3–1.7 GHz for the designs in [3] and [4] and 1.7–2.17 GHz for the design in [5]. Very few electronically tunable lumped-element directional coupler designs have been published. The coupler published in [6] utilizes discrete varactors with chip inductors to reduce the footprint of the coupler by 80% compared to the printed coupler of [5]. Nevertheless, using only tunable capacitors results in a limited frequency tuning range (1.7–2.17 GHz). To the authors’ knowledge, the coupler published in [7] is the first fully integrated MMIC coupler achieving a tunable center frequency. However, it can only operate with a fixed coupling coefficient and does not offer the switching capability described in Fig. 1. In this paper, a highly reconfigurable compact CMOS MMIC directional coupler is presented. The MMIC coupler utilizes lumped-element varactors and tunable active inductors (TAIs) to allow electronic tuning of both the coupling coefficient, as well as the coupler’s operating frequency. To the authors’ knowledge, this is the first coupler that combines both functions. Furthermore, combining the use of varactors and TAIs results in a very wide frequency tuning range while maintaining good isolation. Moreover, the MMIC coupler can be electronically reconfigured to operate as a forward or backward coupler, i.e., it is capable of switching the power from the through port to the isolated port (see Fig. 1). The design equations of the MMIC coupler are presented in Section II. Section III describes the coupler circuit implementation together with the TAI implementation. The experimental results are presented in Section IV. Finally, the noise performance of the MMIC coupler is evaluated in Section V. II. THEORY

Fig. 2. High-pass topology used by the proposed MMIC directional coupler.

Fig. 3. Equivalent circuit with even-mode excitation.

tors instead of the traditional low-pass topology with floating inductors [6]. This topology allows the use of the TAIs in place of spiral inductors, as described later in Section III-B. Further(Pi) topology is chosen to minimize more, the high-pass the number of inductors and, hence, the area occupied by the MMIC coupler. At the same time, this topology reduces the number of series capacitors in the signal path, which, in turn, reduces the MMIC coupler insertion loss. To analyze the operation of the proposed MMIC directional coupler, the lumped-element L–C high-pass coupler is analyzed using the even-odd mode technique, originally presented in [12]. The effect of an input signal applied at any of the ports (in this case, port P1), while terminating the rest of the ports with an impedance , is evaluated by decomposing the original coupler of Fig. 2 into two circuits: an even-mode circuit shown in and Fig. 3 and an odd-mode circuit shown in Fig. 4, where are the transmission and reflection coefficients of the evenand odd-mode circuits, respectively. The transmission coeffi, , and and the reflection coefficient of cients the MMIC coupler can be obtained by properly superimposing the responses of the even- and odd-mode circuits [12]. matrix is In standard two-port network theory, the defined as

A. Analysis of the MMIC Directional Coupler The proposed MMIC lumped-element directional coupler uses the high-pass architecture shown in Fig. 2. Each branch of the coupler consists of a lumped element L–C section that provides the necessary 90 phase shift at the design frequency. The coupler utilizes a high-pass topology with grounded induc-

(1) where and are the voltage and current, respectively, at ports P1 and P2 of the odd-mode circuit shown in Fig. 4. Per-

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

307

Similarly, by analyzing the even-mode circuit, one can show that the transmission and reflection coefficients of the even-mode and are expressed as circuit (5)

and

Fig. 4. Equivalent circuit with odd-mode excitation.

forming circuit analysis, one can show that the of the odd-mode circuit is expressed as

matrix

(6) To fully characterize the lumped-element directional coupler, and the -parameters are evaluated by superimposing in (3)–(6) according to [13]. To guarantee a low reflection coefficient , the following equation has to be satisfied:

(7) Furthermore, to guarantee high isolation, the following equation has to be satisfied: (2) are the susceptances of the series cawhere , , and pacitors and and the reactance of the shunt inductors , respectively. Using the standard relationship between the scatmatrix of a two-port network tering parameters and the [13], one can evaluate the transmission and reflection coeffiand , which are expressed cients of the odd-mode circuit as

(8) Solving (7) and (8) results in the following two conditions: (9) (10) where is the design frequency. Moreover, at the design frequency, the transmission coefficients of the through and coupled and , respectively, are given by ports,

(3)

(11) (12)

and Hence, the output signals at the coupled and the through ports, P3 and P2, respectively, have a 90 phase difference at the design frequency. At the same time, the magnitude of the signals delivered to both ports is determined by the ratio of the series and . capacitors B. MMIC Directional Coupler Modes of Operation 1) Tunable Coupling Coefficient: As indicated by (12), the coupling coefficient of the MMIC directional coupler can be tuned, and at the design frequency, is expressed as (4) (13)

308

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 5. Series capacitance C and the shunt inductance L required to satisfy the conditions of (9) and (10) versus the series capacitance C .

For example, in order to implement a 3-dB coupler, the capacihas to be chosen equal to . Furthermore, if the tance series capacitors are replaced by varactors, the coupling coefficient can be electronically tuned by changing the bias voltage applied across the varactors. In order to achieve a low return loss and a very high isolation while tuning the coupling coefficient, both conditions expressed by (9) and (10) must be satisfied across the entire coupling coefficient tuning range. Equation (9) reveals that, if is increased to tune the coupling coefficient, must also increase for the MMIC coupler to opthe value of erate at the same frequency. At the same time, the value of the shunt inductor has to decrease according to the condition of and resulting from the (10). Fig. 5 shows the values of theoretical expressions of (9) and (10), respectively, when is swept to tune the coupling coefficient. This plot is generated assuming a nominal design frequency of 2.6 GHz and a 50termination impedance . Although the coupling coefficient depends on the ratio of the two series capacitances, the nonand in (9) is enough to result linear relationship between in an 8.5-dB tuning range for the coupling coefficient. This is demonstrated by Fig. 6, which plots the theoretical expression of the coupling coefficient given by (13) versus the value of . For each point, the value of , calculated from (9), is used to calculate the coupling coefficient. We have thus far shown that the coupling coefficient of the MMIC directional coupler can be electronically controlled while maintaining a low return loss and a very high isolation across the entire tuning range. However, to achieve full electronic tunability for the coupling coefficient, electronically tunable inductors are required. Thus, the lumped-element approach used to implement the coupler proves to be a valid choice since this enables the integration of the coupler with the active circuits necessary to synthesize the TAIs on the same chip. The design of such TAIs will be described later in Section III. Further circuit analysis can be performed on the lumped-element coupler to show that the power delivered to the coupled port (P3), and hence, the coupling coefficient are, in general, a function of frequency. Moreover, the power delivered to the

Fig. 6. Coupling coefficients achieved by the MMIC coupler circuit when the lumped-element components are chosen to satisfy both the matching and isolation conditions.

coupled port is expressed as

(14) Equation (14) indicates the high-pass nature of the lumped-elat the design freement coupler. Furthermore, evaluating quency results in the simple expression of (13) as long as the two conditions of (9) and (10) are satisfied. 2) Tunable Frequency of Operation: The frequency of operation of the lumped-element coupler is defined as the frequency at which high isolation and low return loss are achieved, while realizing the desired coupling coefficient. This can simply be obtained by rewriting (10), which results in the following expression for the coupler operating frequency:

(15) The coupler operating frequency , given by (15), is a function and of the shunt inductance and the series capacitances . However, to achieve low return loss and high isolation, the condition of (9) must also be satisfied, which can rewritten as

(16) As confirmation, further circuit analysis showed the frequency-dependent nature of the isolation of the lumped-element

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

309

and . This will eliminate varying both series capacitances the need for TAIs if the coupler is only intended to have a tunable operating frequency and not a tunable coupling coefficient, as described earlier. However, this will drastically reduce the frequency tuning range. To demonstrate this, one can show that the frequency tuning range achieved by tuning the shunt inducis tance , as well as the series capacitance , while fixing expressed as

(18)

Fig. 7. Operating frequency of the MMIC coupler and the series capacitance C versus the shunt inductance L. The series capacitance C is chosen to satisfy both the matching and the isolation conditions, while C is kept fixed at an arbitrary value of 1.1 pF.

coupler, which could be expressed as

(17) This result is derived under the assumption that the conditions of (9) and (10) are satisfied. Equation (17) confirms the existence at of a root in the numerator of the transfer function of , which results in perfect isolation at the operating frequency. To tune the operating frequency of the coupler, the shunt inductance is varied, and for each inductance value, the seis calculated from (16). This is necessary ries capacitance in order to guarantee a low return loss, as well as high isolation across the entire frequency tuning range. The resulting operating frequencies based on the theoretical expression of (15) are plotted in Fig. 7 together with the values of the shunt capaciversus the value of the shunt inductance . To generate tance this plot, an arbitrary fixed value of 1.1 pF is chosen for , and the termination impedance is set to 50 . However, according would result in different couto (13), using a fixed value for pling coefficients across the frequency tuning range of Fig. 7. To achieve a constant coupling coefficient across this frequency should be linearly scaled with tuning range, the value of according to (13). However, since the objective of Fig. 7 is to simply show the effect of varying the inductance on the operating frequency, the condition to have a constant coupling coefficient was not enforced. Fig. 7 indicates that changing the operating frequency from 3.5 to 1.8 GHz (a 65% tuning range) requires tuning the inductance by a factor of 3, whereas it only reby a factor of 1.45. The smaller quires tuning the capacitance tuning range of the capacitance allows for the implementation of the variable capacitors using on-chip varactors. It is also possible to achieve a tunable frequency of operation for this MMIC coupler by using a fixed shunt inductance and

On the other hand, if the shunt inductance is fixed and both series capacitors and are varied to tune the operating frequency, and at the same time, satisfy the condition of (16), the frequency tuning range decreases to

(19) Hence, for the same component tuning ranges, combining the use of varactors and TAIs extends the frequency tuning range by 50% compared to a design that uses series varactors and fixed shunt inductors. The wider tuning range makes the proposed lumped-element directional coupler utilizing varactors and TAIs attractive for multistandard applications where the coupler would be required to operate over a wide range of frequencies. 3) Power Switching: Another interesting feature of this lumped-element MMIC coupler is its ability to be electronically reconfigured to operate as either a forward or a backward coupler, as described in Section I. One can argue by symmetry that interchanging the two series capacitors and would result in interchanging the isolated and through ports of the MMIC directional coupler. Thus, implementing the series and using varactors allows switching from capacitors forward to backward operation by simply switching the bias voltage applied across the varactors. This summarizes the three different modes of operation of the proposed MMIC directional coupler. Section III describes the design details of the coupler circuit, as well as the design of the TAI. III. CIRCUIT IMPLEMENTATION A. MMIC Directional Coupler Design The schematic diagram of the proposed highly reconfigurable CMOS directional coupler is shown in Fig. 8. Since there is no need for any printed or off-chip components, the entire directional coupler has been implemented on a single MMIC. The seand are implemented using on-chip MOS ries capacitors varactors, which are tunable from 0.25 to 1 pF. The of the varactors has a strong impact on the coupler’s insertion loss, given that its effect can be modeled as a series resistance in the signal path. To achieve the large capacitance value required to make the design frequency, , 2.6 GHz, a larger series capacitance is required. To this end, a fixed 0.95-pF on-chip high- metal–insu) is connected in parallel. lator–metal (MIM) capacitor (

310

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 9. TAI circuit with a feedback resistance.

Fig. 8. Proposed lumped-element MMIC directional coupler circuit implementation.

This will slightly reduce the series capacitance tuning range, but it is necessary in order to achieve a low insertion loss for the cou) is set via the gate to pler. The series MOS capacitance ( drain/source voltage. The gate voltage of the series MOS capacitors in the top and bottom branches of the coupler is set by the dc through a 10-k bias resistor , as shown in Fig. 8. voltage Furthermore, the capacitance of the right and left branches is . The drain/source voltage of set through the bias voltage all the series MOS capacitors is set by the TAI circuit. To isolate the gate voltage of the series MOS capacitors from the dc voltage generated by the TAI circuit, an additional dc-blocking is added in series. Since has a MIM capacitor fixed value and is connected in series with the effective tunable and ), it recapacitor (the parallel combination of duces the tuning range of the overall series branch capacitance. should be sigTo avoid the reduction in the tuning range, . However, cannot nificantly larger than take an arbitrarily large value since its parasitic capacitance to the substrate increases as well. This decreases its self-resonance frequency and losses, which potentially increases the coupler can vary from 1.2 to 1.95 pF, losses. Since , which 10 pF was found to be a good design choice for was also verified using simulations.

to generate the necessary current to half of the current in . To ensure that and mirror the desired current bias , a low-voltage cascode current from the reference transistor and appropriately will guarantee mirror is used. Sizing that the drains of , , and sit at the same potential. , seen The effective inductance and the series resistance , are given by at the input port marked by (20) (21) and are the output resistance and capacitance where and of the differential pair. can be tuned by varying , and the feedback resistance is used to compensate any . Thus, independent tuning of and changes that occur in is achieved as long as . To implement , binary weighted nMOS transistors operating in the triode region are used. This summarizes the design basics of the TAIs used to implement the MMIC coupler presented in this paper. More details on the TAI design are available in [14]–[16], where the authors use the same TAI circuit to design printed and integrated tunable metamaterial phase shifters. IV. PHYSICAL IMPLEMENTATION AND EXPERIMENTAL RESULTS A. Physical Implementation

B. TAI Design The shunt reactances of the MMIC coupler are synthesized using TAIs, which are based on the gyrator-C architecture with an additional tunable feedback resistance [14]–[16]. Fig. 9 shows the TAI schematic. The first transconductor of the gy, and rator-C loop is replaced by a differential pair the second transconductor is replaced by a common-source . A tunable feedback resistance is inserted amplifier between the output of the differential pair and the input of the allows controlling both common-source amplifier. Using . the TAI inductance and the equivalent series resistance and mirror a ratio of the reference current in and , respectively, to bias the circuit. Moreover, mirrors

The MMIC directional coupler was fabricated in a standard 0.13- m CMOS process. The die micrograph is shown in Fig. 10, the dimensions of the fabricated chip are 1540 m 900 m, which includes the MMIC coupler, as well as some test structures and the biasing/RF pads. The MMIC directional coupler occupies 730 m 600 m without the bias/RF pads. Arguably this is a very small area for a highly reconfigurable directional coupler operating in this frequency band. Some test structures are fabricated beside the MMIC coupler circuit to help in characterizing the TAI, as well as the varactors. It is worth mentioning that adding these test structures together with their RF probing pads has resulted in some asym-

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

311

Fig. 11. Measured inductance of the test TAI circuit versus frequency for different bias voltages V and V .

B. Experimental Characterization of the TAIs

Fig. 10. MMIC directional coupler die micrograph. (top) Entire fabricated chip. (bottom) Close-up on the MMIC directional coupler circuit.

metry in the MMIC directional coupler layout, making the interconnecting wires from the RF pads to two ports of the MMIC coupler (P3 and P4) longer than those of the two other ports (P1 and P2), as shown in Fig. 10. The effect of this asymmetry on the MMIC coupler performance will be discussed in Section IV-B. As indicated by Fig. 10, the majority of the area of the MMIC coupler is occupied by the TAI circuits, which occupy 150 m 170 m, followed by the series MIM capacitors . On the other hand, the series varactors and the MIM capacitors occupy a very small area. The MOS varactors are not visible in the layout because of the metal fill required by the foundry to maintain certain layer densities. The bias and control voltages are provided to the circuit from the top and bottom pads. The rightmost and leftmost pads correspond to four ports of the MMIC directional coupler, as indicated by Fig. 10. To distribute the bias and control voltages to the different TAI cir, as well as the varactors reverse bias cuits , the directional coupler circuit is surrounded voltages by several bias distribution rings. Each bias voltage is tapped off its ring whenever a connection is required to one of the circuit components. This helps reduce the voltage drop across the bias lines, which reduces mismatches between the responses of the different TAI circuits. Furthermore, this facilitates the layout and routing process.

The TAI test circuit was characterized by probing the dies and using a two-port netmeasuring the reflection coefficient work analyzer. A single 150- m-pitch ground–signal–ground (GSG) probe was used to probe the single port of the TAI circuit, while two multicontact wedges were used to supply the bias and control voltages to the circuits. Each multicontact wedge is composed of eight probe needles with 150- m pitch. A CS-5 calibration substrate is used to perform a one-port calibration to deembed the frequency response of the RF probe, connectors, and cable. The measured reflection coefficient was used to extract the inductance and quality factor of the TAI for different bias conditions. This section provides a brief summary of the experimental characterization of the TAI circuit, which is necessary for the completeness of this paper. More detailed experimental characterization of the TAI circuit can be found in [14]–[16]. and The inductance of the TAI circuit is tuned via according to (20), where the two transconductances are set by and in Fig. 9, respectively. Tuning the two bias voltages and will also change according to (21). To compensate for this, the feedback resistance is tuned to bring and, hence, the inductor peak quality factor back to their deshould not have sired values. According to (20), changing a significant effect on the inductance. Changing the bias point will also affect the TAI self-resonance frequency. This is due to the change in , which necessitates a change in the frequency at which the TAI resonates with the parasitic capacitance at its input port. The experimental results in Fig. 11 show that by deand , the circuit increasing the transconductances ductance can be tuned from 0.95 to 2.9 nH at 2.6 GHz. Hence, the TAI circuit is capable of providing an inductance tuning ratio of 3.05 at the nominal design frequency of the MMIC directional coupler. Fig. 11 also indicates that when the inductance increases, the circuit’s self-resonance frequency shifts to a lower value. Fig. 12 shows the corresponding TAI quality factors versus frequency for the same bias points; as shown, a peak

312

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 14. Measured isolation S as Fig. 13.

versus frequency for the same bias conditions

Fig. 12. Measured quality factor of the test TAI circuit versus frequency for the same bias conditions of Fig. 11.

Fig. 15. Measured reflection coefficient S conditions as Fig. 13.

versus frequency for the same bias

Fig. 13. Measured and theoretical coupling coefficients C versus frequency for different bias conditions.

quality factor in excess of 100 is achieved across the entire inductance tuning range. It is also worth mentioning that as the inductance increases, the frequency at which the quality factor peaks shifts to a lower value due to the decrease in the self-resonance frequency of the TAI circuit. This summarizes the basic experimental characterization of the TAI circuit, which is a crucial building block for the proposed tunable MMIC directional coupler. C. Experimental Characterization of the MMIC Directional Coupler The MMIC directional coupler was characterized by probing the dies and measuring the corresponding four-port -parameters using a four-port network analyzer. A pair of 150- m-pitch differential ground–signal–ground–signal–ground (GSGSG) probes were used to probe the four-ports of the MMIC coupler. Two multicontact wedges, each with eight probe needles at 150- m pitch, are used to supply the bias and control voltages to the circuits. A CS-2 differential calibration substrate from

GGB Inc., Naples, FL, is used to perform a four-port calibration to deembed the frequency response of the RF probes, connectors, and cables. The different operating modes of the MMIC directional coupler, which were described in Section II, have been experimentally characterized and are summarized here. 1) Tunable Coupling Coefficient: The MMIC directional coupler was configured to operate at the nominal design frequency of 2.6 GHz, and the value of the series MOS capacitor was varied using the bias voltage to tune the coupling coefficient of the MMIC coupler. To keep the return losses low and the isolation of the coupler very high, the second series is tuned via and the shunt inductance MOS capacitor is tuned via and according to the conditions of (9) and (10), respectively. The resulting coupling coefficient and the isolation of the MMIC coupler for different biasing conditions are plotted versus frequency in Figs. 13 and 14, respectively. Fig. 13 also compares the measured and theoretical coupling coefficients, which are predicted using (14). The figure shows good agreement between the measurements and theory. Using the exact expression of (14) is necessary for the comparison in order to predict the frequency response of the coupling

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

313

TABLE I COMPARISON BETWEEN THE PROPOSED MMIC COUPLER AND OTHER VARIABLE COUPLING COEFFICIENT COUPLERS

Switches are not implemented, they are replaced by fixed short/open connections. Different coupling coefficients are obtained from different prototypes. Size estimation is based on =4 =4 for an  of 2.2.

2

coefficient since the simple expression of (13) is only valid at the design frequency. The measurements in Figs. 13 and 14 show that the coupling coefficient of the MMIC coupler can be electronically tuned from 1.4 to 7.1 dB at 2.6 GHz, while maintaining the isolation of the MMIC coupler higher than 41 dB across the entire coupling coefficient tuning range. This coupling coefficient tuning range corresponds to directing 72% –19% of the input power at port 1 to the coupled port (P3), respectively. Furthermore, across this tuning range, the return loss is maintained below 16.5 dB, as indicated by Fig. 15. There is an exception to this, which takes place when the MMIC coupler is configured to operate with of a coupling coefficient of 1.42 dB, which results in a 12.6 dB. The MMIC directional coupler draws an average dc current of approximately 139 mA from a 1.5-V supply, which corresponds to dissipating 208 mW across the entire coupling coefficient tuning range. This power is required to bias the TAI circuits in order to generate the required inductance. A more detailed discussion about power consumption is presented at the end of Section IV-C.3. Table I summarizes the performance of the proposed MMIC coupler with other tunable coupling coefficient couplers presented in the literature [8]–[10]. The proposed coupler provides a smaller coupling coefficient tuning range compared to [8]. Using the lumped-element approach to design the proposed coupler also results in a smaller bandwidth compared to the printed designs in [8] and [9]. Nevertheless, using the lumped-element approach to design the coupler results in a very compact implementation. This enables fabricating the coupler in a standard CMOS process allowing its integration with other RF/digital circuits on the same chip. Furthermore, the integrated MOS varactors used in this design require a much lower bias voltage compared to the discrete varactors used in [8] and [9]. Moreover, the proposed MMIC coupler achieves very high isolation levels compared to other designs. 2) Tunable Frequency of Operation: As explained in Section II, the proposed directional coupler is capable of operating at different center frequencies. This is achieved by and changing the value of the shunt inductance via and simultaneously changing the series MOS capacitance

Fig. 16. Measured and theoretical S ditions.

versus frequency for different bias con-

via in order to satisfy the condition of (16). This will guarantee a low return loss and a very high isolation for the MMIC coupler across its entire frequency tuning range. Fig. 16 shows the measured coupler isolation for the different biasing conditions, and compares it with the theoretical isolation, which is predicted using (17). The figure shows good agreement between the measurements and theory. As indicated by Fig. 16, the MMIC coupler can be electronically tuned to operate over a very wide band of frequencies, namely, 2.15–3.1 GHz. Across this wide frequency range, the isolation level between the input port (P1) and isolated port (P4) remains higher than 40 dB. An exception to this happens when the coupler is configured to operate at 3.1 GHz, where the isolation drops to 34 dB. At the same time, the return loss of the MMIC coupler at each operating frequency is maintained below 18.6 dB over the entire frequency range, except for the case when the coupler is configured to operate at 2.15 GHz where the return loss goes slightly up to 15 dB, as shown by Fig. 17. and As previously explained in Section II-B.2, varying in order to tune the operating frequency while fixing the will affect the value of the coupling coefficient. value of

314

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 17. Measured S

versus frequency for different bias conditions.

Fig. 18. Measured S and S to the left and S to the right versus the coupler operating frequency. Electronically tuning the capacitances C and C provides precisely equal power splitting between the through and isolated ports, i.e., S . S

=

During measurements, in order to ensure that the coupler satisfies the matching and isolation conditions, and achieves equal power splitting between the through and coupled ports , the value of is linearly scaled with using . The measured MMIC coupler power levels at the coupled (P3) and through ports (P2), as well as the coupler isolation levels, are plotted versus the coupler operating frequency in Fig. 18. The measured results show that across this entire range of operating and are equal, and both of them vary from frequencies, 3.35 to 4.44 dB. This corresponds to a best case insertion loss of 0.35 dB and a worst case of 1.44 dB. In this mode of operation, the MMIC directional coupler draws an average dc current of approximately 132 mA from a 1.5-V supply, which corresponds to dissipating 197 mW across the entire frequency tuning range. According to Fig. 7, higher operating frequencies require smaller inductance values, which require larger bias currents, and vice versa. Hence, configuring the coupler to operate at 3.1 GHz results in dissipating the maximum power, which is 216 mW. On the other hand, configuring the coupler to operate at the 2.15 GHz results in dissipating the

minimum power, which is 132 mW. A more detailed discussion about power consumption is presented at the conclusion of Section IV-C.3. Table II summarizes the performance of the proposed MMIC coupler with other couplers presented in the literature [2]–[7]. The proposed MMIC coupler has the widest frequency tuning , and at the same time, offers very high isolation range levels compared to the other designs. The design in [4] offers both a comparable frequency tuning range and high isolation levels, but requires a high bias voltage of 11.2 V for the discrete varactors. Besides, its printed implementation would require a large area. Moreover, the compact CMOS implementation of the proposed MMIC coupler allows integrating it with other RF/digital circuits, as opposed to the printed designs of [2]–[5]. Similar to our proposed design, the coupler published in [7] also utilizes TAIs in a high-pass topology. However, it does not employ varactors together with the TAIs. As such, the coupler presented in [7] can only operate with a fixed coupling coefficient and cannot switch between forward and backward operation, as in the proposed design. Furthermore, using TAIs only to tune the operating frequency does not allow satisfying both the matching condition and the isolation condition simultaneously [see (15) and (16)]. This results in modest isolation and a lower relative frequency tuning range . The design in [7] operates at slightly higher frequencies and consumes less power, but this comes at the expense of the linearity of the coupler, and hence, its power-handling capability, as will be discussed later. 3) Power Switching: As described in Section II, one can electronically configure the MMIC coupler to operate as either a forward or backward coupler, i.e., switching the power between the through port (P2) and the isolated port (P4). This is simply achieved by interchanging the values of the bias voltages and applied at the gates of the series MOS varactors and , respectively. Fig. 19 shows the measured -parameters of the MMIC coupler when it is configured to operate in the forward mode at the nominal design frequency of 2.6 GHz. By interchanging the values of the bias voltages applied at the and , the MMIC cougates of the series MOS varactors pler switches to the backward mode of operation, as indicated by Fig. 20. For both modes of operation, the MMIC coupler has an isolation level higher than 42 dB and a return loss that is less than 20 dB. Furthermore, in both modes of operation, the output power is divided equally between the two output ports: P3 and P2 in the forward case and P3 and P4 in the backward case. In the backward mode of operation, the MMIC coupler achieves a differential phase (between P3 and P4) of 94 2 across a 0.3-GHz bandwidth centered around the design frequency. However, when the MMIC coupler is configured to operate in the forward mode of operation, the asymmetry in the layout of the MMIC coupler, described in Section III, results in some offset in the differential phase between the output ports (P3 and P2), which becomes 98.6 . This is shown in Fig. 21, which plots the differential output phase for both cases. This differential phase offset can be eliminated by positioning the MMIC coupler circuit in the center of the fabricated chip to make the interconnecting lines from the

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

315

TABLE II COMPARISON BETWEEN THE PROPOSED MMIC COUPLER AND OTHER COUPLERS WITH VARIABLE OPERATING FREQUENCY

Fractional frequency range

= 1f =f

.

Fig. 19. Measured MMIC coupler S -parameters versus frequency. Case 1: forward operation, the input power is equally divided between ports 3 and 2, while port 4 is isolated.

Fig. 21. Differential phase response of the MMIC coupler versus frequency for the forward and backward modes of operation.

Fig. 22. Duplexer operation. (a) Receive mode is achieved by configuring the coupler in the forward mode. (b) Transmit mode is achieved by configuring the coupler in the backward mode.

Fig. 20. Measured MMIC coupler S -parameters versus frequency. Case 2: backward operation, the input power is equally divided between ports 3 and 4, while port 2 is isolated.

MMIC coupler circuit to the RF pads symmetrical. However, in this fabricated prototype, the test structures were positioned

in between the MMIC coupler circuit and the left-side RF pads due to area constraints. One potential application for this mode of operation is to connect the TX and RX ports of a transceiver to its antenna (duplexing) while providing very high isolation. This is shown in Fig. 22, where, in Fig. 22(a), the coupler is configured to operate in the forward mode. Hence, if the coupling coefficient is configured to a very low value (1.4 dB), then most of the received power is directed to the receiver and only a small portion goes to the through port, which could be connected to a monitoring device to control the gain of the programmable low-noise

316

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE III LINEARITY COMPARISON BETWEEN DIFFERENT TAI-BASED COUPLERS

Fig. 23. Measured S and S at 2.6 GHz on the left and S the right versus the input power level.

at 2.6 GHz on Fig. 24. Block diagram of a 3-dB coupler with the noise current sources representing the effect of the active circuits within the TAIs.

amplifiers (LNAs) in the receiver. Furthermore, the TX port is perfectly isolated from the received signal. On the other hand, when receiving, the reconfigurable coupler is switched to the backward mode of operation. As shown in Fig. 22(b), the input port of the coupler becomes port 4, which is connected to the TX port. However, since the coupler is operating in the backward mode, the transmitted signal is perfectly isolated from the RX port and is divided among the antenna and monitoring device. In this case, the coupling coefficient can be configured to have a very high value (7.1 dB). Hence, most of the transmitted signal power is directed towards the antenna. Finally, with measured operating frequencies ranging from 2.15 to 3.1 GHz, the proposed design would be a suitable duplexer for multiband applications. The power dissipation of the proposed coupler, as it stands, is too high to be used in portable transceivers, which tend to have low-power requirements. One possible method to reduce the power consumption is to switch between more than one TAI circuit in order to cover the required inductance tuning range. , Hence, instead of increasing the bias currents of transistors , and in Fig. 9, the TAI circuit responsible for large inductances can use a larger width for transistors and and . This follows from (20), which can be a smaller width for rewritten as (22) and are the width and bias current, respectively, where in Fig. 9. Another method is to use a single of transistor , , and TAI circuit, but replace each of the transistors with multiple parallel-connected transistors. Hence, instead of increasing the bias current, the TAI inductance can be decreased by appropriately switching in and out transistors. 4) Linearity Measurements: To characterize the linearity of the MMIC coupler, the circuit is biased to operate at the nominal frequency of 2.6 GHz. The input power of a 2.6-GHz signal is swept from 20 to 0 dBm, and the resulting -parameters are plotted in Fig. 23. The MMIC coupler achieves a 1-dB compression point of 4.16 dBm, which corresponds to a peak-to-peak

voltage swing of 391 mV at the MMIC coupler input port while operating from a 1.5-V supply. As the input power increases, the isolation of the coupler remains unchanged at approximately 55 dB. As the input power reaches 17 dBm, the isolation starts to drop and reaches 28.3 dB at the 1-dB compression point. Table III summarizes the linearity performance of the proposed MMIC coupler and compares it with the TAI-based coupler presented in [7]. Although the proposed coupler achieves a significantly higher 1-dB compression point compared to the TAI-based coupler in [7], its limited power-handling capability precludes its use in base stations. However, it can find applications in short-range wireless applications requiring low transmit power levels such as wireless sensor networks (WSNs) and RF applications using ZigBee. V. EFFECT OF THE TAI ON THE MMIC COUPLER NOISE PERFORMANCE Combining the use of varactors and TAIs to implement this MMIC directional coupler have made it a versatile highly reconfigurable coupler capable of operating with different coupling coefficients, as well as operating at different frequencies while ensuring both a low return loss and very high isolation. Furthermore, the coupler can electronically switch between forward and backward operation. However, using active circuits and varactors to synthesize the tunable inductors and capacitors, respectively, affects the noise performance of the MMIC coupler with the main noise contributors being the TAI circuits. Hence, the effect of the noise contribution of the TAI circuits on the MMIC coupler noise performance will be demonstrated here. Fig. 24 shows the block diagram of the MMIC coupler when it is configured to operate in the forward mode as a 3-dB coupler, which is used here to derive an expression for the output referred noise of the MMIC coupler at its through and coupled ports. The results will then be generalized for any arbitrary coupling level. The noise contribution of each of the grounded (one-port) TAI where circuits is modeled by a shunt noise current source is the port number. The relationship between these noise current

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

sources and the noise contribution of the various TAI circuit elements (the transistors and the feedback resistor ) is presented in the Appendix. It is interesting to note that each of the noise sources of Fig. 24 sees the same impedance, which is the parallel and the input combination of the source impedance . This fact facilitates the calculaimpedance of the coupler tion of the output referred noise voltages of the MMIC coupler. Assuming that the noise generated by the TAI circuits is uncorrelated, one can use superposition to show that the mean-square value of the output referred noise voltage at the through port P2 is expressed as

317

. Again, assuming where the factor is given by that the average noise power generated by the four TAI circuits is the same, one obtains the same expression of (27) for the output referred noise voltage at the through port. Hence, the noise at the through and coupled ports remains the same for any arbitrary coupling level achieved by the MMIC coupler. Substituting with the expression of the TAI’s noise current, given by (33), which is derived in the Appendix, the output referred noise voltage becomes

(23) If the average noise power generated by the four TAI circuits , this results in the is the same, following: (29)

(24) At the design frequency, the coupler input impedance is equal to in order to achieve good power matching. Hence, the output referred noise voltage can be rewritten as

(25) To obtain an expression for the total output noise, the noise contribution of the source/termination impedances should be accounted for. Assuming that the noise sources associated with each port are uncorrelated, the expression of the output referred noise voltage becomes (26) is the thermal noise current generated where by the source/termination impedance at port . Since the noise power generated by all the source/termination impedances are equal, the total output referred noise voltage at the through port P2 can be rewritten as

(27) From the symmetry of the coupler circuit, one can show that the output referred noise voltage at the coupled port P3 is identical to that of the through port P2 given by (27). Equation (27) also indicates that minimizing the noise current of the TAI circuits is essential for optimizing the MMIC noise performance. If the coupler is reconfigured to operate with any arbitrary coupling level , the output referred noise voltage at the through port P2 becomes

(28)

where J/K is the Boltzmann constant, and is the absolute temperature in kelvins. The value of the coefficient typically ranges from 2 to 3 for short-channel transistors [17]. To verify the expression of (29), noise simulations were carried out for the MMIC coupler while configured to operate as a 3-dB coupler. The simulated MMIC coupler output noise and the TAI noise current were 5.25 nV Hz voltage and 0.17 pA Hz, respectively, at the design frequency. On the other hand, the corresponding noise voltage and current obtained from using the theoretical expression of (29) and (33) are 6.2 nV Hz and 0.2 pA Hz, respectively. This shows that (29) provides a fairly accurate representation of the MMIC coupler noise. To minimize the noise of the MMIC coupler, one should design the TAI circuit using small values for the transistor transconductances, as well as design the differential pair to . On the other hand, large achieve a large output resistance transconductances are required to achieve the low inductance values, which are necessary for configuring the coupler to operate at high frequencies. Hence, a tradeoff exists between the maximum frequency of operation and the noise generated by the MMIC coupler. In a telecommunication system, the effect of the noise generated by the MMIC coupler on the overall noise figure can be reduced by preceding it with an LNA [18]. VI. CONCLUSION This paper has presented a compact highly reconfigurable directional coupler, which was implemented in a standard 0.13- m CMOS process and operates from a 1.5-V supply. A lumped-element approach is used to build the directional coupler, which makes it possible to integrate the entire coupler onto a single MMIC. The MMIC coupler occupies an area of 730 m 600 m, which is much smaller compared to printed designs operating at the same frequency range. The MMIC coupler is based on the high-pass architecture and utilizes both varactors and TAIs, which allows simultaneous electronic control over the coupling coefficient, as well as the operating

318

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

. Assuming that all the various noise sources are uncorrelated, one can use superposition to show that, the input referred at the inductor input port is expressed as noise current

(30) Fig. 25. Simplified TAI schematic with the main current and voltage noise sources.

frequency of the coupler, while insuring a low return loss and a very high isolation. Furthermore, the symmetric configuration of the coupler allows it to electronically switch from forward to backward operation by simply exchanging the bias voltages applied across the varactors. The different modes of operation of the proposed MMIC coupler were experimentally verified, and the measured results show that the coupler is capable of achieving a tunable coupling coefficient from 1.4 to 7.1 dB, while maintaining the isolation higher than 41 dB. The MMIC coupler is also capable of operating at any center frequency over the 2.1–3.1-GHz frequency range with higher than 40-dB isolation. The linearity of the proposed MMIC coupler was experimentally characterized. As for the noise performance, a detailed analysis was presented to describe the effect of the active circuits on the MMIC coupler noise performance.

where and are the output resistance and capacitance of the differential pair. If the TAI circuit is configured to operate with a high quality factor, one should set the feedback resistance to cancel the resistive part of the circuit’s input impedance. According to the approximate expression of (21), this results in the following value for the feedback resistance:

(31) is the design frequency. Hence, for highwhere the input referred noise current becomes

operation,

(32) APPENDIX TAI NOISE ANALYSIS This appendix analyzes the noise contribution of the TAI due to the transistors, as well as the feedback resistor . This noise analysis was not included in past publications of this TAI circuit [14]–[16]. Our goal is to find an equivalent noise current source connected in parallel to the TAI circuit, which models the effect of the various noise sources. The result is utilized in Section V to analyze the noise performance of the entire MMIC coupler. In this analysis, the effect of the flicker noise generated by the transistors is neglected due to the high-pass nature of the MMIC coupler, and due to the nominal design frequency of 2.6 GHz, corner frequency. Therefore, only which is well above the the thermal noise components are considered in this analysis. A simplified schematic of the TAI circuit with the different noise sources is shown in Fig. 25, where is the meansquare value of the drain current thermal noise generated by transistor , and is the mean-square value of the thermal noise voltage generated by the feedback resistance . For simplicity, the gate current thermal noise is neglected throughout this analysis, as well as the effect of the output resistances and the gate–source capacitances of transistors and

Substituting with the simplified transistor drain current thermal noise expressions [19], as well as the feedback resistor expression, results in the following: thermal noise

(33) J/K is the Boltzmann constant and is where the absolute temperature in kelvins. The value of the coefficient typically ranges from 2 to 3 for short-channel transistors [17]. ACKNOWLEDGMENT The authors would like to thank the Canadian Microelectronics Corporation (CMC), Kingston, ON, Canada, for providing the fabrication facilities.

ABDALLA et al.: COMPACT HIGHLY RECONFIGURABLE CMOS MMIC DIRECTIONAL COUPLER

REFERENCES [1] R. W. Vogel, “Analysis and design of lumped- and lumped-distributedelement directional couplers for MIC and MMIC applications,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 253–262, Feb. 1992. [2] E. E. Djoumessi, E. Marsan, C. Caloz, M. Chaker, and K. Wu, “Varactor-tuned dual-band quadrature hybrid coupler,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 603–605, Nov. 2006. [3] C.-S. Kim, C.-S. Yoon, J.-S. Park, D. Ahn, J.-B. Lim, and S.-I. Yang, “A design of the novel varactor tuned directional coupler,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, vol. 4, pp. 1725–1728. [4] C.-S. Kim, J.-S. Park, D. Ahn, and J.-B. Lim, “Variable directional coupler with LC resonator,” Electron. Lett., vol. 36, no. 18, pp. 1557–1559, Aug. 2000. [5] E. A. Fardin, K. Ghorbani, and A. S. Holland, “A varactor tuned branch-line hybrid coupler,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 3, pp. 4–7. [6] E. A. Fardin, A. S. Holland, and K. Ghorbani, “Electronically tunable lumped element 90 hybrid coupler,” Electron. Lett., vol. 42, no. 6, pp. 353–355, Mar. 2006. [7] H.-H. Hsieh, Y.-T. Liao, and L.-H. Lu, “A compact quadrature hybrid MMIC using CMOS active inductors,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1098–1104, Jun. 2007. [8] S. Toyoda, “Variable coupling directional couplers using varactor diodes,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1982, vol. 82, no. 1, pp. 419–421. [9] S.-M. Wang, C.-Y. Chang, and J. Lin, “A software configurable coupler with programmable coupling coefficient,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 185–188. [10] L. Marcaccioli, C. Lugo, M. M. Tentzeris, J. Papapolymerou, and R. Sorrentino, “A novel reconfigurable coupler for intelligent SOP RF front-ends,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, vol. 1, pp. 4–6. [11] K.-K. M. Cheng and F.-L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [12] J. Reed and G. J. Wheeler, “A method of analysis of symmetrical fourport networks,” IEEE Trans. Microw. Theory Tech., vol. MTT-4, no. 4, pp. 246–252, Oct. 1956. [13] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [14] M. Abdalla, K. Phang, and G. V. Eleftheriades, “A 0.13 m CMOS phase shifter using tunable positive/negative refractive index transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 12, pp. 705–707, Dec. 2006. [15] M. Abdalla, K. Phang, and G. V. Eleftheriades, “A tunable metamaterial phase-shifter structure based on a 0.13 m CMOS active inductor,” in Proc. 36th Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 325–328. [16] M. Abdalla, K. Phang, and G. V. Eleftheriades, “Printed and integrated CMOS positive/negative refractive-index phase shifters using tunable active inductors,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1611–1623, Aug. 2007. [17] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuit, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 1998. [18] T. M. Hancock and G. M. Rebeiz, “A 12-GHz SiGe phase shifter with integrated LNA,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 977–983, Mar. 2005. [19] B. Razavi, Design of Analog CMOS Integrated Circuits. Boston, MA: McGraw-Hill, 2000. Mohamed A. Y. Abdalla (S’98) was born in Cairo, Egypt, in 1978. He received the M.Sc. and B.Sc. degrees in electronics and electrical communications engineering from Cairo University, Giza, Egypt, in 2000 and 2002, respectively, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Toronto, Toronto, ON, Canada. From 2000 to 2002, he was with the Electronics and Electrical Communications Engineering Department, Cairo University, as a Teaching Assistant, and

319

then as an Assistant Lecturer. Since Fall 2002, he has been a Research and Teaching Assistant with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering, University of Toronto. His research interests include analog integrated circuits such as transconductors and current-mode circuits, and RF/microwave circuits such as active inductors, phase shifters, directional couplers, and tunable metamaterial structures, as well as electronically steerable antenna arrays. Mr. Abdalla assisted in the organization of the 2005 International Solid State Circuits Conference (ISSCC). He has also assisted with the organization of the distinguished lecture series for the IEEE Solid-State Toronto Section. In December 2005, his paper entitled “A novel CMOS realization of the differential input balanced output current operational amplifier and its applications” published in July 2005 in Analog Integrated Circuits and Signal Processing was ranked among the top five most viewed papers. He was the recipient of a three-year University of Toronto Ph.D. Fellowship, as well as the Edward S. Rogers Sr. Ontario Graduate Scholarship (2004/2005). He was also the recipient of the 2003 Best Teaching Assistant Award.

Khoman Phang (S’90–M’00–SM’05) received the B.A.Sc., M.A.Sc., and Ph.D. degrees from the University of Toronto, Toronto, ON, Canada, in 1990, 1992, and 2001, respectively. In 1993, he was a Visiting Researcher with the Sony Corporation, Tokyo, Japan. In 1994, he joined IBM Microelectronics Ltd., Toronto, ON, Canada, where he was involved in the development of infrared wireless networking products. In 2000, he joined the University of Toronto, where he is currently a Senior Lecturer with the Edward S. Rogers Sr. Department of Electrical and Computer Engineering. His research interests include analog integrated circuits, optical communication systems, and integrated circuits for biomedical applications.

George V. Eleftheriades (S’86–M’88–SM’02– F’06) received the Diploma (with distinction) from the National Technical University of Athens, Athens, Greece, in 1988, and the M.S.E.E. and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1993 and 1989, respectively, all in electrical engineering. From 1994 to 1997, he was with the Swiss Federal Institute of Technology, Lausanne, Switzerland, where he developed millimeter- and sub-millimeter-wave receiver technology for the European Space Agency and created fast computer-aided-design tools for planar packaged microwave circuits. In 1997, he joined the Department of Electrical and Computer Engineering, University of Toronto, Toronto, ON, Canada, where he is currently a Professor and a Canada Research Chair (Tier 1). He leads a group of 15 graduate students in the areas of negative-refraction metamaterials and their microwave and optical applications, integrated antennas and components for broadband wireless telecommunications, novel antenna beam-steering techniques, low-loss silicon micromachined components, sub-millimeter-wave radiometric receivers, and electromagnetic design for high-speed digital circuits. He coedited/coauthored Negative-Refraction Metamaterials: Fundamental Principles and Applications (Wiley/IEEE Press, 2005). Prof. Eleftheriades currently serves as an IEEE Distinguished Lecturer for the Antennas and Propagation Society (IEEE AP-S). He was the recipient of the 2001 Gordon Slemon Award (teaching of design) presented by the University of Toronto and the 2001 Ontario Premier’s Research Excellence Award. He was the recipient of a 2004 E.W.R. Steacie Memorial Fellowship presented by the Natural Sciences and Engineering Research Council (NSERC) of Canada. He was also the recipient of the 2008 IEEE Kiyo Tomiyasu Technical Field Award.

320

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fast Estimation of Spectral Spreading in GSM OPLL Transmitters Based on Folding Effects Analysis in Quadrature Phase Modulator Hyunchol Shin, Member, IEEE

Abstract—In a quadrature phase modulator composed of a quadrature mixer and a limiter, spectrum folding effects are analyzed based on the Fourier series of a sinusoidal-carrier pulsewidth-modulated signal. The analysis reveals that the sideband tones after the limiter are created by two different folding mechanisms—dense and sparse folding when the distortion tones are around the odd and even harmonics of the carrier, respectively. The results are then applied to a practical offset phase-locked loop (OPLL) GSM transmitter to identify the origins of critand 8 . A ical sideband tones at offset frequencies of 4 simple static equation is presented to relate the magnitudes of the sideband tones to the spectral spreading of a phase modulated signal. By using the equation, quantitative correlations of the 4 and 8 sideband tones with the 400-kHz emission level in a Gaussian minimum shift-keying signal are shown. The estimation method is instrumental for performance verification of OPLL transmitters. The analysis results are verified through simulations and measurements. Index Terms—Folding effect, global system for mobile communications (GSM), offset phase-locked loop (OPLL), phase distortion, phase modulator, spectral spreading.

I. INTRODUCTION ONSTANT-ENVELOPE phase modulation is widely used due to its high power efficiency and low signal distortion. The phase modulator, typically implemented as a quadrature modulator [1], [2], is an essential building block in offset phase-locked loop (OPLL) transmitters [3], [4] and polar transmitters [5]. The quadrature phase modulator is composed of a quadrature mixer followed by a limiter, in which the quadrature mixer performs single-sideband mixing and the limiter removes the residual amplitude variation. The phase modulation in OPLL or polar transmitters is also carried out by the same quadrature phase modulator. During the modulation process, many spurious tones are created due to the circuit nonlinearity. They cause phase distortion and eventually lead to spectral spreading at the output spectrum. Thus, suppressing the spurious tones has always been the most critical issue in the phase modulator design. Various efforts for this can be found in previous studies: adopting off-chip

C

Manuscript received July 20, 2007; revised October 4, 2007. This work was supported by the Korea Ministry of Information and Communication under the University IT Research Center Program IITA-2007-C1090-0701-0038. The author is with the Department of Radio Science and Engineering, Kwangwoon University, Seoul 139-701, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914634

Fig. 1. Quadrature phase modulator architecture and conceptual illustration of output spectrum.

filters [2], [3], on-chip filters [4], or harmonic-rejection mixers [6]. In those previous studies [1]–[6], the critical impact of the nonlinear distortion on the phase distortion and the resulting spectral spreading have long been recognized, but only qualitative explanations have been presented to a limited extent. To the author’s best knowledge, the quantitative analysis of the effects has never been published thus far. Without such an analytic analysis, circuit designers often try to meet conservative design margins and overestimated linearity requirements, inevitably resulting in unnecessarily high power consumption and complex circuits. Thus, this analysis is of practical importance for optimal circuit design. In this paper, we present a physical model for the phase distortion in the quadrature phase modulator based on the folding effects analysis. We then propose a fast and efficient estimation method for the spectral spreading of phase modulated signals. The theory is verified for a Gaussian minimum shift keying (GMSK) modulated signal in a global system for mobile communications (GSM) OPLL transmitter. II. FOLDING EFFECTS ANALYSIS IN QUADRATURE PHASE MODULATOR Fig. 1 shows a general quadrature phase modulator. The phasor diagram shows the relation between the baseband input and and the modulating phase . The signal is given by modulated signal (1) where is the carrier frequency. When the phase term in (1) contains additional phase distortion, spectral spreading will

0018-9480/$25.00 © 2008 IEEE

SHIN: FAST ESTIMATION OF SPECTRAL SPREADING IN GSM OPLL TRANSMITTERS

321

Fig. 3. Folding effect in a sinusoidal-carrier PWM signal. (a) Two-tone input signal. (b) Resulting output signal.

Fig. 2. (a) Desired tone x (t) at ! and distortion tone x (t) at ! are applied to a limiter. (b) PWM signal at the limiter output.

occur at the output and subsequently be transferred to . It is interesting to observe that the spectral spreading is severely worse than that at , which was exat perimentally confirmed in [7]. This is because the harmonic and intermodulation distortion components generated by the quadrature mixer are folded to the carrier frequency when they pass through the limiter [8]. This folding effect is conceptually illustrated in the spectrum plot of Fig. 1, in which a single-tone is assumed. As can be seen, modulating signal the spectral spreading at the mixer output is only affected by the image- and carrier-leakage components, resulting in less severe spectral spreading, but after the limiter, many sideband tones arise adjacent to the output signal, resulting in much worse spectral spreading. Let us begin the analysis of the folding effect for the case at and the of two-tone excitation. The carrier tone at are applied as shown in Fig. 2(a). distortion tone Here, is assumed to be greater than . Since the limiter switches its output state according to the sign of the input wave, will skew the zero-crossing instants the slowly varying . The resulting waveform is illustrated of the desired tone in Fig. 2(b). Note that the zero-crossing instants occur when . As a result, the output signal becomes a pulsewidth modulated (PWM) signal with a sinusoidal carrier . A typical PWM signal is generated by using a triangular carrier, and its general double Fourier series analysis in two variables can be found in [9] and [10]. Since the modulated signal is generated through a double-sided natural sampling process, the PWM output spectrum can be obtained by combining the trailing- and leading-edge modulated PWM signals. For each single-sided modulated PWM signal, the static phase in the aband the maximum excursion of the sence of modulation is modulated phase due to the modulating signal is . Thus, can be expressed by the modulating signal (2)

where is the modulation index that is given by the amplito . The Fourier series expansion of the tude ratio of double-sided PWM signal is then given by

(3) where is the Bessel function of the first kind and order . The first term represents the modulating signal. The second term represents the carrier and its harmonics. Only odd harmonics of the carrier appear at the output. The third term represents the sideband tones around the carrier harmonics. Note that the odd harmonics of the carrier contain only even-order sideband tones and vice versa. of The PWM signal of our interest in Fig. 2(b) is made by using a sinusoidal carrier, thus its spectrum should be different from that of the triangular-carrier PWM signal expressed by (3). Compared to the triangular-carrier PWM signal, the sinusoidal-carrier PWM signal shows different magnitudes of the sideband tones and additional sideband tones at around dc [11]. A typical output spectrum of the sinusoidal-carrier PWM signal is deis very small, picted in Fig. 3. When the modulation index however, the sinusoidal-carrier PWM signal is found to be almost the same with the triangular-carrier PWM signal without any significant error. It is because the sinusoidal wave can be approximated as a triangular wave near the zero crossings for low modulation index . Behavioral simulations have been performed to find the valid range of , which is found to be almost up to 20 dBc. Since typical distortion tones in practical circuits are less than 30 dBc, (3) can still be used without significant error for analyzing the sinusoidal-carrier PWM signal. Thus, (3) is used to estimate the magnitudes of the sideband distortion tones. In a general quadrature modulator circuit, the distortion comcan appear at higher frequency than the carrier ponent at

322

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

at

. Thus, we need to eliminate the original assumption of , which was made for deriving (3). For this generalizais again defined as tion, the modulating signal frequency (4) where is an integer value equal to or greater than zero. Simply in (3) with (4), we can then obtain the final by replacing as follows: expression for the Fourier series of

(5) Interpreting the final expression gives interesting observations. When is even, the folding occurs exactly the same way , i.e., only even-order sideband with the original case tones appear around odd-order harmonics of and vice versa. Fig. 4(a) illustrates this sparse folding. By contrast, when is odd, both even- and odd-order sideband tones appear around , and no sideband tones appear odd-order harmonics of around even-order harmonics of . Fig. 4(b) shows this dense folding. Behavioral simulations are performed to confirm this observation. A behavioral model of an amplifier with a voltage gain of 10 and clipped output levels of 0.5 V is used as a limiter. and a distortion tone at Two sinusoidal signals of a carrier at are applied to the limiter. The carrier is a 1-V single tone at 100 MHz and the distortion is a 0.01-V single tone at or 303 MHz in which is set at 203 MHz 3 MHz. For even , the odd harmonics of 100 MHz contain only MHz offset) and the even-order sideband tones (6, 12, 18, vice versa, as shown in Fig. 4(c). By contrast, for odd , all the even- and odd-order sideband tones of 3 MHz arise around the odd harmonics of 100 MHz, and no sideband tones arise around the even harmonics of 100 MHz, as shown in Fig. 4(d). The simulation results clearly verify the dense and sparse folding mechanisms revealed in this section. III. FOLDING EFFECTS ANALYSIS IN OPLL TRANSMITTER The result in Section II is applied to a practical 1.75-GHz OPLL GSM transmitter circuit. Fig. 5 shows the block diagram of the OPLL transmitter. The OPLL transmitter is implemented in an SiGe BiCMOS technology, and the details of the circuit design and measurement results can be found in [8]. In order to analyze the spectrum folding, detailed frequency plans need to be , specified first. In this OPLL, the limiter input frequency , and the offset mixer LO frequency RF frequency are chosen to be , , and , respectively. It should be pointed out that although the analysis in this section is done on

Fig. 4. (a) Spectrum folding when the distortion tone exists around an evenorder carrier harmonic (sparse folding case). (b) Spectrum folding when the distortion tone exists at around an odd-order carrier harmonic (dense folding case). (c) Behavioral simulation result for the sparse folding case. (d) Behavioral simulation result for the dense folding case.

this particular OPLL architecture and frequency arrangement, the analysis method can be applied to any other architecture and frequency arrangements without loss of generality. The folding effects are examined separately in the reference and feedback paths and the results are superposed afterwards.

SHIN: FAST ESTIMATION OF SPECTRAL SPREADING IN GSM OPLL TRANSMITTERS

Fig. 5. OPLL transmitter architecture.

323

of the carrier frequency. Note that the lower and upper sideband mixing alternatively appear in the single-sideband mixing as the harmonic number increases. The quadrature mixer in the feedback path also generates distortion tones, as illustrated in Fig. 6(b). Tones 8, 9, and 11 are due to the intermixing of and , and , and and , respectively. Tone 10 is a direct leakage component. When all of these distortion tones pass through the limiter, . The process is they are folded to the main tone at - and illustrated in Fig. 6(c). Tones 1 and 2 mostly cause -offset tones, respectively. Typically they have a negligible impact on the spectral spreading, but only degrade the rms phase error. Among tones 3–11, tones 8 and 10 correspond to the even- dense folding, and others correspond to the odd- sparse folding. Tones 3–5 and 8–10 are folded to the -offset tones -offset tones. It and tones 6, 7, and 11 are folded to the and tones become the is interesting to note that the most strong distortion components, and thereby the biggest contributing sources for the spectral spreading. In literature, it is usual to consider only the third- and fifth-order distortion components as the most critical components affecting the phase distortion and spectral spreading [4], [6]. However, the above analysis provides different viewpoints. The higher order distortion tones (e.g., tones 6, 7, and 11) and sometimes even-order distortion tones (e.g., tones 8 and 10) must be carefully suppressed due to their possible significant and sideband tones. In (5), contributions to the the coefficient of the folded components is determined by . The magnitudes of the folded compoand , but not on . It implies that nents depend only on the contribution of the distortion tones on the sideband tones does not depend on the original frequency of the distortion tones; in other words, it does not depend on how far the original distortion tones are located from the main tone (also, equiv). Consequently, all the high-frequency and alently, even-order distortion components must be carefully identified and suppressed during circuit design if they show significant magnitudes. By summing up all the spectra of Fig. 6(c), the final output of Fig. 6(d) can be expressed by spectrum

(6) Fig. 6. Folding effect analysis in the OPLL transmitter. (a) Nonlinear distortion components in the reference path. (b) Nonlinear distortion components in the feedback path. (c) Generations of folded sideband components. (d) Final output spectrum.

Fig. 6(a) illustrates major distortion components that are possibly generated by the quadrature mixer in the reference path. Tones 1 and 2 are the carrier and image leakages, respectively. Tone 3 is due to the third-order harmonic distortion of the baseband filter. Tones 4–7 are the spurious harmonic components in the quadrature mixer, appearing around the odd harmonics

where and are the magnitude and static phase offset of the th-order sideband tone. Equation (6) can be understood as a phase-modulated signal by an ensemble of sinusoidal waves. Since the modulation indices are very small, typically less than 30 dBc, (6) can be approximated by applying a superposition principle [9] as (7) where the term wanted phase distortion.

represents the un-

324

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

so that they never contribute to the sidemain tone at band tones. In addition, some other spurious distortion tones are noticeably significant, but they turn out to contribute only to offset. Thus, more far-out sideband tones with larger than they do not contribute to the close-in sideband tones. In summary, the only four main contributing tones significantly affect the output spectrum shown in Fig. 7(b), whereas other seemingly strong tones barely affect the output spectrum. Similar observations have also been made in the feedback path simulations. The good agreements between the simulation and theory successfully validate the folding analysis in this section. Although we have analyzed a particular OPLL architecture with a particular frequency plan in this section, any other OPLL architecture with a different frequency plan can be analyzed in the same manner based on the dense and sparse folding mechanisms. We can then figure out where the strong sideband tones are originated. Such an accurate origin tracking of the sideband tones will enable us to focus only on the main contributing distortion tones and neglect other seemingly strong, but noncontributing distortion tones. IV. FAST METHOD FOR ESTIMATING SPECTRAL SPREADING OF PHASE MODULATED SIGNAL Thus far, we have assumed a single-tone modulating signal . Now we want to extend it to a more general case with of . By simply replacing an arbitrary modulating phase with , (7) can be rewritten as (8) where (9)

Fig. 7. Simulated spectrum at the reference path of OPLL transmitter: (a) Before and (b) after the limiter.

The analysis results highlighted by (7) and Fig. 6 are verified through simulations of the real transistor-level OPLL circuit. Fig. 7(a) and (b) shows the simulated spectra before and after the limiter at the reference path, respectively. In this simand modulating frequency ulation, the carrier frequency are chosen as 350 and 2 MHz, respectively. The marked tones - and in Fig. 7(a) are four major contributing sources to the -offset sideband tones in Fig. 7(b). According to (2) and (3), with retheoretical magnitude of the first sideband tone is half of the original distortion spect to the main tone , tone 3 of 66.7 dBc and tone tone. Therefore, assuming 4 of 97.8 dBc should result in 72.7 dBc at the -offset sideband tone, while the simulation gives 72.3 dBc. Similarly, tone 6 of 78.5 dBc and tone 7 of 84.8 dBc should result -offset sideband tone, while the simin 81.1 dBc at the ulation gives 81.8 dBc. Meanwhile, even stronger tones than the main contributing tones (tones 4, 6, and 7) can be seen in Fig. 7(a), which seem to be more critical in the sideband tone generation. However, they are only the odd harmonics of the

Here, the signs for all variables are set positive for the sake of simplicity without loss of generality. is the desired modis the unwanted error phase. Equaulating phase and is a function of tion (9) implies that the phase distortion . Considering two facts that is a time-varying ardepends bitrary signal and (7) implies that the coefficient , on the instantaneous frequency of will generally depend on the instantaneous frequency of . Therefore, cannot be known without a prior knowledge versus time. Here, if we assume of the exact waveform of the phase modulator is memoryless and the signal bandwidth is much smaller than the circuit bandwidth, the original dependency on the instantaneous frequency can be eliminated, and can be approximated to depend only on the present thus, value of the modulating phase, and not on the instantaneous frequency of the modulating signal anymore. As a result, the time in (9) can be removed to give dependency of (10) Equation (10) implies that the phase distortion is determined by the static value of . The phase-modulated output signal of (8)

SHIN: FAST ESTIMATION OF SPECTRAL SPREADING IN GSM OPLL TRANSMITTERS

325

Fig. 8. Output phase including the phase error.

can then be rewritten as (11) Now let us discuss the effects of removing the time dependency. According to (8) and (9), the magnitudes of each sidemust be known first in order to acband distortion tones curately find the phase error. However, finding the coefficients by simulations is never practical in such complex OPLL in the circuit is over circuits because the lowest frequency . For ex1000 times smaller than the highest frequency is several tens of kilohertz and is several hunample, dreds of megahertz in this OPLL circuit. Thus, either transient or periodic steady state (PSS) simulation is never possible and practical. Equations (10) and (11) allow us to draw a new and fast method for estimating the spectral spreading in OPLL circuits. depends only Equation (10) implies that the phase error and on the present value of the modulating input phase not on the instantaneous frequency of the modulating signal . Thus, we can simply perform the steady-state simulation such as PSS simulation on the full circuit with a con. In simulation, applying constant values of stant value of means applying dc baseband input because the baseband input is determined by and , as seen in Fig. 1. Hence, the simulation time of the PSS simulation can be dramatically shortened due to the much lower highest to lowest frequency ratio (in this circuit, only 5). is obtained from the PSS simulaFig. 8 illustrates how tions. As PSS simulations are performed on the full OPLL cirswept from 0 to , the output phase at the VCO cuit with . The phase output signal will change against the input phase error is then determined by the deviation from an assumed ideal versus , as output phase. Once we know the relation of expressed by (10), the distorted final output phase is simply oband the corresponding , tained by adding the input phase as indicated by (11). The final output spectrum with spectral spreading can then be calculated through the Fourier transform of the same equation. All of these calculations can be done fast and efficiently by using a commercial MATLAB program. Since we are interested in the GMSK signal in this study, an arbitrary GMSK data pattern is generated and used for the calculation of the output phase and spectrum. The OPLL circuit in Fig. 5 is simulated to find the phase error and are against the input phase. The baseband voltage

Fig. 9. Effects of 4! - and 8! -offset sideband components on the 400-kHz emission level in GMSK signal. (a) Individual effects. (b) Composite effects.

set according to that is swept from 0 to . For each condition, PSS simulation is performed on the OPLL circuit. From the resulting relation of the VCO output phase versus the input phase, the error phase is obtained as depicted by Fig. 8. A simple sum of the simulated error phase and a pure GMSK signal phase then provides the distorted phase. Finally, the spectral spreading is computed by taking the Fourier transform of the final signal having the distorted phase. In this way, the designed OPLL circuit can be verified through extensive simulations and computations under process, temperature, and voltage variations. As discussed in Section III, the most critical sideband tones and tones. We investigate the effects of the most are critical sideband tones on the phase distortion and the spectral spreading based on the results represented by (10) and (11). The and tones can be exphase error caused by the two pressed as (12) where and can be assumed to be zero for the worst case. The GMSK spectrum is calculated based on (12) to see the inand tones on the 400-kHz emisdividual effect of the sion of the GMSK signal. The results are given in Fig. 9(a). It and components must be lower than shows that the

326

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

respectively, almost meeting our design goal. Fig. 10(b) shows the measured output spectrum when the GMSK modulated signal is applied. The measured 400-kHz level is 67.8 dBc. Based on the and levels in Fig. 10(a), it agrees well with the predicted value of 68 dBc in Fig. 9(b). Fig. 10(b) also compares the measured spectrum with the theoretical spectrum calculated by using (10) and (11). Excellent agreement is also observed. A slight discrepancy appears at offset frequencies higher than 400 kHz, which is attributed to much higher order nonlinear distortions and thermal noise contributions from the circuit. Nevertheless, since they do not affect much in predicting the 400-kHz emission level, the discrepancy should not be critical in the performance verification of the OPLL circuits by using the proposed estimation method of spectral spreading. V. CONCLUSION We have analyzed the folding effects in the quadrature phase modulator including a limiter. The dense and sparse folding mechanisms have been revealed in the sideband tone generation. A fast method to estimate spectral spreading by using the circuit phase error found through static circuit simulations has been presented. The proposed method will be instrumental in assessing spectral spreading in phase modulators. The theory - and has also provided quantitative correlations among the -offset sideband tones and the 400-kHz emission level of the GMSK signal. The results have been verified for a 1.75-GHz OPLL GSM transmitter. ACKNOWLEDGMENT The author wishes to thank B. Walker and C. Persico, both with Qualcomm, San Diego, CA, for the valuable discussions and support. Fig. 10. (a) Measured spectrum with a single-tone signal. (b) Measured and calculated spectrum with a GMSK signal.

44 and 54 dBc, respectively, in order to meet the 400-kHz emission specification of 60 dBc. It should be noted that the 400-kHz emission is more vulnerable to the distortion than distortion. Fig. 9(b) shows the composite effects of the the and tones on the 400-kHz emission level. From this and tones can plot, a useful distortion budget on the be extracted. For example, a reasonable design goal with suffiand 70 dBc cient design margin would be 60 dBc for , which would result in 68 dBc of 400-kHz emission for level. This is a spurious level requirement that must be met at the limiter output. On the other hand, this requirement can also be converted into a requirement at the mixer output. Recalling after spectrum folding bethat the first sideband tone come 6 dB less, as discussed in regard to Fig. 7, each composite magnitude of the third-, fifth-, second-, and fourth-order distortone must be tion components that are responsible for the suppressed below 54 dBc. Also, each composite magnitude of the seventh- and ninth-order distortion components that are retone must be suppressed below 64 dBc. sponsible for the Fig. 10(a) shows the measured output spectrum in the OPLL GSM transmitter when a single tone at 67.7 kHz is applied. The and tones are measured to be 58.9 and 71.8 dBc,

REFERENCES [1] T. Melly, A.-S. Porret, C. C. Enz, and E. A. Vittoz, “An ultralow-power UHF transceiver integrated in a standard digital CMOS process: Transmitter,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 467–472, Mar. 2001. [2] P. Orsatti, F. Piazza, and Q. Huang, “A 20-mA-receive, 55-mAtransmit, single-chip GSM transceiver in 0.25-mCMOS,” IEEE J. Solid-State Circuits, vol. 34, no. 12, pp. 1869–1880, Dec. 1999. [3] R. Magoon, A. Molnar, J. Zachan, G. Hatcher, and W. Rhee, “A singlechip quad-band direct-conversion GSM/GPRS RF transceiver with integrated VCOs and fractional-N synthesizer,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1710–1720, Dec. 2002. [4] P. Su, “A 0.25-mCMOS OPLL transmitter IC for GSM and DCS applications,” IEEE Tran. Microw. Theory Tech., vol. 53, no. 2, pp. 462–471, Feb. 2005. [5] T. Sowlati, D. Rozenblit, R. Pullela, M. Damgaard, E. McCarthy, D. Koh, D. Ripley, F. Balteanu, and I. Gheorghe, “Quad-band GSM/GPRS/EDGE polar loop transmitter,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2179–2189, Dec. 2004. [6] J. Weldon, R. Narayanaswami, J. Rudell, L. Lin, M. Otsuka, S. Dedieu, L. Tee, K. Tsai, C. Lee, and P. Gray, “A 1.75-GHz highly integrated narrow-sband CMOS transmitter with harmonic-rejection mixer,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2001, pp. 2003–2015. [7] E. Jones, T. Wilkinson, and J. Gardiner, “Effects of modulator deficiencies and amplifier nonlinearities on the phase accuracy of GMSK signaling,” Proc. Inst. Elect. Eng., vol. 140, no. 2, pt. I, pp. 152–162, Apr. 1993. [8] H. Shin, B. Walker, D. Pan, J. Dunworth, and J. Jaffee, “Analysis of spectral spreading in a phase-modulated system for 1.75-GHz GSM RF transmitter design,” in IEEE Custom Integrated Circuit Conf. Tech. Dig., San Jose, CA, Sep. 2003, pp. 103–106.

SHIN: FAST ESTIMATION OF SPECTRAL SPREADING IN GSM OPLL TRANSMITTERS

[9] H. S. Black, Modulation Theory. Princeton, NJ: Van Nostrand, 1953. [10] M. T. Tan, J. S. Chang, H. C. Chua, and B. H. Gwee, “An Investigation into the parameters affecting total harmonic distortion in low-voltage low-power class-D amplifiers,” IEEE Tran. Circuits Syst. I, Reg. Papers, vol. 50, no. 10, pp. 1304–1315, Oct. 2003. [11] M. Cross and A. Forsyth, “A high-power-factor, three-phase isolated AC–DC converter using high-frequency current injection,” IEEE Tran. Power Electron., vol. 18, no. 4, pp. 1012–1019, Jul. 2003. Hyunchol Shin (M’97) received the B.S., M.S., and Ph.D. degrees in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejon, Korea, in 1991, 1993 and 1998, respectively. In 1997, he was with the Daimler Benz Research Center, Ulm, Germany, as a Doctoral Researcher. From 1998 to 2000, he was with Samsung Electronics, as a Senior RF/Analog Integrated Circuit (IC) Design Engineer, where he was involved in the RF/IF chipset development for CDMA/AMPS

327

mobile handsets. In April 2000, he joined the Electrical Engineering Department, University of California at Los Angeles (UCLA), as a Post-Doctoral Research Associate, where he was involved with RF transceiver design using CMOS and SiGe BiCMOS. He was also a Lecturer with UCLA, during which time he taught analog electronic circuits for undergraduate students. In May 2002, he joined the RF/Analog IC Design Group, Qualcomm, as a Senior Engineer, where he was involved in the development of multiband multimode GSM/WCDMA transceivers. Since September 2003, he has been with the Department of Radio Science and Engineering, Kwangwoon University, Seoul, Korea, where he is currently an Associate Professor. His research interests are RF/analog/microwave integrated circuits and systems.

328

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Analysis of a Fully Matched Saturated Doherty Amplifier With Excellent Efficiency Jangheon Kim, Student Member, IEEE, Junghwan Moon, Young Yun Woo, Sungchul Hong, Ildu Kim, Jungjoon Kim, and Bumman Kim, Fellow, IEEE

Abstract—A saturated Doherty amplifier based on class-F amplifiers is analyzed in terms of its load modulation behavior, efficiency, and linearity. Simulations included the amplitude ratio and phase difference between the fundamental and third harmonic voltages, the current/voltage waveforms, load lines, and the third-order intermodulation amplitudes/phases of the carrier and peaking amplifiers. The saturated Doherty power amplifier was implemented using two Eudyna EGN010MK GaN HEMTs with a 10-W peak envelope power. For a 2.14-GHz forward-link wideband code-division multiple-access signal, the Doherty amplifier delivers an excellent efficiency of 52.4% with an acceptable linearity of 28.3 dBc at an average output power of 36 dBm. Moreover, the amplifier can provide the high linearity performance of 50 dBc using the digital feedback predistortion technique. Index Terms—Doherty amplifier, efficiency, linearization, power amplifier (PA), wideband code division multiple access (WCDMA).

I. INTRODUCTION

C

URRENT wireless communication systems, such as code division multiple access (CDMA) 2000, wideband code division multiple access (WCDMA), wireless local area network (WLAN), and worldwide interoperability for microwave access (WiMAX), are intended to maximize the data rate in a fast moving environment. The modulated signals of these systems vary rapidly and have high peak-to-average power ratios (PAPRs). In order to linearly amplify the signals, the amplifier is operated in a backoff region, thus the efficiency is rather poor. Therefore, techniques that can improve the linearity and efficiency of the base-station power amplifier (PA) are an important issue in the research community [1], [2]. Improving the efficiency of the PA has been studied using efficiency enhancement techniques such as envelope elimination and restoration (EER), polar modulation, and envelope tracking

Manuscript received August 3, 2007; revised October 30, 2007. This work was supported by the Korean Government under Korea Science and Engineering Foundation (KOSEF) MOST Grant R01-2007-000-20377-0 and by the Center for Broadband Orthogonal Frequency Division Multiplex Mobile Access, Pohang University of Science and Technology under the Information Technology Research Center Program of the Korean Ministry of Information Technology, supervised by the Institute for Information Technology Advancement (IITA2007-C1090-0701-0037). J. Kim, J. Moon, I. Kim, J. Kim, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Gyeongbuk 790-784, Korea (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Y. Y. Woo and S. Hong are with the Telecommunication Research and Development Center, Samsung Electronics Company Ltd., Suwon, Gyeonggi 442742, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914361

(ET) [3]–[5]. These techniques could provide excellent efficiency performance using a complicated enveloped amplifier and/or switching amplifier, and high linearity performance can be achieved with the help of a digital predistortion technique. Moreover, Doherty amplifier techniques have been extensively studied to improve efficiency, and could provide the advantage of improving the efficiency and linearity simultaneously using a simple circuit in comparison with the other techniques [1], [2], [6]–[20]. Suzuki et al. [17], [18] have developed a Doherty amplifier with the class-F filter at the back of the matching circuit. The harmonic-controlled matching topology could provide high efficiency, but the matching circuit cannot easily satisfy the fundamental, second, and third harmonic impedances simultaneously, as shown by Goto et al. [19]. The circuit topology presented in [19] has the harmonic control circuit (HCC) located in front of the matching circuit; this can control the impedance for the appropriate saturated operation. Moreover, they have developed a Doherty amplifier with a combination of class-F and inverse class-F amplifiers, and the amplifier can provide high efficiency at broad power levels. However, the amplifier could not generate peak efficiency of the carrier amplifier-only operation at the peak power level and has bad linearity in the high power region. These characteristics indicate that the amplifier has an improper load modulation and improper harmonic cancellation between the carrier and peaking amplifiers. In our approach, a saturated Doherty amplifier using the inverse class-F amplifier was developed to maximize efficiency [20]. The fully matched output matching network is discussed, including the HCC of the saturated amplifier and the harmoniccontrolled load modulation behavior of the Doherty amplifier. Based on the behaviors, the operation behavior of the saturated Doherty amplifier was analyzed with finite harmonic contents according to the power levels, including the efficiency and linearity. In order to verify our analyses and simulations, the saturated Doherty amplifier was implemented and compared with the class-F saturated amplifier and linear Doherty amplifier for continuous wave (CW) and WCDMA 1FA signals. The results clearly show that the saturated Doherty amplifier has excellent efficiency with acceptable linearity. The digital feedback predistortion (DFBPD) technique was applied to achieve high linearity performance, satisfying the system specifications. II. OPERATION PRINCIPLE OF THE SATURATED DOHERTY AMPLIFIER The fundamental operation principle of Doherty amplifier has been well described in previous literature [1], [2], [6]–[13]. The efficiency of the Doherty amplifier was maximized using the

0018-9480/$25.00 © 2008 IEEE

KIM et al.: ANALYSIS OF FULLY MATCHED SATURATED DOHERTY AMPLIFIER WITH EXCELLENT EFFICIENCY

Fig. 1. Schematic diagram of the saturated Doherty amplifier.

329

achieved in class-F operation by generating half-sinusoidal current and square-wave voltage waveforms. These waveforms can be realized by creating zero impedance at all even harmonics and infinite impedance at all odd harmonics. However, all harmonic contents cannot be controlled in a practical design. Moreover, the amplifier cannot operate in the saturation state for all power levels. Thus, an HCC has been employed in front of the output matching circuit, as shown in Fig. 3(a). The HCC includes both the arm shunt stubs for a better harmonic trap and tuning line for compensating the de-tuning effect of the devices’s parasitic components [21], [22]. For proper Doherty operation, the offset line at the back of the output matching circuit has also been employed [9]. The analyses of the design are based on the following assumptions. 1) Each current source is linearly proportional to the input voltage. 2) The current waveform depends on the bias point of each amplifier. 3) The voltage waveform depends only on the fundamental and third harmonic components. 4) The maximally flat voltage waveform is generated by a 1/9 amplitude ratio and 180 phase difference between the fundamental and third harmonic voltage components [23], [24]. 5) The output capacitance of the device is sufficiently small to control the harmonic components. 6) The Doherty amplifier can properly modulate the load impedance using the uneven power drive [13], [14]. Fig. 2(b) represents the fundamental current and voltage according to input drive voltage. Since the current and voltage waveforms represent the half-sinusoidal current and maximally and are and flat voltage waveforms, , respectively. At the low power region , where only the carrier amplifier is active, the fundamental and dc currents of the amplifier are (1)

Fig. 2. (a) Operational diagram of the saturated Doherty amplifier. (b) Fundamental current and voltage versus input drive voltage (solid line: the carrier amplifier/dot line: the peaking amplifier).

class-F amplifiers for the Doherty cells. Due to the saturated operation of the class-F amplifier, the proposed Doherty amplifier is called the saturated Doherty amplifier. Fig. 1 shows the schematic diagram of the fully matched saturated Doherty amplifier including the HCC and offset lines. This section analyzes the load modulation behavior, efficiency, and linearity of the saturated Doherty amplifier in a practical design.

(2) and are and , respecwhere tively. In order to analyze the load modulation behavior of the satuand , rated Doherty amplifier, we use as shown in Fig. 2(a). The load impedance of the carrier amplifier is given by (3)

A. Load Modulation Behavior of Saturated Doherty Amplifier

where

Fig. 2(a) shows an operational diagram of the saturated Doherty amplifier. For the Doherty operation, the carrier amplifier is biased at pinchoff, and the peaking amplifier below pinchoff. The current and voltage waveforms at the devices of the carrier and peaking amplifiers are transformed by each harmonic impedance of the HCC. Theoretically, high efficiency can be

Due to the maximally flat voltage waveform, the fundamental voltage component has a 9/8 times larger impedance than that of the class-B amplifier. Thus, the load impedance of each amplifier is designed to have a 9/8 times larger impedance.

330

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

At the higher power region , both amplifiers are active. The fundamental and dc currents of the peaking amplifier are expressed as

(4) (5) (6) where

The conduction angle represents the bias point of the peaking amplifier, and is the uneven power drive ratio. The load impedances of the carrier and peaking amplifiers are given by (7) (8) The fundamental load impedance of the carrier amplifier modto , and the load impedance of the peaking ulates amplifier modulates to , while maintaining the second harmonic short and third harmonic open impedances. The load modulation behavior of the fully matched output matching network was explored using the ADS simulator according to the load impedance change. Fig. 3(b) represents the fundamental, second, and third harmonic impedances of the carrier amplifier according to the changes of the load impedance from 100 to 50 and offset line length. The output matching network should transform a 100- load impedance to at the device, and the suitable offset line for the transformation is 118 . In the circuit, the second and third harmonic impedances maintain nearly short and open impedances, respectively, for the offset line change, and the output matching netto for the load change work can be modulated from of 100 to 50 . Fig. 3(c) shows the fundamental impedance and second and third harmonic impedances of the peaking amplifier according to the load impedance change of to 50 . The output impedance of the peaking amplifier is transformed to a fairly high impedance (28 to 245 for the 118 offset line) for the turn-off mode using another offset line at the junction of the carrier and peaking amplifiers. The output matching network can be modulated from a very high impedance to for the load change of to 50 , and the second and third harmonic impedances maintain the nearly short and open impedances, respectively. These results demonstrate the HCC in front of the output matching circuit can successfully control the second and third harmonic impedances for the load modulation and offset line change, and the current and voltage waveforms,

Fig. 3. (a) Fully matched output matching network including the HCC. (b) Load modulation behavior of the carrier amplifier including the HCC. (c) Load modulation behavior of the peaking amplifier including the HCC.

which are caused by the harmonic impedances, can be properly transformed. B. Saturated Operation and Efficiency of the Saturated Doherty Amplifier The saturation operation for all power levels was explored by defining saturation state and insufficient saturation state in

KIM et al.: ANALYSIS OF FULLY MATCHED SATURATED DOHERTY AMPLIFIER WITH EXCELLENT EFFICIENCY

331

Fig. 4. Efficiency versus input drive level according to the bias point of the peaking amplifier.

terms of amplitude ratio/phase difference between the fundamental and third harmonic voltage components. The amplitude ratio and relative phase difference are expressed as

(9) (10) (11) and are magnitudes of the fundamental and third where and are the phases of harmonic voltages, respectively. the fundamental and third harmonic voltages, respectively. The saturation state should satisfy the half-sinusoidal current and and maximally flat voltage waveforms with at low drive levels. and values of the saturated Doherty amplifier have been investigated using the ADS simulator, as presented in Section III. The efficiency analysis assumes that each current source is linearly proportional to the input voltage, as shown in Fig. 2(b). Thus, the efficiency analysis can be carried out using only the fundamental and dc components according to each current and voltage waveform [13], [21], [23]. At the low power region ( ), the RF and dc powers are given by

(12)

(13)

Fig. 5. Load lines of each amplifier. (a) Carrier amplifier. (b) Peaking amplifier.

From (12) and (13), the efficiency becomes (14) where the turn-on voltage of the peaking amplifier ( ) is . set to The carrier amplifier continues the insufficient saturation input drive level. At this level, state until the the amplifier has a class-B peak efficiency due to the large load impedance, as shown in Fig. 4. The load lines are slightly elliptical for the low drive levels, and the load line reaches the minimum allowable voltage at the drive level, as shown in Fig. 5(a). The amplifier reaches the saturation state above this drive level and, as shown in Fig. 4, the efficiency increases from the class-B peak efficiency (point A) to the class-F peak efficiency (point B). The load line changes from the slightly elliptical to the quasi-“L” curve at the turn-on voltage, as shown in Fig. 5(a), but the line cannot make the perfect “L” curve because harmonic voltage control is limited to the third harmonic. This state illustrates that the amplifier has the half-sinusoidal current and maximally flat voltage signals, and the efficiency can reach 88%.

332

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I OPERATION STATE OF THE CARRIER AND PEAKING AMPLIFIERS ACCORDING TO EACH POWER LEVEL

At the higher power region ( ), both amplifiers are active. The RF and dc powers are the sum of the two amplifiers and are given by

(15) (16) From (15) and (16), the efficiency becomes

C. Linearity of the Saturated Doherty Amplifier The linearity of the saturated Doherty amplifier is worse than that of conventional Doherty amplifier because both amplifiers are operated as saturated amplifiers to maximize efficiency, and the linearity mechanism is complicated due to the harmonic cancellation of the saturated amplifiers. In the low power region, the linearity of the amplifier is entirely determined by the carrier amplifier like the conventional one. Moreover, the carrier amplifier has a larger impedance than that of the conventional one and the amplifier reaches saturation at a lower input drive level. Thus, the linearity is worse than the conventional Doherty amplifier’s. In the high power region, the linearity is improved by the harmonic cancellation from the two amplifiers at appropriate gate biases like the conventional Doherty amplifier [10]–[13]. Due to the linearity improvement mechanism, the Doherty amplifier can operate more linearly than the switching or saturated amplifiers. The cancellation mechanism is investigated in terms of the amplitude and phase of the third-order intermodulations (IM3s) of the saturated Doherty amplifier using the ADS simulator in Section III. The nonlinear characteristics of the saturated Doherty amplifier is compared with the AM/AM and AM/PM characteristics of the class-F saturated and linear Doherty amplifiers in Section IV.

(17) III. SIMULATION RESULTS where

Since the load impedances of both amplifiers decrease, the load lines of the carrier amplifier move up while maintaining the quasi-“L” curves, as shown in Fig. 5(a), and the amplifier reaches the saturation state in this region. On the other hand, the current and voltage swings of the peaking amplifier increase in proportion to the drive level due to the proper load modulation, but the amplifier maintains the insufficient saturation state until reaching the minimum allowable output voltage level (point C). In these situations, the load lines of the amplifier are slightly elliptical until reaching the minimum allowable output voltage level, where the load line becomes the quasi-“L” curve, as shown in Fig. 5(b). The peaking amplifier reaches the saturation state after reaching the minimum allowable output voltage level, and both amplifiers have the half-sinusoidal current and maximally flat voltage waveforms at the maximum drive level (point D). The efficiency of the saturated Doherty amplifier, the average efficiency of the two amplifiers, is high due to the reduced overlap region between the current and voltage waveforms, since the peaking amplifier has a class-C bias, as shown in Fig. 5 [25], [26]. The operation states of the Doherty amplifier are summarized in Table I.

A. CW Test of the Saturated Doherty Amplifier The behavior of the saturated Doherty amplifier was investigated through ADS simulation using the OKI 0.1-W KGF1284 MESFET model. The gate voltage of the carrier amplifier was set to 2.4 V (class-B bias), and the gate voltage of the peaking amplifier was fixed at 2.9 V (class-C bias). The drain bias was 3.6 V. The fully matched output matching netvoltage work was constructed including the HCC to control the second and third harmonic components together with the proper load modulation, as shown in Fig. 3(a). and phase difference Fig. 6 shows the amplitude ratio between the fundamental and third harmonic voltages as a function of the output power level. For low power levels below an output power of 15 dBm, the third harmonic voltage components of the carrier and peaking amplifiers are negligibly small, indicating that both amplifiers are in the insufficient saturation state. As the power increases above an output power of 19 dBm, the carrier amplifier approaches the maximally flat and condition) and voltage waveform ( reaches the saturation state. On the other hand, the peaking amplifier is in the insufficient saturation state for all power levels. In the high power region (the power region of 19–25 dBm), of the carrier amplifier reaches the the drain voltage of maximum voltage swing, and the third harmonic components

KIM et al.: ANALYSIS OF FULLY MATCHED SATURATED DOHERTY AMPLIFIER WITH EXCELLENT EFFICIENCY

333

Fig. 6. Amplitude ratio ( ) and phase difference ( ) between the fundamental and third harmonic voltages as a function of the input power level.

Fig. 8. Load lines of each amplifier. (a) Carrier amplifier. (b) Peaking amplifier.

Fig. 7. Simulated time-domain current and voltage waveforms of each amplifier according to the power level. (a) Carrier amplifier. (b) Peaking amplifier.

increase since the voltage waveform is further flattened. In the same power region, the peaking amplifier does not satisfy the saturation conditions, thus, the amplifier is in the insufficient saturation state. However, at the maximum power level of 25 dBm, the peaking amplifier has a large third harmonic voltage and the proper phase relationship, and reaches the saturation state. Fig. 7 shows the time-domain current and voltage waveforms for output powers of 9.7, 13.9, 19.2, 22.4, and 25.1 dBm. At the low power levels of 9.7 and 13.9 dBm, the waveforms of the

carrier amplifier are neither perfectly half-sinusoidal, nor maximally flat due to the insufficient saturation state. Due to the high load impedance at the low power levels, there are significant voltage swings for the peaking amplifier. At the output power of 19.2 dBm, the carrier amplifier is in the saturation state, and its current and voltage waveforms are half-sinusoidal and maximally flat, respectively. On the other hand, the peaking amplifier is in the insufficient saturation state and has a sinusoidal voltage signal. Finally, at the maximum power of 25.1 dBm, both amplifiers have the fully saturated waveforms. The peaking amplifier, which is class-C biased, has a small overlap region between the drain voltage and current waveforms, and the efficiency is higher than that of the carrier amplifier [25], [26]. Fig. 8 illustrates the load lines of the carrier and peaking amplifiers according to output powers of 9.7, 13.9, 19.2, 22.4, and 25.1 dBm. As previously stated in Section II, the load lines of the carrier amplifier are slightly elliptical at the low power levels of 9.7 and 13.9 dBm. The load lines of the peaking amplifier represent high impedances at the same power levels. As the power increases, the load lines of the carrier amplifier move up, maintaining the quasi-“L” form due to proper load modulation. The load lines of the peaking amplifier also move up, maintaining slightly elliptical load lines, and the amplifier has a quasi-“L” load line at the maximum power level. These simulation results have verified that the HCC can successfully control the harmonic impedances during load modulation and the current and voltage waveforms can be properly transformed.

334

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 10. Two-tone simulated results of the saturated Doherty amplifier. (a) IM3 amplitudes of each amplifier and phase difference. (b) IMD3. Fig. 9. (a) Fundamental current and voltage components. (b) Drain efficiency as a function of the output power.

Fig. 9(a) shows the fundamental current and voltage components of the carrier and peaking amplifiers as a function of the output power level. Due to the proper load modulation, the fundamental currents of both amplifiers increase the same as that of the ideal Doherty amplifier of Fig. 2(b). The efficiency is improved over the saturated amplifier across broad output power levels, as shown in Fig. 9(b). However, the fundamental current of the peaking amplifier does not turn on abruptly, as shown in the peaking transition region of Fig. 9(a) because of the soft turn-on and turn-off characteristic of the MESFET. Due to the same reason, the fundamental carrier voltage increases level at the smoothly and the voltage cannot reach the turn-on power level. Thus, the carrier amplifier cannot operate in the perfect saturation state. As a result, the Doherty amplifier cannot reach peak efficiency at the middle output power level. B. Two-Tone Test of the Saturated Doherty Amplifier Fig. 10(a) shows the IM3 amplitudes of the carrier and peaking amplifiers and their phase difference. As already stated in Section II, the carrier amplifier has relatively poor linearity with the high IM3 amplitudes in the low power region. In the

high power region, the IM3 amplitudes of both amplifiers are the same, and the phase difference is maintained approximately 180 . The third-order intermodulation distortion (IMD3) is reduced by the harmonic cancellation mechanism, as shown in Fig. 10(b). The IMD3 of the Doherty amplifier is 41 dBc, which is an improvement of approximately 18 dB at the output power of 19 dBm. This result demonstrates that the saturated Doherty amplifier can operate as a reasonably linear amplifier with very high efficiency in spite of the saturated operation, while the class-F amplifier cannot. IV. IMPLEMENTATION AND MEASUREMENT RESULTS Section III has analyzed the fully matched output matching network of the saturated Doherty amplifier and explored its operation. To validate the behavior, a 2.14-GHz fully matched saturated Doherty amplifier based on a finite class-F harmonic control scheme was implemented using two Eudyna EGN010MK GaN HEMTs with a 10-W peak envelop power (PEP). The amplifier was optimized to get as high an efficiency as possible while having the proper Doherty operation. The matching impedances for the source and load were and , respectively. The suitable offset line for the peaking amplifier was 10.2 and the transformed output

KIM et al.: ANALYSIS OF FULLY MATCHED SATURATED DOHERTY AMPLIFIER WITH EXCELLENT EFFICIENCY

335

Fig. 11. Measured drain efficiency performance of the class-F, linear Doherty, and saturated Doherty amplifiers for 2.14-GHz CW signal.

impedance was 328 . Gate biases for the carrier and peaking V and V at amplifiers were set to V. The performance of the amplifier was compared by fabricating class-F and linear Doherty amplifiers using the same devices. The class-F amplifier has the same HCC and is biased for class-B operation. The linear Doherty amplifier is designed to maximize both linearity and efficiency without the HCC. A. CW and WCDMA Test of the Saturated Doherty Amplifier Fig. 11 shows the drain efficiency of the class-F, linear Doherty, and saturated Doherty amplifiers for a CW signal. The drain efficiency of the saturated Doherty amplifier is improved over broad average output power levels compared with the class-F and linear Doherty amplifiers. In particular, the saturated Doherty amplifier delivers the excellent efficiency of 54.2% at the 6-dB backed-off power level of 37 dBm, while the class-F and linear Doherty amplifier provide 35.2% and 39.5%, respectively. Fig. 12(a) illustrates the measured adjacent channel leakage ratios (ACLRs) of the class-F, linear Doherty, and saturated Doherty amplifiers at an offset of 2.5 MHz for a 2.14-GHz forward-link WCDMA 1FA signal. In comparison with the class-F amplifier, the saturated Doherty amplifier delivers significantly improved ACLR performance cancellation mechanism in spite of its saturated due to the operation. At the 7-dB backed-off power level of 36 dBm, the saturated Doherty amplifier delivers 28.3 dBc, which is an improvement of 6.5 dB. However, the linearity of the saturated Doherty amplifier is poorer than the linear Doherty amplifier’s. Thus, a linearization technique, such as the digital predistortion technique, is required to achieve high linearity performance. Fig. 12(b) shows the drain efficiency of the Doherty amplifiers for the WCDMA signal. The drain efficiency of the saturated Doherty amplifier is very high over broad average output power levels and is 52.4% at an average output power of 36 dBm. These experimental results show that the saturated Doherty amplifier can provide higher efficiency and linearity than the class-F amplifier. Moreover, the saturated Doherty amplifier has very high efficiency with an acceptable linearity, which can be

Fig. 12. Measured performances of the class-F, linear Doherty, and saturated Doherty amplifiers for 2.14-GHz forward-link WCDMA signal. (a) ACLR. (b) Drain efficiency.

linearized to the level suitable for the linear power amplifier (LPA) of a base-station application. This will be presented in the Section IV-B. B. Linearization Performance of the Saturated Doherty Amplifier In order to verify the suitability of the saturated Doherty amplifier as the main block of the base-station LPA, we have employed the DFBPD linearization technique to enhance linearity to the level of the system specification. The used signal is a 2.14-GHz forward-link WCDMA 1FA signal and 7.4-dB PAPR at the 0.01% level of the complementary cumulative distribution function (CCDF). The DFBPD has two 256-entry AM/AM and AM/PM lookup tables (LUTs), which were programmed via MATLAB using the DFBPD algorithm [27]. Prior to applying the linearization technique, we have investigated the memoryless AM/AM and AM/PM characteristics of the saturated Doherty amplifier and compared with the class-F and linear Doherty amplifiers, as shown in Fig. 13. The AM/AM characteristic of the linear Doherty amplifier shows the standard behavior with a moderate compression at a high power level,

336

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE II MEASURED PERFORMANCE AT AN AVERAGE OUTPUT POWER = 36 dBm FOR FORWARD-LINK WCDMA 1FA SIGNAL

Fig. 13. Measured nonlinear characteristics of the class-F, linear Doherty, and saturated Doherty amplifiers. (a) AM/AM and (b) AM/PM characteristics.

Fig. 15. Measured: (a) AM/AM and (b) AM/PM characteristics of the saturated Doherty amplifier after the DFBPD linearization at an average output power of 36 dBm.

Fig. 14. Measured WCDMA spectra before and after the DFBPD linearization of the saturated Doherty amplifier at an average output power of 36 dBm. (i) Without a digital predistorter. (ii) Digital feedback predistorter.

while the AM/PM characteristic increase. The AM/AM characteristic of the class-F amplifier has an expansion from the low

power level to the middle power level and a serious compression at the high power level, and the AM/PM characteristics has serious phase variation from the low power level. On the other hand, the AM/AM characteristic of the saturated Doherty amplifier has a larger expansion at high power than the class-F amplifier, and compression at a high power level due to the different saturation behaviors of both carrier and peaking amplifiers. In addition, the AM/PM characteristic shows an abrupt phase difference at the lower power level due to earlier saturation than the class-F amplifier, and a reduced phase difference at the middle power level due to the harmonic cancellation.

KIM et al.: ANALYSIS OF FULLY MATCHED SATURATED DOHERTY AMPLIFIER WITH EXCELLENT EFFICIENCY

Fig. 14 shows the measured spectra before and after the linearizations by the DFBPD technique. The ACLR at an offset of 2.5 MHz after the linearization is 50 dBc, which is an improvement of approximately 21.7 dB at an average output power of 36 dBm. This result satisfies the linearity specification, which 45 dBc at a 5-MHz offset and an ACLR requires an ACLR of 50 dBc at a 10-MHz offset. The linearization results are of summarized in Table II. Fig. 15 represents the AM/AM and AM/PM characteristics after the linearization, and the nonlinear characteristics are successfully linearized to an acceptable level by the predistortion technique due to the rather good linearity of the saturated Doherty amplifier. V. CONCLUSION We have discussed the harmonic controlled load modulation behavior for the fully matched output matching network including the HCC of the saturated Doherty amplifier. The output matching topology maintains the second short and third open impedances during load modulation and allows the proper saturated Doherty operation. We have analyzed the efficiency and linearity of the Doherty amplifier in a practical design using simulated amplitude ratios and phase differences between the fundamental and third harmonic voltages, the current/voltage waveforms, load lines, and the IM3 amplitudes/phases of the carrier and peaking amplifiers. The proper saturated Doherty operation was observed with high efficiency and acceptable linearity. A Doherty PA with the class-F HCC was implemented using two Eudyna EGN010MK GaN HEMTs. For a 2.14-GHz WCDMA signal, the Doherty amplifier delivers an excellent efficiency of 52.4% with an acceptable linearity of 28.3 dBc at an average output power of 36 dBm, and the linearity could be improved to 50 dBc using the DFBPD technique, which is acceptable for LPA application. The analyses and measured results show that the saturated Doherty amplifier can deliver very high efficiency with acceptable linearity by using the matching network topology. We believe that the saturated Doherty amplifier is the most suitable one for the main amplifier of the highly efficient base station. REFERENCES [1] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [2] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [3] F. H. Raab, B. E. Sigmon, R. G. Myers, and R. M. Jackson, “L-band transmitter using Kahn EER technique,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2220–2225, Dec. 1998. [4] J.-H. Chen, P. Fedorenko, and J. S. Kenney, “A low voltage W-CDMA polar transmitter with digital envelope path gain compensation,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 7, pp. 428–430, Jul. 2006. [5] D. F. Kimball, J. Jeong, C. Hsia, P. Draxler, S. Lanfranco, W. Nagy, K. Linthicum, L. E. Larson, and P. M. Asbeck, “High-efficiency envelopetracking W-CDMA base-station amplifier using GaN HFETs,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3848–3856, Nov. 2006. [6] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, no. 9, pp. 1163–1182, Sep. 1936. [7] F. H. Raab, “Efficiency of Doherty RF power-amplifier systems,” IEEE Trans. Broadcast., vol. BC-33, no. 3, pp. 77–83, Sep. 1987. [8] M. Iwamoto, A. Williams, P. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2001.

337

[9] Y. Yang, J. Yi, Y. Y. Woo, and B. Kim, “Optimum design for linearity and efficiency of microwave Doherty amplifier using a new load matching technique,” Microw. J., vol. 44, no. 12, pp. 20–36, Dec. 2001. [10] B. Kim, Y. Yang, J. Yi, J. Nam, Y. Y. Woo, and J. Cha, “Efficiency enhancement of linear power amplifier using load modulation technique,” in Int. Microw. Opt. Technol. Symp. Dig., Jun. 2001, pp. 505–508. [11] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched N -way Doherty amplifier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003. [12] B. Shin, J. Cha, J. Kim, Y. Y. Woo, J. Yi, and B. Kim, “Linear power amplifier based on 3-way Doherty amplifier with predistorter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 2027–2030. [13] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers—Uneven power drive and power matching,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1802–1809, May 2005. [14] J. Kim, J. Cha, I. Kim, B. Kim, S. Y. Noh, and C. S. Park, “Advanced design methods of Doherty amplifier for wide bandwidth, high efficiency base station power amplifiers,” in Proc. IEEE Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 963–966. [15] Y. Zhao, M. Iwamoto, L. E. Larson, and P. M. Asbeck, “Doherty amplifier with DSP control to improve performance in CDMA operation,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 687–690. [16] Y. Zhao, A. G. Metzger, P. J. Zampardi, M. Iwamoto, and P. M. Asbeck, “Linearity improvement of HBT-based Doherty power amplifiers based on a simple analytical model,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4479–4488, Dec. 2006. [17] Y. Suzuki, T. Hirota, and T. Nojima, “Highly efficient feed-forward amplifier using a class-F Doherty amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 77–80. [18] Y. Suzuki, S. Mizuta, T. Hirota, and Y. Yamao, “Linearized Doherty amplifier using a new digital predistorter compensating frequency-dependent intermodulation distortion for mobile radio,” in Proc. Commun. 2004/5th Int. Multi-Dimensional Mobile Commun. Symp., Aug.–Sep. 2004, pp. 558–562. [19] S. Goto, T. Kunii, A. Inoue, K. Izawa, T. Ishikawa, and Y. Matsuda, “Efficiency enhancement of Doherty amplifier with combination of class-F and inverse class-F schemes for S -band base station application,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 839–842. [20] J. Kim, B. Kim, and Y. Y. Woo, “Advanced design of linear Doherty amplifier for high efficiency using saturation amplifier,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1573–1576. [21] Y. Y. Woo, Y. Yang, and B. Kim, “Analysis and experiments for highefficiency class-F and inverse class-F power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 1969–1974, May 2006. [22] Y. Y. Woo, Y. Yang, I. Kim, and B. Kim, “Efficiency comparison between highly efficient class-F and inverse class-F power amplifiers,” IEEE Micro., vol. 7, no. 3, pp. 100–110, Jun. 2007. [23] F. H. Raab, “Class-F power amplifiers with maximally flat waveforms,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2007–2012, Nov. 1997. [24] P. Colantonio, F. Giannini, G. Leuzzi, and E. Limiti, “On the class-F power amplifier design,” Int. J. RF Microw. Comput.-Aided Eng., vol. 9, no. 2, pp. 129–149, 1999. [25] F. H. Raab, “Class-F power amplifiers with reduced conduction angles,” IEEE Trans. Broadcast., vol. 44, no. 4, pp. 455–459, Dec. 1998. [26] F. H. Raab, “Class-E, class-C, and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [27] Y. Y. Woo, J. Kim, J. Yi, S. Hong, I. Kim, J. Moon, and B. Kim, “Adaptive digital feedback predistortion technique for linearizing power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 932–940, May 2007.

Jangheon Kim (S’07) received the B.S. degree in electronics and information engineering from Chon-buk National University, Chonju, Korea, in 2003, and is currently working toward Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design, memory-effect compensation techniques, and digital predistortion (DPD) techniques.

338

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Junghwan Moon received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design, memory-effect compensation techniques, and digital predistortion (DPD) techniques.

Jungjoon Kim received the B.S. degree in electrical engineering from Han-Yang University, Ansan, Korea, in 2007, and is currently working toward the M.S. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include RF PA design and linearity and efficiency improvement techniques.

Young Yun Woo received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His current research interests include RF PA design, LPA system design, and digital predistortion (DPD) techniques for linearizing high PAs.

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, involved in device and circuit technology for RF integrated circuits (RFICs). He was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena, in 2001. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

Sungchul Hong received the B.S. degree in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 2003, and the Master degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea in 2007. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His research interests include design of PAs, digital predistortion (DPD) techniques, and highly efficient transmitter systems.

Ildu Kim received the B.S. degree in electronics and information engineering from Chon-nam National University, Kwangju, Korea, in 2004, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include highly linear and efficient RF PAs and transmitter design for base stations.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

339

16.6- and 28-GHz Fully Integrated CMOS RF Switches With Improved Body Floating Qiang Li, Member, IEEE, Y. P. Zhang, Kiat Seng Yeo, Member, IEEE, and Wei Meng Lim

Abstract—This paper presents two fully integrated CMOS transmit/receive (T/R) switches with improved body-floating operations. The first design exploits an improved transistor layout with asymmetric drain–source region, which reduces the drain–source feed-through for body-floated RF switches. In the second design, a switched body-floating technique is proposed, which reconfigures the body-floating condition of a switch transistor in the ON and OFF states. Both designs are fabricated in a standard 0.13- m triple-well CMOS process. With regard to 2-dB insertion loss, the switch with asymmetric drain–source achieves 28-GHz bandwidth, which is among the highest reported frequencies for CMOS T/R switches. The bandwidth of the switched body-floating design is 16.6 GHz. There is approximately 5 dB better isolation obtained in the switched body-floating design. With the resistive double-well body-floating technique, 26.5- and 25.5-dBm input 1-dB compression point ( 1dB ) are obtained, respectively. Both designs consume only 150 m 100 m die area. The demonstrated T/R switches are suitable for high-frequency and wideband transceivers. Index Terms—Asymmetric drain–source, CMOS integrated circuits, MOSFET switches, RF switches, switched body floating, transmit/receive (T/R) switches, triple well.

I. INTRODUCTION

S

WITCHING functions are extensively employed in RF systems, especially with the development of the multimode and multiband transceivers. Several emerging applications, e.g., multiple-input multiple-output (MIMO), subsampling/decimating, antenna/phase arrays, etc., essentially require RF switches. RF switches are also used as passive mixers. A good example of an RF switch is the transmit/receive (T/R) antenna switch, which requires low insertion loss, high isolation, and good linearity. At high frequencies, due to the high-loss nature of the silicon substrate, it is very difficult to achieve the above performances concurrently in CMOS. For years, RF switches have been dominated by discrete components using p-i-n diodes and III-V MESFETs. Compared with other CMOS RF circuits that have been pushed beyond 100 GHz, the design of CMOS RF switches is only explored to a limited extent. To date, most of the reported CMOS T/R switches are below 6 GHz [1]–[7] Manuscript received August 2, 2007; revised November 14, 2007. Q. Li was with the Centre for Integrated Circuits and Systems, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798. He is now with the Institute of Microelectronics, Singapore 117685 (e-mail: [email protected]) Y. P. Zhang, K. S. Yeo, and W. M. Lim are with the Division of Circuits and Systems, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914364

and the maximum reported operating frequency of a CMOS RF switch is around 15–20 GHz [8]–[10]. The performance of high-frequency T/R switches is mainly limited by the capacitive coupling from the silicon substrate and OFF transistors. To enhance the bandwidth of CMOS T/R switches beyond 10 GHz, the effect of capacitive coupling should be minimized. There are two approaches that were demonstrated. One approach employs an LC network to compensate the effect of coupling capacitance, which can be implemented in the form of an impedance transformation network (ITN) [8] or artificial transmission line [9]. This method can effectively compensate the parasitic capacitance in the input and output nodes. However, a large silicon area has to be consumed for on-chip inductors; and the effect of internal capacitive loss cannot be reduced. The other approach was proposed from the point of view of switch transistors in the cutoff region [10]. It is shown that, for high-frequency T/R switches, the parasitic capacitances of the OFF transistors significantly impact the overall performance, and there are always OFF transistors connected to an ON transistor. To improve the operation frequency of the T/R switch, the number of OFF transistors and the drain–source coupling capacitance of the OFF transistors should be minimized. In the demonstrated switch in [10], the shunt arms in a typical T/R switch are removed and a customized layout with decoupled drain–source is exploited for the switch transistors. This method enhances the bandwidth effectively without using on-chip inductors. The body-floating technique has been used for high-linearity CMOS RF switches in the form of an LC-tuned body floating in a standard -well CMOS [5] or wideband resistive body floating in a triple-well CMOS [7]. An improved double-well body-floating technique was also introduced in [10], where both the p-well and deep n-well are biased through large resistors to make them RF floating. However, when the customized layout is employed together with the body-floating technique, the floating body becomes a feed-through path between the drain and source, which has limited the bandwidth of the demonstrated T/R switch to 20 GHz. Since body floating can hardly be avoided for switches requiring high linearity, it is important to find new approaches to further improve the performance of CMOS RF switches under the body-floating condition. This paper proposes two methods from two different points of view. One method is to minimize the drain–source coupling capacitance with the floating body, and the other one is to reconfigure the body-floating condition of the ON and OFF transistors. Both approaches are demonstrated in a 0.13- m standard triple-well CMOS process. Section II explains the mechanism of the drain–source coupling under the body-floating condition. Section III presents an improved layout

0018-9480/$25.00 © 2008 IEEE

340

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 1. Simplified cross-sectional view of a typical nMOS transistor in a triplewell process. The parasitic capacitances and diodes limit the high-frequency performance of CMOS switches.

for body-floating switch transistors where the drain–source coupling can be minimized. In Section IV, a switched body-floating technique is proposed and discussed. Section V compares and discusses the experimental results. This paper presents conclusions in Section VI.

Fig. 2. Customized layout with asymmetric drain and source for switch transistors.

II. DRAIN–SOURCE COUPLING WITH FLOATING BODY The capacitive feed-through between the drain and source is a dominant limit for high-frequency CMOS T/R switches. Fig. 1 shows a simplified cross-sectional view of an nMOS transistor in the triple-well process. When the transistor is used as a switch and is turned OFF, the feed-through between the drain and source and . is due to the parasitic capacitance It is shown that in deep-submicrometer CMOS technologies, is dominant due to the metal interconnections, which can be significantly reduced by enlarging the distance between the drain and source [10]. In this case, the drain–source coupling is and . When the body is biased determined by and will not to a dc voltage directly (RF grounded), contribute to the drain–source feed-through (though they create loss). The coupling capacitance between the drain and source can be written as (1) However, when the body-floating technique is employed, the body becomes a floating point; the coupling path through and will contribute to the drain–source feed-through (2) The second term in (2) clearly shows the effect of the body parand . This effect is further enhanced with the asitics increased drain–source area when the distance between them is enlarged. Therefore, the body-floating technique actually improves the linearity at the cost of insertion loss and isolation degradations. Note that the above analysis neither depends on the realization of body floating, nor on the triple-well process. The purpose of the custom layout proposed in [10] is to reduce the drain–source coupling of switch transistors in the cutoff region

so that insertion loss and isolation can be improved. However, the body floating degrades such improvement and eventually limits the bandwidth of CMOS T/R switches. To further improve the performance, the drain–source feed-through should be minimized under body-floating conditions. III. CUSTOM LAYOUT WITH ASYMMETRIC DRAIN–SOURCE FOR SWITCH TRANSISTORS Compared with (1), the second term in (2) indicates the additional coupling capacitance when the body is floating. To minimize this term, a straightforward approach is to reduce the and , which requires small active areas of values of drain and source. However, the coupling due to metal interconnections will then increase significantly [10], which is very unfavorable for high-frequency circuits and will severely degrades the performance of switches. Therefore, a further customized layout with an asymmetric drain and source is proposed here. Fig. 2 shows a layout sketch of the further customized switch transistors. Comparing with the layout proposed in [10], the distance between the drain and source is increased by only stretching one side. As a result, only the drain or the source areas are enlarged. The distance between them is kept at four times the p-cell default, which ensures the minimized drain–source coupling due to metal interconnections. Since one and is not changed in the custom layout, the second of term in (2) is only increased by a small value. Referring to the capacitance values given in [10], the coupling capacitance under the body-floating condition can be estimated. The second term in (2) is 17.5 fF for the custom layout proposed in [10], which is reduced dramatically to 6.78 fF for the custom layout of Fig. 2. As each ON transistor is connected with at least one OFF transistor, the reduction of drain–source coupling will improve both insertion loss and isolation performance.

LI et al.: 16.6- AND 28-GHz FULLY INTEGRATED CMOS RF SWITCHES WITH IMPROVED BODY FLOATING

341

Fig. 3. Schematic of an SPDT T/R switch with double-well body floating. The dashed line denotes the deep n-well isolation.

Fig. 5. Measured and simulated insertion loss, isolation, and return loss for the fabricated switch shown in Fig. 3.

Fig. 4. Die microphotograph of the fabricated switch shown in Fig. 3.

Fig. 3 shows the schematic of a single-pole double-throw (SPDT) T/R switch without shunt arms in which the double-well body-floating technique is employed. The dashed line denotes the deep n-well isolation. Since the custom layout proposed in Fig. 2 is asymmetric, it is necessary to consider the assignment of the drain–source. Intuitively, the side with less parasitics should be assigned to the node that is more critical. In Fig. 3, the common node (ANT) is considered more critical because there is always a signal (TX or RX) applied to the antenna port. Placing the small-area side to this node will prevent signals from coupling to the body and then elsewhere. This is also true when body floating is not used, where the large area leads to a severe loss of signals. Note that the resistive (ohmic) loss in the small-area side is also smaller, but the overall ohmic loss between the drain and source is equal to that of the custom layout proposed in [10]. The switch was fabricated in a 90-GHz 0.13- m triple-well CMOS technology. Fig. 4 shows the die microphotograph of the fabricated T/R switch. The active area of the switch is only 150 m 100 m. With test pads, the switch chip occupies 415 m 415 m. The measurement was carried out on-wafer

with ground–signal–ground (G–S–G) probes and the pad effect was deembedded using the – deembedding technique, where dedicated pads and metal connections used in the design were fabricated and measured. In the measurement, the control voltage is 2/0 V and the ANT/TX/RX nodes are biased at 0.5 V. The p-well and p-substrate are biased at 0.5 V and the deep n-well is biased at 2 V. This is the same condition used in [10]. The measured insertion loss and isolation of the fabricated switch are shown in Fig. 5. The dashed lines denote the simulated performances. The difference between the simulated and measured performance is most likely caused by the inaccuracy of the transistor model (BSIM) in the linear region. The deembedding process can also cause the discrepancies. With regard to 2-dB insertion loss, the bandwidth of the switch is over 28 GHz. The insertion loss is within 2.4 dB for the measured frequencies up to 35 GHz. It is shown that the insertion loss is improved significantly with the proposed asymmetric layout. The isolation is better than 15 dB for frequencies up to 35 GHz. Note that at frequencies below 20 GHz, the isolation is relatively inferior to the result obtained in [10]. This may be caused by the excessive drain–source coupling in the real chip. Meanwhile, the switch in [10] employs a differential architecture and, thus, some of the coupling components (even order) are canceled. Fig. 5 also gives the simulated and measured return loss from the antenna port, which is well below 10 dB over the measured bandwidth. This is because the switch is basically operated where the antenna port is always connected to a 50- termination. The linearity performance is measured by the input 1-dB . At different frequencies, the compression points result is shown in Fig. 6. Around 26.5 dBm, is achieved. curve is almost flat at high frequencies, Note that the which is probably caused by the combined effect of the body floating and return loss: the former tends to degrade the linearity at high frequencies [10], while the worse matching at higher frequencies will reduce the power fed into the switch performance. Compared with the and, thus, enhance the obtained in [10], the 3-dBm difference is due to 30-dBm the nature of differential architecture (twice of power can be handled). Another 0.5 dBm may be consumed on the tradeoff

342

Fig. 6. Input P

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

for the fabricated switch shown in Fig. 3. Fig. 9. Measured and simulated insertion loss, isolation, and return loss for the fabricated switch with switched body-floating technique, as shown in Fig. 7.

Fig. 7. Schematic of an SPDT T/R switch with switched body-floating scheme.

Fig. 10. Input P for the fabricated switch with switched body-floating technique, as shown in Fig. 7.

IV. SWITCHED BODY FLOATING

Fig. 8. Die microphotograph of the fabricated switch with switched body-floating technique, as shown in Fig. 7.

among linearity and other performances. The results shown in Figs. 5 and 6 indicate that the proposed layout with asymmetric drain–source for switch transistors effectively improves the performance of the CMOS T/R switch.

Besides the straightforward approach to minimizing drain–source coupling with the floating body, this problem can also be disentangled by improving the body-floating technique itself. Comparing with (1), the additional (second) term in (2) appears only when the body is floating. This term can be removed when the body is RF grounded (directly connected to a dc voltage), i.e., body floating is not favorable for OFF transistors. On the other hand, to have a good performance at high frequency, body floating is favorable for ON transistors. Therefore, the requirement of body floating actually differs for transistors in different states. This observation leads to an improved body-floating scheme, where the body can be made floating when a transistor is turned ON and grounded when it is turned OFF. A possible realization of the scheme is shown in Fig. 7. The body floating is controlled by an additional switch connected in parallel with the biasing resistor, which provides a controllable impedance between the body and its dc-biasing voltage. When the switch transistor is turned ON, the body switch is turned OFF, the body of the ON transistor becomes RF floating; and vice

LI et al.: 16.6- AND 28-GHz FULLY INTEGRATED CMOS RF SWITCHES WITH IMPROVED BODY FLOATING

343

TABLE I SUMMARY OF PERFORMANCE AND COMPARISON WITH REPORTED HIGH-FREQUENCY CMOS T/R SWITCHES

versa. Under this configuration, the body of the OFF transistors are RF grounded and the second term in (2) no longer exists. As a result, the drain–source coupling through body is significantly reduced. Nevertheless, there is a negative effect brought by this switched body-floating technique. Since the OFF transistor is or , lead body grounded, the parasitics to the body, to extra loss to the ground. Therefore, the insertion loss is degraded and is naturally inferior to that of the switch with its body always floating. To reduce the above negative effect, the parasitics at the common node (ANT) should be kept as small as possible. This is because the common node is connected to both the ON transistor and OFF transistor concurrently, and the grounded parasitics in the OFF transistor create extra loss for the ON transistor. Also consider the parasitics due to metal interconnections, the custom layout of Fig. 2 is employed with the small-area side assigned to the common node. The switch was fabricated in the same 0.13- m triple-well CMOS process, as stated in Section III. Fig. 8 shows a die microphotograph of the fabricated T/R switch, which consumes the same area as the switch discussed in Section III. The measurement and biasing conditions are also kept the same. The measured and simulated insertion loss, isolation, and return loss are shown in Fig. 9. Again, there are discrepancies between them, which is likely due to the inaccuracy in transistor models and the deembedding process. Note that, at very low frequencies, the insertion loss of this switch is very close to the pre-

vious one shown in Fig. 5. The insertion loss drops to 2 dB at 16.6 GHz. At frequencies up to 25 GHz, the insertion loss is within 2.3 dB. The isolation is better than 21.5 dB for frequencies up to 35 GHz. The increased insertion loss, as compared to Fig. 5, results from the loss due to the grounded OFF transistor, as previously discussed. On the other hand, the isolation is improved by 3–6 dB compared to Fig. 5, which clearly shows the effect of the switched body floating. This is a consequence of the fundamental tradeoff between insertion loss and isolation. is shown in The linearity performance in terms of is obtained. Compared to Fig. 10. Around 25.5-dBm Fig. 10, this result is 1 dBm lower, but the trend is very similar. The linearity degradation may result from the effect of the grounded OFF transistor [10]. In addition, the parasitics of the body switch can also degrade the body-floating condition and, thus, degrade the linearity. V. PERFORMANCE COMPARISON AND DISCUSSIONS The performances of the proposed T/R switches are summarized in Table I. It is shown that the proposed asymmetric layout is more efficient in terms of insertion loss, while the switched body-floating technique is more isolation driven. This table also compares the performances with other reported high-frequency CMOS T/R switches with more than 10-GHz bandwidth. With the proposed techniques, the bandwidth of the CMOS T/R switch is further improved without using LC networks. Note that the mechanism of resistive body floating in the triple-well process is the same as the gate floating. Therefore,

344

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

the floating gate also contributes to the drain–source coupling capacitances, as shown in (1) and (2). From the point of view of the OFF transistors, the feed-through due to gate floating should also be reduced for CMOS switches targeting on even higher frequency. Eventually, a fundamental limit to the performance of the CMOS T/R switch is the parasitic capacitance. The biasing condition is also very important since it determines the ON resistance of the switch.

[7] M.-C. Yeh, Z.-M. Tsai, R.-C. Liu, K.-Y. Lin, Y.-T. Chang, and H. Wang, “Design and analysis for a miniature CMOS SPDT switch using body-floating technique to improve power performance,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 31–39, Jan. 2006. [8] Z. Li and K. O. , “15-GHz fully integrated nMOS switches in a 0.13-m CMOS process,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2323–2328, Nov. 2005. [9] Y. Jin and C. Nguyen, “Ultra-compact high-linearity high-power fully integrated DC–20-GHz 0.18-m CMOS T/R switch,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 30–36, Jan. 2007. [10] L. Qiang and Y. P. Zhang, “CMOS T/R switch design: Towards ultrawideband and higher frequency,” IEEE J. Solid-State Circuits, vol. 42, no. 3, pp. 563–570, Mar. 2007.

VI. CONCLUSION The capacitive feed-through between the drain and source of the OFF transistor limits the bandwidth of CMOS RF switches, and this effect gets worse under body-floating conditions. This paper has proposed two approaches to minimize this effect and improve the bandwidth of CMOS switches. A custom layout with an asymmetric drain and source has been proposed for the switch transistors, which reduces the drain–source coupling from both floating-body and metal interconnections. The fabricated switch with this technique achieved a high 2-dB bandwidth of 28 GHz in a standard 0.13- m CMOS technology. Instead of minimizing the capacitance values, the other proposed approach reconfigures the body-floating condition of the switch transistors, where only the body of the ON transistor is floating. This is implemented by using an additional body switch to control the biasing of the main switch transistor. The fabricated switch with switched body floating achieves a 2-dB bandwidth of 16.6 GHz. The isolation is improved at the cost of degraded insertion loss. With the proposed techniques, the bandwidth of CMOS switches is improved without using LC networks. As a result, both designs occupy only a 150 m 100 m active area. Further performance improvement of CMOS RF switches can be expected with advanced silicon technologies and sophisticated biasing and controlling schemes.

REFERENCES [1] F.-J. Huang and K. O. , “A 0.5-m CMOS T/R switch for 900-MHz wireless applications,” IEEE J. Solid-State Circuits, vol. 36, no. 3, pp. 486–492, Mar. 2001. [2] K. Yamamoto, T. Heima, A. Furukawa, M. Ono, Y. Hashizume, H. Komurasaki, S. Maeda, H. Sato, and N. Kato, “A 2.4-GHz-band 1.8-V operation single-chip Si-CMOS T/R-MMIC front-end with a low insertion loss switch,” IEEE J. Solid-State Circuits, vol. 36, no. 8, pp. 1186–1197, Aug. 2001. [3] Z. Li, H. Yoon, F.-J. Huang, and K. K. O, “5.8-GHz CMOS T/R switches with high and low substrate resistance in a 0.18-m CMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 1–3, Jan. 2003. [4] C. Tinella, J. M. Fournier, D. Belot, and V. Knopik, “A high-performance CMOS-SOI antenna switch for the 2.5–5-GHz band,” IEEE J. Solid-State Circuits, vol. 38, no. 7, pp. 1279–1283, Jul. 2003. [5] N. A. Talwalkar, C. P. Yue, H. Gan, and S. S. Wong, “Integrated CMOS transmit–receive switch using LC-tuned substrate bias for 2.4-GHz and 5.2-GHz applications,” IEEE J. Solid-State Circuits, vol. 39, no. 6, pp. 863–870, Jun. 2004. [6] T. Ohnakado, S. Yamakawa, T. Murakami, A. Furukawa, E. Taniguchi, H. Ueda, N. Suematsu, and T. Oomori, “21.5-dBm power-handling 5-GHz transmit/receive CMOS switch realized by voltage division effect of stacked transistor configuration with depletion-layer-extended transistors DETs,” IEEE J. Solid-State Circuits, vol. 39, no. 4, pp. 577–584, Apr. 2004.

Qiang Li (S’04–M’07) was born in Xuchang, China, in 1979. He received the B.E. degree in electrical engineering from the Huazhong University of Science and Technology (HUST), Wuhan, China, in 2001, and the Ph.D. degree in electrical and electronics engineering from the Nanyang Technological University (NTU), Singapore, in 2007. From 2001 to 2002, he was an RTP Analog/MixedSignal Integrated Circuit Designer with the Center for Wireless Communications (later known as the Institute for Communications Research and currently the Institute for Infocomm Research, A*STAR), Singapore. In 2006, he joined the Institute of Microelectronics, Singapore, where he is a Senior Research Engineer involved with analog and mixed-signal integrated circuits. His research interests include analog/RF circuits for wireless communications, data converters, and mixed-signal circuits for biomedical applications.

Y. P. Zhang received the B.E. degree from the Taiyuan Polytechnic Institute, Taiyuan, China, in 1982, the M.E. degree from the Shanxi Mining Institute, Taiyuan University of Technology, Shanxi, China, in 1987, and the Ph.D. degree from the Chinese University of Hong Kong, Hong Kong, in 1995, all in electronic engineering. From 1982 to 1984, he was with the Shanxi Electronic Industry Bureau. From 1990 to 1992, he was with the University of Liverpool, Liverpool, U.K. From 1996 to 1997, he was with the City University of Hong Kong. From 1987 to 1990, he was with the Shanxi Mining Institute. From 1997 to 1998, he was with the University of Hong Kong. In 1996, he became a Full Professor with the Taiyuan University of Technology. He is currently an Associate Professor and the Deputy Supervisor of the Integrated Circuits and Systems Laboratories, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He has authored or coauthored numerous publication for seven IEEE societies. He has delivered scores of invited papers/keynote address at international scientific conferences. He has broad research interests in radio science and technology. He is listed in Marquis Who’s Who, Who’s Who in Science and Engineering, and Cambridge IBC 2000 Outstanding Scientists of the 21st Century. He serves on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering and was a Guest Editor of this journal for the “Special Issue on RF and Microwave Subsystem Modules for Wireless Communications.” He also serves as an Associate Editor of the International Journal of Microwave Science and Technology. Dr. Zhang serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He has organized/chaired dozens of technical sessions of international symposia. He was the recipient of the 1990 Sino-British Technical Collaboration Award for his contribution to the advancement of subsurface radio science and technology. He was the recipient of the 2000 Best Paper Award presented at the Second International Symposium on Communication Systems, Networks and Digital Signal Processing, Bournemouth, U.K., and the 2007 Best Paper Prize presented at the Third IEEE International Workshop on Antenna Technology, Cambridge, U.K. He was also the recipient of the 2005 William Mong Visiting Fellowship presented by the University of Hong Kong.

LI et al.: 16.6- AND 28-GHz FULLY INTEGRATED CMOS RF SWITCHES WITH IMPROVED BODY FLOATING

Kiat Seng Yeo (M’00) received the B.E. degree in electronics (Hons.) and Ph.D. degree in electrical engineering from Nanyang Technological University (NTU), Singapore in 1993 and 1996, respectively. In 1996, he begun his academic career as a Lecturer, became an Assistant Professor in 1999, and then an Associate Professor in 2002. from 2001 to 2005, he was Sub-Dean (Student Affairs), during which time he held several concurrent appointments as Program Manager of the System-on-Chip flagship project, Coordinator of the Integrated Circuit Design Research Group, and Principal Investigator of the Integrated Circuit Technology Research Group, NTU. He is on the Advisory Committee of the Centre for Science Research and Talent Development, Hwa Chong Junior College. In July 2005, he became Head of Circuits and Systems for a three-year period. He is also a consultant/advisor to statutory boards and multinational corporations in the areas of semiconductor devices, electronics, and integrated circuit design. He authored Low-Voltage, Low-Power VLSI Subsystems (McGraw-Hill, Int. ed., 2005), Low-Voltage Low-Power Digital BiCMOS Circuits: Circuit Design, Comparative Study and Sensitivity Analysis (Prentice-Hall, 2000, Int. ed.), and CMOS/BiCMOS ULSI: Low-Voltage, Low-Power (Prentice-Hall, NJ, 2002, Int. ed.). The latter was translated into a Chinese language version. He holds more than six patents and has additional patents pending. He has authored or coauthored over 200 papers on CMOS/BiCMOS technology and integrated circuit

345

design appearing in leading technical journals and conferences worldwide. He is a Technical Reviewer for several international journals. He was listed in Marquis’ Who’s Who in the World and Marquis’ Who’s Who in Science and Engineering. Prof. Yeo was the technical chair of the 8th and 9th International Symposium on Integrated Circuits, Devices, and Systems (ISIC’99 and ISIC’01, respectively). He also served on the Program Committee of the International Symposium on VLSI Technology, Systems, and Applications (VLSI-TSA), Taiwan, R.O.C., and the International Symposium on Low-Power and High-Speed Chips (COOL Chips), in 1999 and 2002, respectively.

Wei Meng Lim received the B.E (Hons.) and M.E degrees from Nanyang Technology University (NTU), Singapore, in 2002 and 2004, respectively. Upon graduation, he joined NTU as a Research Staff member. His research interests include RF circuit design, RF device characterization, and modeling.

346

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

A Triple-Tuned Ultra-Wideband VCO Masaomi Tsuru, Member, IEEE, Kenji Kawakami, Member, IEEE, Ken’ichi Tajima, Member, IEEE, Kazuhiro Miyamoto, Masafumi Nakane, Kenji Itoh, Senior Member, IEEE, Moriyasu Miyazaki, Senior Member, IEEE, and Yoji Isota, Senior Member, IEEE

Abstract—We have already proposed a triple-tuned voltage-controlled oscillator (VCO) for achieving an ultra-wideband characteristic. The triple-tuned VCO consists of an active device and three tuned circuits. The fabricated VCO has achieved the oscillation bandwidth of 5.6–16.8 GHz and the phase noise of 114.9 dBc/Hz 2.9 dB at 1-MHz offset from the carrier. In this paper, for the first time, the conditions that the VCO achieves the ultra-wideband characteristic are clarified by formulation and simulation. It is also clarified that a transistor of the larger emitter size is suitable for the wideband VCO. In addition, the performances of the proposed VCO are compared with other reported wideband VCOs. As a result, it is shown that the proposed -band. VCO has achieved the lowest figure of merit in the –

+

Index Terms—Broadband communication, flip-chip devices, radar applications, tunable circuits and devices, varactors, voltage-controlled oscillators (VCOs).

I. INTRODUCTION

W

IDEBAND tunable oscillators are useful for reducing the size of microwave measurement systems and communication systems. Thus, the wideband tunable oscillators using yttrium–iron–garnet (YIG) resonators [1]–[3] have been applied for many microwave systems. However, the oscillators using YIG resonators have some disadvantages of slow tuning speed, the large magnetic circuits, etc. Accordingly, wideband VCOs using planar circuits have been required. In the past, some configurations such as double-tuned VCOs [4]–[9], a doubling oscillator [10], and VCOs with variable inductors [11]–[14] have been proposed for achieving wide oscillation bandwidth, which has been up to 74% at the – -band [5]. We have developed a double-tuned VCO and achieved the oscillation bandwidth of 69.8% at the – -band [9]. In order to cover the wider bandwidth than that of the double-tuned VCO, we have already proposed the triple-tuned VCO and achieved the oscillation bandwidth of 100% in the – -band [15]. Although the tuned circuit at the collector is different, Rohde and Poddar reported on the other triple-tuned VCO that achieved the oscillation bandwidth of 100% in the – -band [16]. Thus, these configurations are effective for achieving the ultra-wideband characteristic. However the conditions for achieving the characteristic have never been shown. In this paper, for the first time, the conditions that the VCO achieves the ultra-wideband characteristic are clarified by forManuscript received October 5, 2007; revised September 14, 2007. The authors are with the Information Technology Research and Development Center, Mitsubishi Electric Corporation, Kanagawa 247-8501, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.913377

Fig. 1. Configuration of the VCOs. (a) Double-tuned VCO. (b) Triple-tuned VCO.

mulation and simulation. The influence of the transistor size on the oscillation bandwidth is also clarified. In addition, the performances of the proposed VCO are compared with other reported wideband VCOs. II. CONFIGURATIONS Fig. 1 shows configurations of the double- and triple-tuned VCOs. The double-tuned VCO consists of an active device, two tuned circuits, and a fixed circuit, as shown in Fig. 1(a). On the other hand, the triple-tuned VCO consists of an active device and three tuned circuits, as shown in Fig. 1(b). III. FORMULATION A. Conditions for the Ultra-Wideband Oscillation Fig. 2 shows a small-signal equivalent circuit of the tripletuned VCO. This VCO consists of an HBT and three LC tuned circuits. is the input impedance of an active circuit at the

0018-9480/$25.00 © 2008 IEEE

TSURU et al.: TRIPLE-TUNED ULTRA-WIDEBAND VCO

347

The condition for generating negative resistance is as follows from (6) and (10): (12) That is, (13) and (14) As is inductive, in the case of single- and double-tuned VCO, (14) is unsatisfied at the high frequency. In case of the can keep smaller reactance than triple-tuned VCO, by tuning. Therefore, the oscillation bandwidth of the proposed triple-tuned VCO is wider than that of the single- and doubletuned VCOs.

Fig. 2. Small-signal equivalent circuit of the triple-tuned VCO.

base port of the HBT. The active circuit consists of the HBT, an emitter-tuned circuit, and a collector-tuned circuit. -parameter of the HBT in Fig. 2 is as follows:

B. Design of Tuned Circuits 1) and for Maximum Negative Resistance: A differwith respect to is as follows: ential calculus of

(1) (2) (3) (4) (5) We assume that tuning circuits are lossless components. The are described as follows, respecreal and imaginary parts of tively:

(15)

(6) As that

is the maximum negative resistance value in case and , is given as follows:

(7) where (16) (8) (9)

From (14), the oscillation bandwidth is the widest in case that (17)

Conditions for oscillation are as follows: Equation (16) becomes as follows by substituting (17): (10) (11)

(18)

348

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

By solving (19) under conditions that (23) and (24), and is given as follows:

,

(25) where

Fig. 3. Calculation results of the reflection gain at the base port of HBT versus frequency: C = 3:36 pF, C = 0:12 pF, g = 690 mS.

Therefore, (17) and (18) are conditions that the VCO has maximum negative resistance over the wide oscillation bandwidth. Fig. 3 shows the calculation results of the reflection gain at the base port of the HBT versus frequency using (16). In the is small reactance, the VCO has the reflection gain case that over the wide frequency bandwidth. If the VCO needs the larger has to be larger. In this case, the oscillation reflection gain, bandwidth becomes narrow. Therefore, it is also important for achieving the wider oscillation bandwidth that the loss of the tuning circuits is small. 2) for Phase Condition: becomes equal to zero on steady-state oscillation because we assume that a loss of the becomes equal base tuned circuit is equal to zero. Therefore, to zero on steady-state oscillation. Equation (11) leads to the : following phase condition by substituting (19)

(26)

(27) Here, (28) (29) (30) where is the minimum tuned capacitance and is the maximum tuned capacitance. We assume the following in order to use the same varactor diodes: (31) In addition, we assume the following because is capacitive: inductive and

C. Oscillation Frequency Bandwidth Here,

,

, and

and

are

are as follows: (32) (20) By substituting (31) and (32), (26) and (27) lead to (21) (33) (22) (34)

Equation (14) leads to the following conditions for an oscillation angular frequency : Therefore, (23) and (24)

(35)

TSURU et al.: TRIPLE-TUNED ULTRA-WIDEBAND VCO

349

(36) Here, if

is small,

and if

is large, Fig. 4. Calculation results of the oscillation bandwidth versus capacitance ratio of the tuned capacitance k = 28.

Therefore,

(37) and an oscillation frequency ratio lows by substituting (33) and (37) for (25):

are as fol-

(38) and

Fig. 5. Simulation results of reflection characteristics of the active circuit at the base port. (a) Reflection gain. (b) Reflection phase.

(39)

Fig. 4 shows the calculation results of the oscillation bandwidth versus capacitance ratio of the tuned capacitance. An osis as follows: cillation bandwidth (41)

If

, (40)

is 0.05 and As shown in Fig. 4, under conditions that is approximately 14, the oscillation bandwidth of 100% is

350

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 6. Simulation results of the phase slope of the loop gain at the oscillation frequency. Fig. 8. Fabricated triple-tuned VCO.

Fig. 7. Configuration of the fabricated triple-tuned VCO.

achieved. It is also shown that the transistor of the larger emitter size is suitable for the wideband VCO. IV. SIMULATION RESULTS Fig. 5 shows simulation results of the reflection gain and phase of the active circuit at the base port. In case of the tripletuned VCO, it is shown that a frequency bandwidth having the reflection gain is wider than that of single- and double-tuned VCOs. Fig. 6 shows simulation results of the phase slope of the loop gain at the oscillation frequency. In case the slope of the magnitude of the loop gain is small, the phase slope of the loop gain and the loaded of an oscillator are in the following relation [17], [18]:

Fig. 9. Measurement and simulation results of the triple-tuned VCO in C –Ku-band. (a) Oscillation frequency. (b) Phase noise at 1-MHz offset from the carrier and output power.

The phase noise in the

region is as follows [19]:

(43) (42) where is the phase of the loop gain and the oscillator.

is the loaded

of

is an experimental coefficient, is the Boltzman’s where coefficient, is an absolute temperature, is the oscillation is the offset angular frequency from the carrier. power, and

TSURU et al.: TRIPLE-TUNED ULTRA-WIDEBAND VCO

351

Fig. 10. Oscillation bandwidth and phase noise of the reported wideband VCOs. (a) Oscillation bandwidth versus center of the oscillation frequency. (b) Phase noise versus center of the oscillation frequency.

If a variation of the oscillation power is small, a variation of the phase noise depending on oscillation frequency and is given as follows by (42) and (43):

(44) Therefore, from Fig. 6, the phase noise will be approximately constant in case of a triple-tuned VCO because the variation of the phase slope is small. V. EXPERIMENTAL RESULTS Fig. 7 shows a configuration of the fabricated triple-tuned VCO. The active device is an InGaP/GaAs HBT. The emitter size is 120 m . The collector current density is 25 kA/cm . The cutoff frequency is 31.6 GHz. The flicker corner frequency is 20 kHz. Varactor diodes are a GaAs hyper-abrupt junction diode. A capacitance ratio of the varactor diodes is approximately 13.6 in the reverse voltage range from 0 to 16 V. Moreover, all varactor diodes are controlled by a single voltage source for the purpose of incorporating into a synthesizer without difficulty.

Fig. 11. FOM of the reported VCOs: (a) Narrowband VCO. (b) Wideband VCO.

Fig. 8 shows a photograph of the fabricated VCO. For the purpose of the higher frequency and restraining a manufacturing error of the VCO, chip devices were mounted on an alumina substrate by flip-chip technology. The size of the VCO is 8.6 mm 6.8 mm. Fig. 9 shows measurement and simulation results of the fabricated VCO. The bias voltages of the HBT V, V, and V. The consumption were current was less than 76.1 mA. At the tuning voltage from 0.35 to 16 V, the VCO covered the oscillation bandwidth of 5.6–16.8 GHz, or 100%. The phase noise was less than 112.0 dBc/Hz ( 114.9 dBc/Hz 2.9 dB) at 1-MHz offset from the carrier. The output power was 3.4 dBm 2.0 dB. The measurement results almost agreed with simulation results. The variation of the phase noise and output power were small. Fig. 10 shows the oscillation bandwidth and phase noise of the reported wideband VCOs. Fig. 10(a) shows that the fabricated VCO is one of VCOs with the widest oscillation bandwidth. In addition, it is shown that the higher the center of the oscillation frequency is, the narrower the bandwidth is. Fig. 10(b) shows the fabricated VCO has achieved the lowest phase noise -band. The improvement of the phase noise will in the – be possible by optimizing the loaded of tuned circuits [20] and scaling of the device size [16]. However, as the device size

352

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

should be large for the wideband VCO and small for the low phase-noise VCO, it will be necessary to decide the best device size according to the demand oscillation bandwidth and phase noise. Fig. 11 shows the figure of merits (FOMs) of the reported VCOs. The FOM is as follows: (45) is a consumption power. where The FOM of the fabricated VCO was 168 dBc/Hz. This is -band, althe lowest in the reported wideband VCO in the – though the narrowband VCO achieved a FOM of 200 dBc/Hz. The reasons why the FOM of the wideband VCO is higher than that of the narrowband VCO at the high oscillation frequency is that the unloaded of the varactor diode is lower because of the hyper-abrupt junction diode and that the sensitivity of the noise is higher because of the large capacitance ratio of the diode. VI. CONCLUSIONS For the first time, the conditions that the VCO achieves the ultra-wideband characteristic have been clarified by formulation and simulation. It has also been clarified that the transistor of the larger emitter size was suitable for the wideband VCO. In addition, the performances of the proposed VCO have been compared with other reported wideband VCOs, and it has been shown that the proposed VCO achieved the lowest FOM in the – -band. REFERENCES [1] R. Souares, GaAs MESFET Circuit Design. Norwood, MA: Artech House, 1988, pp. 382–399. [2] G. R. Basawapatna and R. B. Stancliff, “A unified approach to the design of wideband microwave solid-state oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 5, pp. 379–385, May 1979. [3] C. F. Schiebold, “An approach to realizing multi-octave performance in GaAs-FET YIG-tuned oscillators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1985, vol. 85, no. 1, pp. 261–263. [4] J. Kitchen, “Octave bandwidth varactor-tuned oscillators,” Microw. J., pp. 347–353, May 1987. [5] A. Adar and R. Ramachandran, “An HBT MMIC wideband VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1991, vol. 1, pp. 247–250. [6] B. N. Scott, M. Wurtele, and B. B. Cregger, “A family of four monolithic VCO MIC’s covering 2–18 GHz,” in Proc. IEEE Microw. Millimeter-Wave Monolithic Circuits, May 1984, vol. 84, no. 1, pp. 58–61. [7] W. El-Kamali, J.-P. Grimm, R. Meierer, and C. Tsironis, “New design approach for wideband FET voltage-controlled oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 10, pp. 1059–1063, Oct. 1986. [8] A. Grebennikov, “Wideband VCO designs are independent of circuits parameters,” Microw. RF, pp. 147–155, Aug. 2001. [9] K. Tajima, Y. Imai, Y. Kanagawa, and K. Itoh, “A 5 to 10 GHz Low spurious triple tuned type PLL synthesizer driven by frequency converted DDS unit,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. 3, pp. 1217–1220. [10] R. G. Winch, “Wide-band varactor-tuned oscillators,” IEEE J. SolidState Circuits, vol. SSC-17, no. 6, pp. 1214–1219, Dec. 1982. [11] A. J. Brodersen, E. R. Chenette, and W. L. Engl, “Wide-range tuning of solid-state microwave oscillators,” IEEE J. Solid-State Circuits, vol. SSC-5, no. 2, pp. 82–84, Apr. 1970. [12] H. Hayashi and M. Muraguchi, “A novel broadband MMIC VCO using an active inductor,” IEE Trans. Fundam., vol. E80-A, no. 6, pp. 1–6, Jun. 1991.

[13] W. Michielsen, L. R. Zheng, and H. Tenhunen, “Analysis and design of a double tuned CLAPP oscillator for multi-band multi-standard radio,” in Proc. IEEE Int. Circuits Syst. Symp., May 2003, vol. 1, pp. I-681–I-684. [14] C.-C. Wei, H.-C. Chiu, and W.-S. Feng, “An ultra-wideband CMOS VCO with 3–5 GHz tuning range,” in Proc. IEEE Int. RF Integration Technol. Workshop, Nov. 2005, pp. 87–90. [15] M. Tsuru, K. Kawakami, K. Tajima, K. Miyamoto, M. Nakane, K. Itoh, M. Miyazaki, and T. Takagi, “Wideband VCO with triple tuned circuits in C –Ku band,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2005, Paper TH3A-1. [16] U. L. Rohde and A. K. Poddar, “Impact of device scaling on phase noise in SiGe HBTs UWB VCOs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1793–1796. [17] J.-C. Nallatamby, M. Prigent, M. Camiade, and J. J. Obregon, “Extension of the Leeson formula to phase noise calculation in transistor oscillators with complex tanks,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 690–696, Mar. 2003. [18] T. Ohira, “Comments on ‘Extension of the leeson formula to phase noise calculation in transistor oscillators with complex tanks’,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 185–185, Jan. 2007. [19] D. B. Leeson, “A simple model of feedback oscillator noise spectrum,” Proc. IEEE, vol. 54, no. 2, pp. 329–330, Feb. 1966. [20] U. L. Rohde and A. K. Poddar, “Novel multi-coupled line resonators replace traditional ceramic resonators in oscillators/VCOs,” in Proc. IEEE Int. Freq. Control Symp. and Expo., Jun. 2006, pp. 432–442. [21] T. Takenaka, A. Miyazaki, and H. Matsuura, “Wideband MMIC voltage control oscillator using active impedance load matching,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1996, vol. 3, pp. 1503–1506. [22] R. Martin and F. Ali, “A Ku-band oscillator subsystem using a broadband GaAs MMIC push–pull amplifier/doubler,” IEEE Microw. Guided Wave Lett., vol. 1, no. 11, pp. 348–350, Nov. 1991. [23] Y. Sun, T. Tieman, H. Pflug, and W. Velthuis, “A fully integrated dual-frequency push–push VCO for wideband wireless applications,” in Proc. GaAs Conf., 2000, pp. 460–463. [24] J.-S. Ko and K. Lee, “Low power, tunable active inductor and its applications to monolithic VCO and BPF,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. 2, pp. 929–932. [25] F. Herzel, H. Erzgräber, and P. Weger, “Integrated CMOS wideband oscillator for RF applications,” Electron. Lett., vol. 37, no. 6, pp. 330–331. [26] M. Kimishima, S. Ohmura, and T. Ashizuka, “A semi-monolithic wideband VCO with output power control capability using an active power splitter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1992, vol. 3, pp. 1317–1320. [27] R. Mukhopadlhyay, Y. Park, S. W. Yoon, C.-H. Lee, S. Nuttinck, J. D. Cressler, and J. Laskar, “Active-inductor-based low-power broadband harmonic VCO in SiGe technology for wideband and multi-standard applications,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2005, Paper TH1A-2. [28] U. L. Rohde, A. K. Poddar, J. Schoepf, R. Rebel, and P. Patel, “Low noise low cost ultra wideband N -push VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 1171–1174, Paper WEPG-1. [29] U. L. Rohde and A. K. Poddar, “Configurable adaptive ultra low noise wideband VCOs,” in IEEE Int. Ultra-Wideband Conf., Sep. 2005, pp. 452–457. [30] K. Tanji, T. Kaneko, Y. Amamiya, T. Niwa, H. Shimawaki, S. Tanaka, and K. Wada, “A 38 GHz low phase noise monolithic VCO for FM MOD using an AlGaAs/InGaAs HBT with p /p regrown base contacts,” in Proc. 28th Eur. Microw. Conf., Oct. 1998, vol. 1, pp. 47–51. [31] J. P. Comeau and J. D. Cressler, “Microwave VCO susceptibility to substrate noise in a fully-integrated 150 GHz SiGe HBT BiCMOS technology,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2005, Paper THPK-2. [32] M. Bao, Y. Li, and H. Jacobsson, “A 25-GHz ultra-low phase noise InGaP/GaAs HBT VCO,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 751–753, Nov. 2005. [33] H. Zirath, R. Kozhuharov, and M. Ferndahl, “A 2 coupled Colpitt VCO with ultra low phase noise,” in IEEE Compound Semiconduct. Integrated Circuit Symp., Oct. 2004, pp. 155–158. [34] C. C. Meng, C. H. Chen, Y. W. Chang, and G. W. Huang, “5.4 GHz–127 dBc/Hz at 1 MHz GaInP/GaAs HBT quadrature VCO using stacked transformers,” Electron. Lett., vol. 41, no. 16, pp. 33–34, Aug. 2005.

+

2

TSURU et al.: TRIPLE-TUNED ULTRA-WIDEBAND VCO

[35] J.-Y. Lee, S. Dongwoo, S.-H. Lee, C.-W. Park, J.-Y. Kang, and B.-W. Kim, “A low-phase noise 5-GHz CCNF Colpitts VCO with parallel-branch inductors,” in Proc. Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2005, pp. 240–243. [36] H. Shin and J. Kim, “A 17-GHz push–push VCO based on output extraction from a capacitive common node in GaInP/GaAs HBT technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 11, pp. 3857–3863, Nov. 2006. [37] J.-G. Kim, D.-H. Baek, S.-H. Jeon, J.-W. Park, and S. Hong, “A 60 GHz InGaP/GaAs HBT push–push MMIC VCO,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 885–888. [38] K. Choumei, T. Matsuzuka, S. Suzuki, S. Hamano, K. Kawakami, N. Ogawa, M. Komaru, and Y. Matsuda, “A Ka-band direct oscillation HBT VCO MMIC with a parallel negative resistor circuit,” presented at the IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, Paper WEPG-2. [39] L. Dussopt, D. Guillois, and G. M. Rebeiz, “A low phase noise silicon 9 GHz VCO and an 18 GHz push–push oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 695–698. [40] Y. Yamauchi, H. Kamitsuna, M. Nakatsugawa, H. Ito, M. Muraguchi, and K. Osafune, “A 15-GHz monolithic low-phase-noise VCO using AlGaAs/GaAs HBT technology,” IEEE J. Solid-State Circuits, vol. 27, no. 10, pp. 1444–1447, Oct. 1992. [41] G. Grau, U. Langmann, W. Winkler, D. Knoll, J. Osten, and K. Pressel, “A current-folded up-conversion mixer and VCO with center-tapped inductor in an SiGe-HBT technology for 5-GHz wireless LAN applications,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1345–1352, Sep. 2000. [42] H.-L. Tu, T.-Y. Yang, and H.-K. Chiou, “Low phase noise VCO design with symmetrical inductor in CMOS 0.35-m technology,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 2, pp. 1119–1122. [43] J. Kim, S. Jeon, S. Moon, N.-Y. Kim, and H. Shin, “A 12-GHz GaInP/ GaAs HBT VCO based on push–push output extraction from capacitive common-node,” presented at the IEEE MTT-S Int. Microw. Symp., Jun. 2005, Paper TH3A-6. [44] L. Dussopt and G. M. Rebeiz, “A low phase noise silicon 18-GHz push–push VCO,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 1, pp. 4–6, Jan. 2003. [45] A. Coustou, D. Dubuc, J. Graffeuil, O. Llopis, E. Tournier, and R. Plana, “Low phase noise IP VCO for multistandard communication using a 0.35-m BiCMOS SiGe technology,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 71–73, Jan. 2005. [46] M. Peter, H. Hein, F. Oehler, and P. Baureis, “Low phase noise low power 4.3 GHz VCO in standard 0.35 m CMOS,” in Proc. 1st IEEE Int. Circuits Syst. for Commun. Conf., Jun. 2002, pp. 358–361. [47] P. W. Lai and S. I. Long, “A 5 GHz CMOS low phase noise transformer power combining VCO,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2006, pp. 133–136, Paper RMO2B-4. [48] K. W. Kobayashi, L. T. Tran, A. K. Oki, T. Block, and D. C. Streit, “A coplanar waveguide InAlAs/InGaAs HBT monolithic Ku-band VCO,” IEEE Microw. Guided Wave Lett., vol. 5, no. 9, pp. 311–312, Sep. 1995. [49] J. Lin, Y. K. Chen, D. A. Humphrey, R. A. Hamm, R. J. Malik, A. Tate, R. F. Kopf, and R. W. Ryan, “Ka-band monolithic InGaAs/InP HBT VCO’s in CPW structure,” IEEE Microw. Guided Wave Lett., vol. 5, no. 11, pp. 379–381, Nov. 1995. [50] S.-L. Jang, Y.-H. Chuang, C.-F. Lee, and S.-H. Lee, “A 4.8 GHz lowphase noise quadrature Colpitts VCO,” in Proc. Int. VLSI Design, Automat., Test Symp., Apr. 2006, pp. 1–4. [51] D.-H. Baek, J.-G. Kim, and S. Hong, “A Ku band InGaP/GaAs HBT MMIC VCO with a balanced and a differential topologies,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, vol. 2, pp. 847–850. [52] W.-M. L. Kuo, Y.-J. E. Chen, J. Cressler, and G. Freeman, “Effects of emitter scaling and device biasing on millimeter-wave VCO performance in 200 GHz SiGe HBT technology,” in Proc. Asia–Pacific Microw. Conf., Dec. 2005, vol. 2, pp. 852–855. [53] Y. Eo, K. Kim, and B. Oh, “Low noise 5 GHz differential VCO using InGaP/GaAs HBT technology,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 259–261, Jul. 2003. [54] H.-K. Chen, D.-C. Chang, Y.-Z. Juang, and S.-S. Lu, “A low phase-noise 9-GHz CMOS quadrature-VCO using novel source–follower coupling technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 851–854, Paper 2WE4B-05. [55] M.-D. Tsai, Y.-H. Cho, and H. Wang, “A 5-GHz low phase noise differential Colpitts CMOS VCO,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 5, pp. 327–329, May 2005.

353

[56] K. W. Kobayashi, L. T. Tran, R. Lai, T. R. Block, J. Cowles, P. H. Liu, A. K. Oki, and D. C. Streit, “All-active monolithic InP-based HBT VCO with tunable HEMT inductor,” Electron. Lett., vol. 33, no. 16, pp. 1379–1380, Jul. 1997. [57] T. Song and E. Yoon, “A 1-V 5 GHz low phase noise LC-VCO using voltage-dividing and bias-level shifting technique,” in Proc. Silicon Monolithic Integrated Circuits in RF Syst. Topical Meeting, Sep. 2004, pp. 87–90. [58] T. Masuda, T. Nakamura, M. Tanabe, N. Shiramizu, and S. Wada, “SiGe HBT based 24-GHz LNA and VCO for short-range ultra-wideband radar systems,” in Asian Solid-State Circuits Conf., Nov. 2005, pp. 425–428. [59] L. Zhang, R. Pullela, C. Winczewski, J. Chow, D. Mensa, S. Jaganathan, and R. Yu, “A 37–50 GHz InP HBT VCO IC for OC-768 fiber optic communication applications,” in Proc. IEEE Radio Freq. Integrated Circuits Symp., Jun. 2002, pp. 85–88. [60] N. Fong, C. Plett, G. Tarr, J.-O. Plouchart, D. Liu, N. Zamdmer, and L. Wangner, “Phase noise improvement of deep submicron low-voltage VCO,” in Proc. 28th Eur. Solid-State Circuits Conf., Sep. 2002, pp. 811–814. [61] A. Fard, “Phase noise and amplitude issues of a wideband VCO utilizing a switched tuning resonator,” in Proc. IEEE Int. Circuits Syst. Symp., May 2005, pp. 2691–2694. [62] A. Fard and P. Andreani, “A low-phase-noise wideband CMOS quadrature VCO for multi-standard RF front-ends,” in Proc. IEEE Radio Freq. Integrated Circuits Symp., Jun. 2005, pp. 539–542. [63] P. Vaananen, M. Metsanvirta, and N. T. Tchamov, “A 4.3-GHz VCO with 2-GHz tuning range and low phase noise,” IEEE J. Solid-State Circuits, vol. 36, no. 1, pp. 142–146, Jan. 2001.

Masaomi Tsuru (M’03) received the B.S. and M.S. degrees in electronic engineering from the Kyushu Institute of Technology, Fukuoka, Japan, in 1996 and 1998, respectively. In 1998, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of microwave and millimeter-wave oscillators. Mr. Tsuru is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2004 Young Engineer Award presented by the IEICE.

Kenji Kawakami (M’98) received the B.S. degree in electronic engineering from the University of Tokyo, Tokyo, Japan, in 1994. In 1994, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of microwave and millimeter-wave monolithic microwave integrated circuits (MMICs) of mixers and oscillators. Mr. Kawakami is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2002 Young Engineer Award presented by the IEICE.

Ken’ichi Tajima (M’00) received the B.S. degree in applied mathematics from Queen’s University, Kingston, ON, Canada, in 1993. In 1993, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of frequency synthesizers for satellite communication systems, land mobile communication systems, and radar systems. Mr. Tajima is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was recipient of the 2001 Young Engineer Award presented by the IEICE.

354

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Kazuhiro Miyamoto received the B.S. degree in electrical engineering from the University of Electro-Communications, Tokyo, Japan, in 1990. In 1990, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the development of high-frequency circuits.

Masafumi Nakane received the B.S. degree in electronic engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1987. In 1987, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of microwave and millimeter-wave radar equipment.

Kenji Itoh (M’91–SM’04) received the B.S. degree in electrical engineering from Doshisha University, Kyoto, Japan, in 1983, and the Ph.D. degree in electrical engineering from Tohoku University, Miyagi, Japan, in 1997. In 1983, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of microwave and millimeter-wave transmitters, receivers and semiconductor circuits for satellite communication systems, land mobile communication systems, and radar systems. Dr. Itoh is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He has served as a Technical Program Committee (TPC) member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) since 2002.

He has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES since 2004. He has been an elected IEEE MTT-S Administrative Committee (AdCom) member since 2006. He was the recipient of the 2002 OHM Technology Award presented by the Promotion Foundation for Electrical Science and Engineering of Japan.

Moriyasu Miyazaki (M’92–SM’95) received the B.S. degree in electrical engineering and M.S. and Ph.D. degrees in electric engineering from Chiba University, Chiba, Japan, in 1982, 1984 and 1997, respectively. IN 1984, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of antenna feeds and microwave circuits. He is currently a Manager with the Electro-Optics and Microwave Electronics Technology Department, Information Technology Research and Development Center, Mitsubishi Electric Corporation. Dr. Miyazaki is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

Yoji Isota (M’98–SM’05) received the B.S. and M.S. degrees in communication engineering from Osaka University, Osaka, Japan, in 1976 and 1979, respectively, and the Ph.D. degree in electrical engineering from Tohoku University, Miyagi, Japan, in 2004. In 1979, he joined the Mitsubishi Electric Corporation, Kanagawa, Japan, where he has been engaged in the research and development of microwave and millimeter-wave circuits for antenna feed systems, monolithic microwave integrated circuits (MMICs), and solid-state power amplifiers (SSPAs). From 2002 to 2004, he was Professor with the Research Institute of Electrical Communication, Tohoku University. He is currently a Chief Engineer with the Information Technology Research and Development Center, Mitsubishi Electric Corporation. Dr. Isota is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

355

Analysis and Design of a Double-Quadrature CMOS -Band VCO for Subharmonic Mixing at

Ka

Andrea Mazzanti, Member, IEEE, Enrico Sacchi, Pietro Andreani, Member, IEEE, and Francesco Svelto, Member, IEEE

Abstract—In this paper, we analyze the potentials of a four-phase 14-GHz CMOS voltage-controlled oscillator, tailored to a sub-band. When mild harmonic receiver, for signal processing at phase accuracies between in-phase and quadrature down-converted signals are required, the four-phase oscillator displays roughly the same phase noise figure-of-merit as quadrature oscillator counterparts. However, the operation at half-frequency leads to an improved performance due to a higher quality factor of the tuning varactors, and because the local oscillator circuitry and signal path run at different frequencies, relaxing coupling issues. A detailed time-variant analysis of phase noise in multiphase oscillators is introduced and validated by both simulations and experiments. Prototypes realized in a 65-nm technology occupy an active area of 0.5 mm2 and show the following performances: a 26% frequency tuning range (from 12.2 to 15.9 GHz), maximum phase error from 4 of 2 , and a phase noise of 110 dBc/Hz at 1 MHz from 14 GHz, while consuming 18 mA from 0.8-V supply. Index Terms—CMOS, direct conversion, local oscillator (LO) generation, millimeter waves, multiphase, phase noise, subharmonic receivers, voltage-controlled oscillator (VCO).

I. INTRODUCTION

A

N INTENSE research activity toward the realization of highly integrated solutions in silicon processes at -band and millimeter-wave frequency is presently underway, after the Federal Communications Commission has granted unlicensed bands around 24, 60, and 77 GHz for several wireless applications [1], [2]. Active and passive components, building blocks, and transceiver front-ends are being intensively investigated [3]–[10]. The choice of the best suited transceiver architectures still entails several considerations. Direct conversion, usually pursued at RF frequency, facilitates a high level of integration, eliminating image-reject and IF filters. On the -band other hand, synthesizing a reference frequency at and millimeter-wave bands is troublesome: variable capaciManuscript received July 9, 2007; revised November 2, 2007. This work was supported in part by the Istituto Universitario di Studi Superiori (IUSS) di Pavia under the framework of Italian National Program Contract RBA06L4S5. A. Mazzanti is with the Dipartimento di Ingegneria dell’Informazione, Università di Modena e Reggio Emilia, 41100 Modena, Italy (e-mail: [email protected]). E. Sacchi was with STMicroelectronics, 27100 Pavia, Italy. He is now with Marvell, 27100 Pavia, Italy. P. Andreani is with the Department of Electrical and Information Technology, Lund University, 22100 Lund, Sweden (e-mail: [email protected]). F. Svelto is with the Dipartimento di Elettronica, Università di Pavia, 27100 Pavia, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914365

Fig. 1. Block diagram of a direct-conversion receiver based on multiphase subharmonic mixers.

tors, used as tuning elements in voltage-controlled oscillators (VCOs), present poor quality factors for a given tuning range, and dividers used in the phase-locked loop feedback path, are power hungry [4], [6], [7]. An alternative solution relies on subharmonic direct down-conversion. The local oscillator (LO) runs at a subharmonic of the received signal frequency with significant advantages in LO and dividers design. A lower frequency oscillator also mitigates other peculiar issues of direct conversion receivers, e.g., dc offsets and second-order intermodulation due to leakage of the LO into the RF path (and reverse), exacerbated at millimeter-wave frequency, due the increased difficulty in confining parasitic fields. On the contrary, at RF frequency, no clear advantage in VCO performances derives from half-frequency operation, making subharmonic receivers of minor interest. There are mainly two techniques of subharmonic down-conversion, which are: 1) exploiting the nonlinear behavior of active devices to produce higher harmonics of the LO waveform [11], [12] and 2) multiplying the received signal with a number of uniformly spaced LO phases [13]–[15]. While the former determines a penalty in conversion gain and noise, the latter displays performances comparable to conventional Gilbert cells at the expense of a more complex LO generation circuit. In this paper, we investigate a ring of four LC VCOs, running at half the received signal frequency, intended for in-phase -band direct (I) and quadrature (Q) demodulation in a conversion receiver based on multiphase subharmonic mixers, as shown in Fig. 1. A fair comparison with a double-frequency quadrature oscillator counterpart demonstrates no penalty in phase noise figure-of-merit (FOM), while operation at half-frequency leads to an outstanding performance due to higher quality of tuning elements. Prototypes, realized in a 65-nm CMOS process from STMicroelectronics show the following measured performances: a 26% frequency tuning range, from 12.2 to 15.9 GHz,

0018-9480/$25.00 © 2008 IEEE

356

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. Principle of subharmonic mixing. (a) Multiplication of LO waveforms =2 shifted: equivalent effect. (b) Schematic of a CMOS subharmonic downconverter.

maximum phase error from of 2 , a phase noise of 110 dBc/Hz at 1 MHz from 14 GHz, while consuming 18 mA from 0.8-V supply. This paper is organized as follows. Section II reviews the principle of multiphase subharmonic mixing underlying the LO requirements. Section III introduces the LC-tank ring VCO, while Section IV presents a time-variant phase-noise analysis. -band prototype Section V describes the design of the and shows experimental results. Conclusions are drawn in Section VI.

Fig. 3. (a) Block diagram of a four-phase LC ring oscillator. (b) Schematic of a delay cell.

From (1), we observe that if the two half frequency signals are shifted by , the resulting equivalent reference oscillator phase shifted as follows: is

(2)

II. PRINCIPLE OF SUBHARMONIC MIXERS A conventional current commutating mixer performs frequency translation by means of multiplication, in the time domain, of an RF signal times a square-wave reference toggling between 1. The idea underlying subharmonic mixing is multiplying once more the frequency-translated RF signal by a phase-shifted replica of the same square-wave reference [13], [14], [15]. As shown in Fig. 2(a), multiplication by two phase apart, corresponds to multiplication square-waves, by one single square-wave reference at twice the frequency according to

(1) for and for . where Generalization of (1) leads to the conclusion that a reference at times frequency is generated by multiplication of sinusoids with phase difference [14]. A differential implementation of a half-harmonic mixer is reported in Fig. 2(b), where two double-balanced differential pairs, driven by phase-shifted oscillators, are stacked. The input differential current at frequency is down-converted at with a . A phase error from quadrature , reference signal at between the two driving signals, determines a loss of gain ( ), . The impact is nonetheless negligible, conequal to sidering that for , the gain loss is less than 1 dB.

Quadrature down-conversion can thus be performed by means of two half-harmonic mixers, provided the driving signals in each switching stage follow the phase sequence reported in (1) and (2). A phase error from determines a phase error from between I and Q equivalent reference signals, reflecting the same phase error between quadrature down-converted signals. III. LO GENERATION relative The sequence of four differential signals, with phase delay, is generated by coupling four LC VCOs within the same ring, as shown in Fig. 3, much in the same way as two coupled oscillators are used to generate two synchronous signals apart [16], [17]. According to Barkhausen criterion, the ring assures permanent oscillation provided the loop gain is equal to 1 and the phase delay between two consecutive oscillators, satisfies the relation . This equation has four , i.e., different solutions in the interval and

(3)

leading to four different possible oscillation modes. The corresponding oscillation frequencies can be derived by inspection of the single delay cell, reported in , considering large-signal Fig. 3(b). Neglecting resistors operation and assuming the LC network filters out any current component other than the fundamental, the output voltage from

MAZZANTI et al.: ANALYSIS AND DESIGN OF DOUBLE-QUADRATURE CMOS VCO FOR SUBHARMONIC MIXING AT

Fig. 4. (a) Vectorial diagram of the resonator currents for  = (b) Forcing a phase delay on i for  = =4.

6

each delay cell as follows:

6=4; 63=4 .

can be expressed, with complex notation,

(4) . Without loss of generwhere having zero phase as reference. ality, we have assumed is thus in-phase with , while is in-phase with the input can be voltage. For a parallel RLC tank, the impedance approximated by

-BAND

357

for the four possible cases. The total tank curassuming than for , leading to a rent is larger for larger loop gain, and a consequent selection of the former. There and . is, however, still ambiguity between , the oscillation frequency Notice from (6) that, for is higher than tank resonance, while the opposite is true for . Real life LC resonators typically present an asymmetric impedance magnitude in the proximity of resonance [18]. In particular, if the inductor’s series resistance is determining the tank losses (as is at relatively low working frequencies), the impedance magnitude is larger for positive frequency off. sets from resonance giving a larger loop gain for The opposite is true at very high frequencies where the varactor dominates tank losses. While many quadrature oscillators operating below 10 GHz rely on this mechanism for proper mode startup [16], [19]–[21], between 10–20-GHz inductors and varactors feature comparable quality factors making the described mechanism not reliable enough. , in series with the coupling pair of Fig. 3(b), Resistors are added to solve the ambiguity, ensuring startup of mode with even with a symmetric LC resonator. In fact, in, troduces, together with the gate capacitance of transistors in the coupling currents . The vectorial sum a phase delay of the tank currents taking into account is sketched in Fig. 4(b) ). With respect to Fig. 4(a), the currents are (only for rotated clockwise by and, as a consequence, the magnitude of becomes larger for than for .

IV. PHASE-NOISE ANALYSIS (5)

and are the resonance frequency and with quality factor, respectively, while the parallel resistance ( ) captures the effect of losses near resonance frequency. Separation of (4) into real and imaginary parts, and use of the results reported in (3), leads to the following expressions for :

(6)

From the theory of quadrature oscillators, it is well known that, for a fixed current consumption, increasing oscillators coupling deteriorates the phase-noise performance [22]–[25]. The recent work by Romano et al. [17] extends the theory developed by the same authors for the quadrature case [23] to an arbitrary number of phases. While [17] is a very significant step forward, it still employs a linear time invariant (LTI) approach in the study of phase noise, which is known to be wanting in general (see e.g., [26]–[28]). Here, we make use of a linear time variant (LTV) approach based on Hajimiri and Lee’s impulse sensitivity function (ISF) [29], [30], which accurately captures the contributions of both active and passive components to phase noise. The ultimate goal is a comparison between a quadrature and a four-phase oscillator in terms of phase noise and phase accuracy in the framework of direct conversion receivers built around conventional and subharmonic mixers, respectively. A. Phase-Noise Analysis in the

Region

The phase noise of a generic oscillator can be expressed as where , defined as , represents the coupling strength between the oscillators. The four possible oscillation frequencies are symmetric with respect to the tank resonance. Actually, the two modes, corresponding to phase difference, are overwhelmed. To gain insight, Fig. 4(a) reports the vector diagram of the cell currents,

(7) where is the oscillation amplitude and (referred to simply as effective noise hereafter) is the power spectral density

358

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

of the noise generating phase noise for a given noise source is given by [26], [29] inside the oscillator.

(8) is the frequency offset from where is the tank capacitance, is the power spectral density the oscillation frequency, of the current injected into the tank by the th noise source, and the weighting function is the tank ISF, representing the time-dependent sensitivity of the phase of the oscillation to the current noise injected into the tank. In single-ended and differential harmonic oscillators, the ISF is a sinusoid in quadrature with the voltage across the tank, but this assumption is no longer valid when many oscillators are coupled to each other. Applying the analytical technique proposed in [25], the following expression of the tank ISF for the case of coupled oscillators can be derived:1 Fig. 5. Noise currents injected in the resonator and ISF for weak and strong oscillators coupling.

(9)

with

where the angle is used instead of for simplicity, is the number of phases (including differential ones), and is the angle between the two oscillator phases driving the same tank. Notice that, through , magnitude and phase of depend on and . In particular, the dependence of the on encodes the time-variant nature of the conphase of version of noise into phase noise. Looking now at the circuit schematic of Fig. 3(b), three main noise current sources are identified: the tank resistance , and and . the two differential pairs made of transistors Fig. 5 sketches the noise currents, together with , for both weak and strong coupling, providing an intuitive understanding of the expected phase-noise deterioration with increasing . In , plotted in Fig. 5 fact, we notice that the magnitude of from (9) (with ), increases with , while at the same time, its maxima tends to align with the zero-crossings of (i.e., the time instants when injects noise into the tank). Therefore, we expect that, when is large, the phase noise increases and becomes dominated by and . It is worth remarking that the phase noise generated by , being stationary, can also be found via an alternative LTI analysis, as shown in [17], while the correct evaluation of the phase noise contributed by all transistors necessitates a truly LTV approach since there the phase of the ISF plays a key role. 1The actual calculations follow the procedure found in [25, Appendix]. They are omitted here for space consideration.

Fig. 6. Calculated (lines) and simulated (dots) effective noise (top curves) at 1-MHz offset from the carrier and phase noise penalty (bottom curve) versus = 18 mA). oscillators coupling (f o = 14GHz; Q = 9; I

Starting with

, whose noise power spectral density is , we obtain that the effective noise of all

tank resistors is

(10)

where we have used the fact that all tank resistors contribute equally to the effective noise [24]. Following the derivation

MAZZANTI et al.: ANALYSIS AND DESIGN OF DOUBLE-QUADRATURE CMOS VCO FOR SUBHARMONIC MIXING AT

-BAND

359

Fig. 7. Schematic of the four (differential) phases VCO.

found in the Appendix, the contributions of transistors and , called and , respectively, are

(11) (12) Equations (10)–(12) are plotted in Fig. 6 (top) as functions of for , and compared to numerical spectreRF simulations. A very good matching is obtained for the effective noise data, where it should also be appreciated that all plots are on a linear scale. As expected from the previous qualitative analand increase with , while deysis, creases. The overall phase noise is found from (7) and (10)–(12) has been calculated from (4) and (5) once the expression for as

ranges from 0 to 2 V. The parasitic load capacitance, due to all the circuit blocks driven by the oscillator in the test chip, is estimated to be 180 fF. The tank quality factor is 8, equally determined by inductors and capacitors. The center frequency is set to 14 GHz. Polysilicon resistors of 50 in series with 12 phase shift in the the coupling transistors introduce 10 coupling current. Based on simulations, this is enough to solve the ambiguity in oscillator startup under process, voltage, and temperature (PVT) variations while determining a negligible phase-noise degradation (less than 0.5 dB). The two differential pairs in each oscillators are biased independently and bias currents can be regulated off-chip. In a conventional receiver with direct quadrature generation, two (differential) coupled oscillators running at the carrier frequency are employed to generate I and Q phases. The phasenoise expressions for a quadrature oscillator with differential phases is again given by (7)–(13) with instead of . For the sake of comparison, the phase-noise of both quadrature and four-phase oscillators can be normalized with respect to power consumption , carrier frequency , and offset frequency by means of the FOM [31]

(13) (14) Fig. 6 (bottom) plots the white phase noise penalty of the four-phase oscillator with increasing . Theory and simulations yield almost identical results. A maximum of 6.5 dB is values. The advantage of a large paid for extremely large coupling strength is higher accuracy of generated phases, as will be discussed in Section V. Interestingly, with small values, when only mild phase accuracies are to be assured as in the application of interest in this study [6], the phase-noise penalty is minimum. Looked at in another way, minimum power consumption is achieved for given phase noise with small . V. DESIGN AND EXPERIMENTS A prototype of the ring oscillator has been realized in a 65-nm CMOS technology from STMicroelectronics. The complete schematic is shown in Fig. 7. The resonators are made of a center-tapped single-turn inductor of 400 pH, an array of two binary weighted switched capacitors and thick oxide MOS varactors with m. The tuning voltage

Fig. 8 plots FOMs degradation versus of the four-phase oscillator and a double-frequency quadrature oscillator, respectively. We have assumed the same tank quality factor, constant current consumption (equal in the two cases), and the same supply. Changing , the same total current is redistributed between the crossed and coupling differential pairs. As expected, for very small coupling, the two FOMs are equal. However, as increases, the four-phase oscillator shows a superior performance.2 Interestingly, not only a subharmonic receiver allows the adoption of a half-frequency oscillator, beneficial by itself, but also the associated four-phase oscillator proves to be superior to a quadrature oscillator for the same . In reality, a quantification of the benefit coming from the four-phase oscillator requires also determining to achieve the desired quadrature accuracy in down-converted signals. As 2For simplicity, we do not take into account the possibility of introducing phase shifters between oscillator phases [22], [32], [33], which, while attractive, does increase the complexity of the design, as well as power consumption.

360

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 10. Block diagram of the realized test chip. Fig. 8. Comparison of phase-noise FOM for quadrature and four-phase VCOs versus .

m

Fig. 11. Photomicrograph of the test chip.

Fig. 9. Simulated phase deviation from quadrature of the I and Q baseband signals due to 0.5% tank mismatches for a downconverter driven by a quadrature oscillator (squares–dots) and for a subharmonic mixer driven by the four-phase oscillator (diamonds–dots).

in quadrature oscillators, component mismatches and parasitic coupling between resonators cause deviation from nominal LO phase shifts [21]. A larger oscillators coupling reduces the phase deviation. To gain quantitative insight, we assumed a 0.5% mismatch3 randomly distributed among the tank cahas been pacitors. The average phase deviation from estimated through simulations, while the induced departure from quadrature of I and Q down-converted signals has been derived according to (1) and (2). Fig. 9 (diamonds–dots) shows the simulated results. Targeting an I and Q phase error of 2 , tolerable in this framework [6], simulations indicate a required larger than unity (i.e., ). For comparison, Fig. 9 (squares–dots) also reports simulated phase error of a quadrature oscillator working at double frequency (28-GHz center frequency). The same target phase error is achieved with 0.5. Fig. 8 points out a penalty in FOM of roughly 1 dB if compared with the four-phase oscillator. As a result, a four-phase oscillator proves performances very close to coupled oscillator counterpart for a direct conversion solution, when both target phase accuracy and phase noise are taken into account. A subharmonic direct conversion solution thus leaves with the advantage of an oscillator running at halffrequency, not compromised by the need for generation of twice reference signals, with more closely spaced phases. 3This is very likely an overestimate for the solely capacitors mismatch, but it is assumed also representative of other effects like mismatches between active devices and bias currents and finite isolation between resonators.

Fig. 12. Measured tuning curves.

The block diagram of the test chip is shown in Fig. 10, while the chip photomicrograph is shown in Fig. 11. The active area is 700 m 700 m, while total die area is 1800 m 1400 m. The VCO, drawing 18 mA from 0.8-V supply, directly drives four passive down-conversion mixers (with a common input signal provided off chip) in order to measure the accuracy of the generated phases at a lower frequency where mismatches in the measurement setup are negligible. For characterization, one of the VCO cells drives a frequency divider by 8 to implement an off-chip PLL, while three other dummy dividers are included to balance the VCO loading. The frequency divider is made of standard current–mode–logic (CML) latches and draws 7 mA. The oscillation frequency is tunable from 12.2 to 15.9 GHz, as shown in Fig. 12. Fig. 13 shows the scope output when the four signals are down-converted at 45 MHz. Measurements are carried out with , i.e., with unit oscillators coupling . In particular, the measured phase difference between signals, nominally apart, and between signals, nominally

MAZZANTI et al.: ANALYSIS AND DESIGN OF DOUBLE-QUADRATURE CMOS VCO FOR SUBHARMONIC MIXING AT

-BAND

361

Fig. 15. Measured phase noise at 1-MHz offset versus oscillation frequency.

Fig. 13. VCO waveforms down-converted at IF (horizontal and vertical scales are 10 ns/div and 5 mV/div, respectively).

1

Fig. 14. Phase noise measured at the output of the divider by 8 for 1(I = I ) and m = (I = 0).

m

=

TABLE I COMPARISON OF THE FOUR-PHASE OSCILLATOR WITH STATE-OF-THE-ART VCO

The proposed four-phase oscillator outperforms quadrature oscillators, and proves power and phase-noise performances comparable or better than single LC oscillators. Due to the lower frequency of operation, the frequency tuning range is the largest reported to date. VI. CONCLUSION

apart, is shown. Measurements have been repeated on available samples. Maximum deviation from is 2 while maximum from is 3.5 . Measured phase noise for (i.e., ) and (i.e., ) is shown in Fig. 14. The frequency of the signal at divider output is 1.75 GHz, corresponding to 14 GHz at VCO core. Due to the frequency division, the measured phase noise is lower than the VCO phase noise. Assuming a negligible phase-noise deterioration from the dividers, the phase noise is expected to improve by 6 dB for each division by two. The conservative estimate of the VCO phase noise is, therefore, 18 dB higher than what is measured at the output of the cascaded dividers. As predicted, white phase noise worsens at large coupling. In the region, the phase noise penalty from to is 4 dB, which is in good agreement with theory (see Fig. 6). Fig. 15 plots VCO phase noise . The avversus the output frequency at 1-MHz offset for erage phase noise level is 109.5 dBc/Hz, which is very close to the simulated value of 111.5 dBc/Hz. Finally, Table I compares state-of-the-art VCOs, providing the reference signal to transceivers operating in the same band.

The choice of the transceiver integrated circuit architecture for communication applications is key to enable a low-cost mass-production solution. Millimeter-wave applications present several peculiarities with respect to RF, motivating a careful re-visitation of alternative processing circuits. In this framework, a careful analysis of multiphase oscillators, coupled with subharmonic direct conversion receivers, show significant advantages with respect to a conventional direct conversion solution. In fact, the synthesizer works at half-frequency with significant power saving. At this time, as this paper has demonstrated, the need for double references closely spaced in phase does not compromise performances. APPENDIX The phase-noise expressions (11) and (12), stated in Section IV-A, will be derived here. We first have to identify the noise injected by each transistor into the tank. Making use of earlier results obtained for a standalone LC-tank oscillator [26],

362

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

the noise power of the current injected by one differential-pair device into the corresponding resonator is

(A1)

the dewhere, with reference to Fig. 3(b), we called vice facing node and the other. and are the respective time-dependent transconductances given by [26]

(A2) with

being the electron mobility, being the gate–oxide capacitance per unit area, and and being the transistors width and length, respectively), . The expressions and where and in (A2) are only defined for , i.e., when both transistors are on. By means of (A1) and (A2) in (8) and abundant trigonometric , given manipulations, the contribution to phase noise of by (10), is obtained. The contribution of the coupling pair devices is found in much the same way. Looking at the schematic in Fig. 3(b) and the waveforms in Fig. 5, we can reuse all the equations introduced for , provided that in (A1) are and in (A2) are replaced by replaced by and , and all equations are phase shifted by (except , which is, of course, the same for all 2 noise sources). In this way, (12) is eventually retrieved. ACKNOWLEDGMENT This study was carried out within the Studio di Microelecttronica, a joint research laboratory of the Università di Pavia, Pavia, Italy, and STMicroelectronics, Pavia, Italy. REFERENCES [1] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., vol. 40, pp. 140–147, 2002. [2] I. Gresham, A. Jenkins, R. Egri, C. Eswarappa, N. Kinayman, N. Jain, R. Anderson, F. Kolak, R. Wohlert, S. P. Bawell, J. Bennett, and J. P. Lanteri, “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2105–2122, Sep. 2004. [3] S. Emami, C. H. Doan, A. M. Niknejad, and R. W. Brodersen, “A highly integrated 60 GHz CMOS front-end receiver,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2007, pp. 190–192. [4] C. Changhua and K. K. O. , “Millimeter-wave voltage-controlled oscillators in 0.13 m CMOS Technology,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1297–1304, Jun. 2006. [5] C. H. Doan, S. Emami, A. M. Niknejad, and R. W. Brodersen, “Millimeter-wave CMOS design,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 144–155, Jan. 2006.

[6] B. A. Floyd, S. K. Reynolds, U. R. Pfeiffer, T. Zwick, T. Beukema, and B. Gaucher, “SiGe bipolar transceiver circuits operating at 60 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 1, pp. 156–167, Jan. 2005. [7] S. K. Reynolds, B. A. Floyd, U. R. Pfeiffer, T. Beukema, J. Grzyb, C. Haymes, B. Gaucher, and M. Soyuer, “A silicon 60 GHz receiver and transmitter chipset for broadband communications,” IEEE J. SolidState Circuits, vol. 41, no. 12, pp. 2820–2831, Dec. 2006. [8] A. Natarajan, A. Komijani, X. Guan, A. Babakhani, and A. Hajimiri, “A 77-GHz phased-array transceiver with on-chip antennas in silicon: Transmitter and local lo-path phase shifting,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2807–2819, Dec. 2006. [9] A. Babakhani, X. Guan, A. Komijani, A. Natarajan, and A. Hajimiri, “A 77-GHz phased-array transceiver with on-chip antennas in silicon: Receiver and antennas,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2795–2806, Dec. 2006. [10] A. Hajimiri, H. Hashemi, A. Natarajan, G. Xiang, and A. Komijani, “Integrated phased array systems in silicon,” Proc. IEEE, vol. 93, no. 9, pp. 1637–1655, Sep. 2005. [11] H. Juo-Jung, T. M. Hancock, G. M. Rebeiz, H. Juo-Jung, T. M. Hancock, and G. M. Rebeiz, “A 77 GHz SiGe sub-harmonic balanced mixer,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2167–2173, Nov. 2005. [12] M. Bao, H. Jacobsson, L. Aspemyr, G. Carchon, and X. Sun, “A 9–31-GHz subharmonic passive mixer in 90-nm CMOS technology,” IEEE J. Solid-State Circuits, vol. 41, no. 10, pp. 2257–2264, Oct. 2006. [13] S. Liwei, J. C. Jensen, and L. E. Larson, “A wide-bandwidth Si/SiGe HBT direct conversion sub-harmonic mixer/downconverter,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1329–1337, Sep. 2000. [14] L. Kyeongho, P. Joonbae, L. Jeong-Woo, L. Seung-Wook, H. Hyung Ki, J. Deog-Kyoon, and K. Wonchan, “A single-chip 2.4-GHz directconversion CMOS receiver for wireless local loop using multiphase reduced frequency conversion technique,” IEEE J. Solid-State Circuits, vol. 36, no. 5, pp. 800–809, May 2001. [15] R. M. Kodkani and L. E. Larson, “A 24 GHz CMOS direct-conversion sub-harmonic downconverter,” in RFIC Symp. Dig., 2007, pp. 485–488. [16] A. Rofougaran, J. Rael, M. Rofougaran, and A. Abidi, “A 900 MHz CMOS LC-oscillator with quadrature outputs,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 1996, pp. 392–393. [17] L. Romano, S. Levantino, C. Samori, and A. L. Lacaita, “Multiphase LC oscillators,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 7, pp. 1579–1588, Jul. 2006. [18] A. Rofougaran, G. Chang, J. J. Rael, J. Y. C. Chang, M. Rofougaran, P. J. Chang, M. Djafari, M. K. Ku, E. W. Roth, A. A. Abidi, and H. Samueli, “A single-chip 900-MHz spread-spectrum wireless transceiver in 1 m CMOS—Part I: Architecture and transmitter design,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 515–534, Apr. 1998. [19] P. Andreani, A. Bonfanti, L. Romano, and C. Samori, “Analysis and design of a 1.8-GHz CMOS LC quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1737–1747, Dec. 2002. [20] M. Tiebout, “Low-power low-phase-noise differentially tuned quadrature VCO design in standard CMOS,” IEEE J. Solid-State Circuits, vol. 36, no. 7, pp. 1018–1024, Jul. 2001. [21] A. Mazzanti, F. Svelto, and P. Andreani, “On the amplitude and phase errors of quadrature LC-tank CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1305–1313, Jun. 2002. [22] J. van der Tang, P. van de Ven, D. Kasperkovitz, and A. van Roermund, “Analysis and design of an optimally coupled 5-GHz quadrature LC oscillator,” IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 657–661, May 2002. [23] L. Romano, S. Levantino, A. Bonfanti, C. Samori, and A. L. Lacaita, “Phase noise and accuracy in quadrature oscillators,” in Int. Circuits Syst. Symp., 2004, vol. 1, pp. 161–164. [24] P. Andreani and X. Wang, “On the phase-noise and phase-error performances of multiphase LC CMOS VCOs,” IEEE J. Solid-State Circuits, vol. 39, no. 11, pp. 1883–1893, Nov. 2004. [25] P. Andreani, “A time-variant analysis of the 1=f phase noise in CMOS parallel LC-tank quadrature oscillators,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 53, no. 8, pp. 1749–1770, Aug. 2006. [26] P. Andreani, X. Wang, L. Vandi, and A. Fard, “A study of phase noise in Colpitts and LC-tank CMOS oscillators,” IEEE J. Solid-State Circuits, vol. 40, no. 5, pp. 1107–1118, May 2005. [27] P. Andreani and A. Fard, “More on the 1=f phase noise performance of CMOS differential-pair LC-tank oscillators,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2703–2712, Dec. 2006.

MAZZANTI et al.: ANALYSIS AND DESIGN OF DOUBLE-QUADRATURE CMOS VCO FOR SUBHARMONIC MIXING AT

[28] A. Fard and P. Andreani, “An analysis of 1=f phase noise in bipolar Colpitts oscillators (with a digression on bipolar differential-pair LC oscillators),” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 374–387, Feb. 2007. [29] A. Hajimiri and T. H. Lee, “A general theory of phase noise in electrical oscillators,” IEEE J. Solid-State Circuits, vol. 33, no. 2, pp. 179–194, Feb. 1998. [30] A. Hajimiri and T. H. Lee, “Corrections to ‘A general theory of phase noise in electrical oscillators’,” IEEE J. Solid-State Circuits, vol. 33, no. 6, p. 928, Jun. 1998. [31] P. Kinget, “Integrated gigahertz voltage controlled oscillators,” in Analog Circuit Design. Norwell, MA: Kluwer, 1999, pp. 353–381. [32] P. Vancorenland and M. S. J. Steyaert, “A 1.57-GHz fully integrated very low-phase-noise quadrature VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 5, pp. 653–656, May 2002. [33] A. Mirzaei, M. E. Heidari, and A. A. Abidi, “Analysis of oscillators locked by large injection signals: Generalized Adler’s equation and geometrical interpretation,” in IEEE Custom Integrated Circuit Conf., San Jose, 2006, pp. 737–740. [34] A. W. L. Ng, G. C. T. Leung, K. Ka-Chun, L. L. K. Leung, and H. C. Luong, “A 1-V 24-GHz 17.5-mW phase-locked loop in a 0.18-m CMOS process,” IEEE J. Solid-State Circuits, vol. 41, no. 6, pp. 1236–1243, Jun. 2006. [35] C. R. C. De Ranter and M. S. J. Steyaert, “A 0.25 m CMOS 17 GHz VCO,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2001, pp. 370–371. [36] H. Hsieh-Hung and L. Liang-Hung, “A low-phase-noise K -band CMOS VCO,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 10, pp. 552–554, Oct. 2006. [37] M. A. T. Sanduleanu and E. Stikvoort, “Highly linear, varactor less, 24 GHz IQ oscillator,” in Proc. RFIC Symp., 2005, pp. 577–580. [38] W. L. Chan, H. Veenstra, and J. R. Long, “A 32 GHz quadrature LC-VCO in 0.25 m SiGe BiCMOS technology,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2005, pp. 538–539. [39] S. Hackl, J. Bock, G. Ritzberger, M. Wurzer, and A. L. Scholtz, “A 28-GHz monolithic integrated quadrature oscillator in SiGe bipolar technology,” IEEE J. Solid-State Circuits, vol. 38, no. 1, pp. 135–137, Jan. 2001.

Andrea Mazzanti (S’01–M’06) was born in Modena, Italy, in 1976. He received the Laurea and Ph.D. degrees in electrical engineering from the Università di Modenae Reggio Emilia, Modena, Italy, in 2001 and 2005, respectively. During the summer of 2003, he was an Student Intern with Agere Systems, Allentown, PA. In 2005, he accepted a post-doctoral position with the Dipartimento di Elettronica, Università di Pavia, Pavia, Italy, where he was involved with CMOS power amplifiers. He is currently an Assistant Professor with the Università di Modenae Reggio Emilia. His main research interests concern device modeling and integrated circuit design for RF and millimeter-wave communications.

-BAND

363

Enrico Sacchi was born in Pavia, Italy, in 1971. He received the Laurea degree in electrical engineering and Ph.D. degree in electrical engineering and computer science from the Università di Pavia, Pavia, Italy, in 1995 and 1999, respectively. His doctoral research concerned optimization of CMOS spiral inductors. In 1999, he joined the Studio di Microelectronica, a joint research laboratory of STMicroelectronics, Pavia, Italy, and Università di Pavia, where he was an RFIC CMOS Designer, mainly involved in research and development activities concerning both TX and RX analog parts of RF CMOS transceivers. From September 2000 to August 2001, he was a Visiting Industrial Fellow of STMicroelectronics with the Electrical Engineering and Computer Science Department, University of California at Berkeley. In September 2007, he joined Marvell, Pavia, Italy, where he is currently a Senior Design Engineer.

Pietro Andreani (S’98–A’99–M’03) received the M.S.E.E. degree from the University of Pisa, Pisa, Italy, in 1988, and the Ph.D. degree from Lund University, Lund, Sweden, in 1999. From 1990 to 1993 and 1995 to 2001, he was with the Department of Applied Electronics (now Electrical and Information Technology), Lund University, during which time he was an Associate Professor in charge of the analog integrated circuits courses. From 2001 to 2007, he was a Professor with the Center for Physical Electronics, Technical University of Denmark, Lyngby, Denmark. Since May 2007, he has been with the Department of Electrical and Information Technology, Lund University, where his research mainly concerns analog/RF integrated circuit design.

Francesco Svelto (S’93–M’98) received the Laurea and Ph.D. degrees in electrical engineering from the Università di Pavia, Pavia, Italy, in 1991 and 1995, respectively. From 1995 to 1997, he held an industry grant for research in RF CMOS. In 1997, he became an Assistant Professor with the Università di Bergamo. In 2000, he joined the Università di Pavia, where he is currently a Professor. Since January 2006, he has been the Director of the joint scientific laboratory Studio di Microelecttronica, of the Università di Pavia and STMicrolectronics, which is dedicated to research in microelectronics. His current interests are RF and millimeter-wave integrated circuits for telecommunications. Dr. Svelto is currently a member of the Technical Program Committee of the International Solid State Circuits Conference and Custom Integrated Circuits Conference. He has been member of the Bipolar/BiCMOS Circuits Technology Meeting and the European Solid State Circuits Conference. He was an associate editor for the IEEE JOURNAL OF SOLID-STATE CIRCUITS (2003–2007) and was a guest editor for that publication in March 2003. He was a corecipient of the IEEE JOURNAL OF SOLID-STATE CIRCUITS 2003 Best Paper Award.

364

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Schottky Barrier Diode Circuits in Silicon for Future Millimeter-Wave and Terahertz Applications Ullrich R. Pfeiffer, Senior Member, IEEE, Chinmaya Mishra, Member, IEEE, Robert M. Rassel, Shawn Pinkett, and Scott K. Reynolds

Abstract—This paper presents Schottky barrier diode circuits fully integrated in a 0.13- m SiGe BiCMOS process technology. A subharmonically pumped upconverter and a frequency doubler are demonstrated that operate beyond 100 GHz without the need of external components. The upconverter has a size of 430 780 m2 including on-chip matching elements and bond pads. It has a conversion gain of 6 to 7 dB from 100 to 120 GHz. The upconverter achieves a high single-sideband saturated output power of 4 dBm from 100 to 120 GHz and a high linearity with a 1-dB compression point of 6 dBm. The frequency doubler has a size of 360 500 m2 and can deliver up to 2.5 dBm at 110 GHz. Index Terms—Frequency doubler, millimeter waves, power generation, Schottky barrier diodes (SBDs), silicon germanium (SiGe), subharmonic mixer (SHM), terahertz.

I. INTRODUCTION

R

ADIATION sources have been a limiting factor for many millimeter-wave and terahertz applications in the past. As the frequency increases, it becomes increasingly difficult to generate radiation at usable power levels [1]. As a result, millimeter-wave and terahertz applications like remote sensing, radar, imaging, and communication systems are often comprised of discrete components that are bulky and exhibit a low-level of integration at high cost. Recent advancements in silicon–germanium (SiGe) BiCMOS process technologies have made it possible to integrate active and passive devices with cutoff frequencies penetrating the terahertz region. Active SiGe heterojunction bipolar transistors (HBTs), for example, have demonstrated cutoff frequencies GHz [2]–[4]. As the SiGe as high as

Manuscript received July 18, 2007; revised October 24, 2007. This work was supported in part by the Defense Advanced Research Projects Agency under Grant N66001-02-C-8014 and Grant N66001-05-C-8013. U. R. Pfeiffer was with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA. He is now with the Institute of High-Frequency and Quantum Electronics, University of Siegen, D-57068 Siegen, Germany (e-mail: [email protected]). C. Mishra was with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA. He is now with Qualcomm Inc., San Diego, CA 92121 USA (e-mail: [email protected]). R. M. Rassel is with the Systems and Technology Group, Semiconductor Research and Development Center, IBM, Essex Junction, VT 05452 USA (e-mail: [email protected]). S. Pinkett is with the Systems and Technology Group, IBM Corporation, Hopewell Junction, NY 12533 USA (e-mail: [email protected]). S. K. Reynolds is with the IBM T. J. Watson Research Center, Yorktown Heights, NY 10598 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914656

technologies advance to faster cutoff frequencies, the HBT according breakdown voltages, however, degrade with to the Johnson limit [5], making it extremely challenging to provide power at very high frequencies. Silicon integrated Schottky barrier diodes (SBDs) may open up alternative avenues and represent a valuable asset to complement silicon design kits. Recent reports have demonstrated SBDs with cutoff frequencies beyond 1 THz [6], [7] that can be integrated together with CMOS or SiGe BiCMOS process technologies. SBD diodes can be used in doublers for the realization of high spectral purity local oscillator (LO) sources and in subharmonic mixers (SHMs) that are preferred due to their simplicity in design and noise [8]. While discrete silicon SBD diode compolow nents have been used in receivers for many years, e.g., at 77 GHz [9]–[11], they are primarily of interest in integrated silicon technologies to aid high-frequency power limitations, linearity, and noise degradation. This paper presents a 100–120-GHz 2 SHM and a frequency doubler fully integrated in an SiGe BiCMOS process technology. Early results have been reported in [12]. The mixer uses an antiparallel SBD pair (APDP) with a 50–60-GHz LO. The mixer has a compact size of 430 780 m including on-chip matching elements and bond pads. The single-sideband saturated output power is 4 dBm from 100 to 120 GHz with a 1-dB compression point of 6 dBm. The frequency doubler has demonstrated output power in excess of 2 dBm at 110 GHz. Section II briefly describes the process technology used, along with the various active and passive devices available in the design kit. The circuit concepts and implementations are then discussed in Section III, followed by device and circuit modeling along with device model to hardware correlations in Section IV. Finally, detailed measurement and simulation results are provided in Section V followed by a summary and conclusions in Section VI.

II. SiGe PROCESS TECHNOLOGY USED The SHM and frequency doubler were designed in IBM’s advanced bipolar technology BiCMOS8HP [13]. It is a 0.13- m SiGe BiCMOS technology with HBT cutoff frequencies of GHz. The basic process was enhanced to include devices such as SBDs [6], p-i-n (p-type, intrinsic, n-type) diodes [14], and varactor diodes [15]. At the same time, the logic library compatibility, common back-end, and several resistors and capacitors have been retained, as shown in Table I.

0018-9480/$25.00 © 2008 IEEE

PFEIFFER et al.: SBD CIRCUITS IN SILICON FOR FUTURE MILLIMETER-WAVE AND TERAHERTZ APPLICATIONS

365

TABLE I DEVICE LIBRARY SHOWING FET AND PASSIVE DEVICES AVAILABLE, WITH NOMINAL DEVICE PARAMETERS INDICATED

Fig. 1. Integrated SBD for millimeter-wave applications.

Fig. 1 shows the low-leakage SBD cross section. It is vertically integrated with an n buried layer to form the ohmic contact (cathode) and an anode that is formed by cobalt salicidation of n-type silicon along with a perimeter guard ring [6]. Often the bipolar subcollector is used as the SBD cathode. The HBT subcollector, however, is only suboptimal for an SBD or p-i-n diode. It is too shallow to provide the required SBD cutoff frequency and the intrinsic layer is not wide enough to give the desired p-i-n diode isolation. To permit integration of all three devices and allow independent optimization of each, a second deeper buried n layer and an additional n-type ion implant well tailor was introduced. The deeper n layer provides a low resistive region for both the SBD and p-i-n diode, but the depth of the deeper buried n layer was optimized to provide a wider intrinsic region for the p-i-n diode. The additional well tailor provides the lower vertical series resistance in the SBD necessary for higher cutoff frequencies. The five-layer back-end of the line has three copper layers with two thick aluminum layers as the last metal for low-loss interconnects available. The design kit includes interconnect models for side-shielded microstrips [16] that can be used for impedance-matching purposes. III. CIRCUIT CONCEPT Fig. 2 shows a simplified circuit schematic of the SHM upconverter and the frequency doubler. Note that the doubler schematic is a subset of the SHM where the dashed IF section and the Schottky diode D2 is omitted. The SHM uses a zero-bias antiparallel diode pair (APDP) that is pumped with the second harmonic of a 60-GHz LO to directly up-convert a low IF signal to RF. The doubler instead uses a single SBD diode to create the second harmonic of the LO directly. The doubler input is provided at the LO port and the values of the LO and RF port matching elements are adjusted to account for the omitted diode impedance. The basic circuit topology can

Fig. 2. Circuit schematic of the SHM and frequency doubler. The doubler schematic is a subset of the SHM where the dashed IF section and the Schottky diode D2 is omitted.

be used in receive mode, as reported in [17] and [18], while it is optimized here for up-conversion with maximum power delivery to an external 50- load. The LO port is matched for maximum power transfer to the diodes. The IF frequency bandwidth is low enough not to be affected by the transmission line elements and is primarily terminated by the 50- RF port. Quarter-wave transformers provide the ground return path at opposite diode terminals. For instance, the LO return path is provided by an open-ended quarter-wave transformer (TL2) and the RF return path is provided by the shorted quarter-wave transformer (TL1). All transmission lines are implemented as microstrip lines with a characteristic impedance of 50 . They use a top-metal aluminum signal conductor with adjacent side shields, where the dominant ground return path flows in a lower level copper ground shield. The conjugated impedance matching network at the LO input uses a metal–insulator–metal (MIM) capacitor in case of the SHM and an open stub in case of the doubler. At the RF port, a shunt stub is used to tune out the pad capacitance [19], [20], which makes the output matching network almost transparent to the off-chip 50- load impedance. The output match is reasonable broadband with an insertion loss of 1.5 dB at 110 GHz including the length of the transmission-line section that leads up to the diode connection. Equivalent-circuit models are part of the design kit and have been used in circuit simulations within the Cadence design

366

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 3. Chip micrograph of the SHM. The chip has an overall size of 430 780 m .

2

Fig. 5. Chip micrograph of the frequency doubler. The chip has an overall size of 360 500 m .

2

This figure shows the location of the APDP with respect to the surrounding transmission lines. Note that all transmission lines use side shields to prevent crosstalk among each other. Their side shields may falsely be identified as signal lines because, at a minimum pitch, the shields are shared between neighbors and are of equal linewidth. The APDP is located directly below the intermediate side shield of TL1 and TL2. Its terminal contacts are connected through vias to the top metal layer with minimum wiring parasitics. B. Frequency-Doubler Implementation Fig. 4. Close-up micrograph of the SHM diode region. This figure shows the location of the APDP in respect to the surrounding transmission lines with their associated side shields.

framework1 and the GoldenGate RF integrated circuit (IC) simulator.2 No electromagnetic (EM) solvers have been used to model parasitic effects. Parasitic extraction and back annotation is provided by the design kit and has been employed where appropriate. Scalable seven-segment lumped ladder networks are used to simulate on-chip transmission lines [16]. More details on the millimeter-wave IC design approach in silicon can be found in [21]. High conversion gain demands that the IF voltages applied to the APDP are as large as possible. The APDP generates the second harmonic of the LO, while the diodes terminate each other in a short circuit at the fundamental and all odd harmonics. The SHM and doubler use identical four-finger diodes, where each finger has an anode area of 1 1 m . This reduces the series resistance and increases the diode capacitance to approximately 7 and 22 fF, respectively. This size is optimum in respect to circuit performance and impedance matching. A. SHM Implementation Fig. 3 shows a chip micrograph of the SHM. The input and output pads are laid out in a ground–signal–ground (GSG) configuration. The chip has a size of 430 780 m including bond pads. The dashed area is enlarged in Fig. 4 for better clarity. 1Cadence

Electron. Design Softw., Cadence Design Syst., San Jose, CA. RF IC Simulator, Agilent Technol. (formerly Expedion Design Syst.), Milpitas, CA. 2GoldenGate

Fig. 5 shows a chip micrograph of the frequency doubler. The chip has a size of 360 500 m including GSG bond pads. Transmission lines are not placed at minimum pitch in this layout and a top-level ground plane fills the gap between side shields. This allows meandering of the transmission lines to save area without significant loss in performance. A tapered line transition between the transmission line and diode is used to provide gradual change in impedance. Wiring parasitics were minimized because at frequencies beyond 100 GHz, circuit performance such as conversion efficiency can be significantly deteriorated. A further area reduction is possible by placing the transmission lines much closer as implemented in the SHM case. IV. CIRCUIT AND DEVICE MODELING The SHM and doubler both use four-finger diodes, where each finger has an anode area of 1 1 m . A single-diode finger has a 5.5-fF capacitance and a series resistance of 28 THz . Additional circuit wiring parasitics, however, reduce the APDP cutoff frequency to approximately 748 GHz. Fig. 6 shows model-to-hardware correlation for a 1 m . Casingle-finger SBD with an anode area of 1 pacitance and resistance versus frequency at zero bias are shown. Two-port scattering parameters are measured up to 100 GHz, with the anode of the device connected to one port and the cathode connected to the other port. Device characteristics are deembedded from raw data using the pad-open-short methodology. Capacitance and resistance are calculated using the following: (1) (2)

PFEIFFER et al.: SBD CIRCUITS IN SILICON FOR FUTURE MILLIMETER-WAVE AND TERAHERTZ APPLICATIONS

367

Fig. 7. Measurement setup of the SHM and the frequency doubler. Supplemental SHM equipment is shaded.

2

Fig. 6. Capacitance and resistance versus frequency for a 1 1 m SBD device at 25 C. Symbols indicate measured data from two different devices; solid lines indicate device simulations.

It is noted that the scalable compact model begins to deviate from the measured capacitance above 60 GHz. This may be attributed to deembedding errors caused by incomplete characterization of the pads and device wiring. As discussed previously in [6] and [7], the extracted device resistance exhibits considerable variation for small device sizes. Model accuracy is corroborated with circuit design results and also verified against larger area 1 m with measured resistance that is more condiodes stant with frequency. Other circuit elements are MIM capacitors and transmission lines. Transmission lines were modeled by the use of a scalable seven-segment lumped ladder network up to 110 GHz [16]. The MIM capacitors are complementary to the design kit and parasitics associated with vias and interconnects were modeled with lumped components added to a parasitic representation of the circuit design.

Fig. 8. Simulated and measured small-signal return loss at the LO port of the doubler and SHM.

V. EXPERIMENTAL RESULTS Measurements at 100 GHz and above require accurate calibration and deembedding techniques. Specifically swept power measurements require a calibration at each power level and frequency in order to remove nonlinear effects of the test equipment and amplifiers in the chain. A calibrated thermal power detector was used for calibration and measurement purposes up to 110 GHz. The detector was used to calibrate a spectrum analyzer in combination with an external harmonic mixer to perform calibrated measurements in the 90–110-GHz frequency range. All measurements in this paper have been made on-wafer. See Fig. 7 for a block diagram of the SHM and frequency-doubler measurement setup. The SHM is a three-terminal device with IF, LO, and RF ports. All SHM measurements were performed with a 500-MHz low IF signal generated by a frequency synthesizer. No calibration was needed for the IF frequency and the available input power was taken from the synthesizer reading. The LO power was provided by a second synthesizer followed by an amplifier. The LO drive was calibrated with the power detector taking the probe and cable losses into account. Two simultaneous measurements were performed at the RF port with the help of a

Fig. 9. Measured and simulated (solid lines) conversion gain of the doubler and SHM. The maximum saturated output power of the SHM is also shown.

10-dB coupler, which were: 1) the total power was measured with a power meter and 2) the 10-dB coupled signal was analyzed with a calibrated spectrum analyzer. The frequency-dependent loss from the RF probe tip, through the probe, cable, adapter, and waveguide connection to the inputs have been calibrated with a separate second-tier short-open-load (SOL) calibration measurement (adapter removal technique). As it turns

368

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 10. Measured and simulated (solid line) power transfer curve of the doubler at 100 GHz. This figure also shows the SHM LO drive requirement of 5 dBm or more for a 110-GHz RF and an IF input power of 10 dBm.

Fig. 12. Measured and simulated (solid line) SHM IF bandwidth. The data shows the lower and upper sideband signals measured around a 110-GHz carrier and a 10-dBm swept IF input.

Fig. 11. Measured and simulated (solid line) of the SHM large-signal compression at 120.5 GHz. This figure shows the conversion gain and output power versus the IF input power. This figure also shows power meter and spectrum analyzer results for comparison.

Fig. 13. Measured temperature dependence of the SHM.

0

out, the calibration table of the power detector is linear over frequency and, therefore, could be extrapolated to extend the measurement range from 110 to 120 GHz. Likewise the spectrum analyzer could be extended to go up to 120 GHz as well. This has only a minor impact on the calibration accuracy, although one has to deal with the further reduced sensitivity of the detector above 110 GHz. The overall calibration accuracy of this setup is estimated to be within 1 dB. Small-signal -parameters were measured with a regular 65-GHz -parameter setup. Fig. 8 shows the simulated and measured small-signal return loss at the LO port of the doubler and SHM. Good model-tohardware correlation is seen, underscoring the efficacy of the SBD and transmission line models. The doubler input return loss is below 10 dB from 50 to 55 GHz and the SHM LO input is well matched between 60–65 GHz.

0

Fig. 9 shows the simulated and measured conversion gain of the doubler at a fixed 10.6-dBm input power. It has been calculated as power conversion gain, e.g., from the power delivered to the RF load minus the power available from the IF source. From 95 to 110 GHz, the conversion gain drops from 12 to 14 dB. Simulations suggest a 2-dB higher conversion gain. Fig. 9 also includes the conversion gain and maximum upper sideband output power of the SHM. Within the calibration accuracy of 1 dB, the upper sideband power measurement with the spectrum analyzer gives similar results as the power meter minus 3 dB. In the 100–120-GHz range, the SHM exhibits a conversion gain of 6 to 7 dB and shows a saturated upper sideband output power of around 4 dBm. Note that early results presented in [12] show a 3–4-dB higher conversion gain for the SHM, which is due to a dc-shorted 1-mm to WR10 waveguide adapter at the RF port that creates an open at the IF frequency where the APDP diode is located. This maximizes

PFEIFFER et al.: SBD CIRCUITS IN SILICON FOR FUTURE MILLIMETER-WAVE AND TERAHERTZ APPLICATIONS

369

TABLE II PUBLISHED DOUBLERS AND SHM MIXERS BASED ON SCHOTTKY DIODES

Includes down-converter (Rx) circuits for comparison.

the voltage swing at the APDP and improves the power conversion gain. For the purpose of accurate model-to-hardware comparison, we have given the conversion gain in this paper for a broadband RF termination, which is equivalent to the one used in circuit simulation. The SHM data was measured with a 500-MHz IF and a 16-dBm LO going from 50 to 59.75 GHz with an LO-to-RF rejection of approximately 20 dB. Good model-to-hardware correlation was achieved. Deviations can be seen though above 115 GHz. This is where the conversion gain measurement starts to hit the power meter sensitivity level. The saturated output power measurement is not affected by this, although it generally shows a larger data spread due to limited sample points at peak power. It is interesting to note that if one operates the SHM in reverse operation (down-conversion mode), the conversion gain will be lower. A down-conversion mixer requires modifications to the matching circuitry and device sizes for maximum Rx conversion gain. Simulations indicate that an Rx conversion gain is more in the order of 12 to 16 dB. Although receivers are of particular interest, they have not been further investigated in this paper. Fig. 10 shows the simulated and measured power transfer curve of the doubler at 100 GHz. The doubler curves exhibit good model-to-hardware correlation at lower input power levels. At maximum output, the doubler delivers 2.5 dBm to the offchip load, which is approximately 2 dB lower than simulated. This may be due to large-signal diode model limitations since the model is fitted to small-signal behavior across various biases and dc characteristics only. Fig. 10 also shows simulated and measured results for an LO power sweep of the SHM at 110 GHz and 10-dBm IF input power. The data shows that the mixer needs at least 5-dBm LO drive at 55 GHz, a power level that is commonly achieved in SiGe amplifier designs [19], [22]. A further increase in LO drive only provides marginal improvements, although most measurements presented in this paper used a 16-dBm LO to keep some headroom. Fig. 11 shows the measured and simulated large-signal compression of the SHM. This figure also includes the conversion gain and output power versus the IF input power. The data was taken at 120.5 GHz with a 500-MHz IF and a 16-dBm LO at 60 GHz. Good model-to-hardware correlation was achieved with a 1-dB compression point of 6 dBm. The onset of compression is when the IF drive is approximately 10 dB lower than

the minimum required LO drive. This compression mechanism is not inherent to the device, but to the mixer operation, and is well predicted by the simulation. Fig. 12 shows the simulated and measured SHM IF bandwidth. The data was taken with a 110-GHz carrier (16-dBm LO at 55 GHz) and a 10-dBm swept IF. Note that the IF input termination is provided by the RF load, which, therefore, should be broadband from RF down to dc to cover the IF frequency band. For this reason, a diplexer with integrated bias tee was used at the mixer output since the RF bandwidth is limited by the test equipment due to the low-frequency cutoff of the WR-10 waveguide band. The residual low-frequency rolloff is due to the 40-MHz bias tee cutoff. The measured and simulated data shows a 3-dB IF bandwidth of approximately 6 GHz. Fig. 13 shows the temperature dependence of the SHM from 15 C to 85 C. The data shows no temperature dependency whatsoever, which is an inherent advantage compared to bipolar-based mixers [23], [24]. The data was taken at 120 GHz with a 12 dBm IF at 500 MHz. No simulation results are shown here since the SBD model does not include any temperature effects. VI. SUMMARY AND CONCLUSION SHMs are the best choice where the generation of the LO signal is expensive or it is difficult to obtain a stable low-noise LO signal, which is usually the case at millimeter-wave frequencies. Although the performance of an SHM cannot match that of a fundamental mixer, it is better than a fundamental mixer without adequate LO power [25]. Likewise, a frequency doubler is a key component at millimeter-wave frequencies because of the limitations in the direct implementation of low phase-noise fundamental frequency oscillators. Doublers based on SBD circuits will attain an important position in high spectral-purity LO sources operating above 100 GHz. As such, the SBD circuit presented in this paper has demonstrated the ability of silicon microelectronics to advance into the realm of millimeter-wave and terahertz applications, which was previously restricted to compound semiconductor devices. Table II shows a summary of published SHMs and frequency doublers in silicon as of today. Future study includes further examination of the diodes large-signal effects to improve the model to hardware correlation and the investigation of optimized receiver circuits.

370

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

ACKNOWLEDGMENT The authors would like to thank all who contributed to the fabrication of the chip; especially the IBM SiGe Technology Group, IBM Burlington, Essex Junction, VT. The authors also extend much appreciation to B. Gaucher, S. Gowda, and M. Soyuer, all with the Communications Department, IBM T. J. Watson Research Center, Yorktown Heights NY, for their support.

REFERENCES [1] T. Crowe, W. Bishop, D. Porterfield, J. Hesler, and R. Weikle, “Opening the terahertz window with integrated diode circuits,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2104–2110, Oct. 2005. [2] B. Orner, M. Dahlstrom, A. Pothiawala, R. Rassel, Q. Liu, H. Ding, M. Khater, D. Ahlgren, A. Joseph, and J. Dunn, “A BiCMOS technology ) SiGe HBT for millimeter wave featuring a 300/330 GHz (fT=f applications,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2006, pp. 1–4. [3] M. Khater, J.-S. Rieh, T. Adams, A. Chinthakindi, J. Johnson, R. Krishnasamy, M. Meghelli, F. Pagette, D. Sanderson, C. Schnabel, K. Schonenberg, P. Smith, K. Stein, A. Stricker, S.-J. Jeng, D. Ahlgren, and D. =f = 350=300 GHz and Freeman, “SiGe HBT technology with f gate delay below 3.3 ps,” in IEEE Int. Electron Devices Meeting, Dec. 2004, pp. 247–250. [4] P. Chevalier, B. Barbalat, L. Rubaldo, B. V. D. Dutartre, P. Bouillon, T. Jagueneau, C. Richard, F. Saguin, A. Margain, and A. Chantre, “300 self-aligned SiGeC HBT optimized towards CMOS comGHz f patibility,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2005, vol. 40, no. 10, pp. 120–123. [5] E. Johnson, “Physical limitations on frequency and power parameters of transistors,” RCA Rev., vol. 26, pp. 163–177, 1965. [6] R. Rassel, J. Johnson, B. Orner, S. Reynolds, M. Dahlstrom, J. Rascoe, A. Joseph, B. Gaucher, J. Dunn, and S. S. Onge, “Schottky barrier diodes for millimeter wave SiGe BiCMOS applications,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Oct. 2006, pp. 255–258. [7] S. Sankaran and K. K. O, “Schottky barrier diodes for millimeter wave detection in a foundry CMOS process,” IEEE Electron Device Lett., vol. 26, no. 7, pp. 492–494, Jul. 2005. [8] K. Kanaya, Y. Aihara, T. Katoh, M. Komaru, and Y. Matsuda, “A 76 GHz high performance subharmonic mixer MMIC using low 1=f noise diodes for automotive radars,” in IEEE Compound Semiconduct. Integrated Circuits Symp., Oct. 2004, pp. 260–263. [9] B. Schoenlinner, T. Kerssenbrock, P. Heide, J. Detlefsen, and G. M. Rebeiz, “77 GHz transceiver module using a low dielectric constant multilayer structure,” in Eur. Microw. Conf., Oct. 2000, pp. 1–4. [10] A. Grubl, A. J. Herb, R. H. Rabhofer, and E. M. Biebl, “A 76.5 GHz transceiving mixer using flip-chip mounted silicon Schottky-diodes for automotive radar applications,” in Eur. Microw. Conf., Oct. 2002, pp. 1–4. [11] H. Siweris, A. Werthof, H. Tischer, T. Grave, H. Werthmann, R. Rasshofer, and W. Kellner, “A mixed Si and GaAs chip set for millimeter-wave automotive radar front-ends,” in Radio Freq. Integr. Circuits Symp., Jun. 2000, pp. 191–194. [12] C. Mishra, U. Pfeiffer, R. Rassel, and S. Reynolds, “Silicon Schottky diode power converters beyond 100 GHz,” in Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 547–550. [13] B. Orner, Q. Liu, B. Rainey, A. Stricker, P. Geiss, P. Gray, M. Zierak, M. Gordon, D. Collins, V. Ramachandran, W. Hodge, C. Willets, A. Joseph, J. Dunn, J.-S. Rieh, S.-J. Jeng, E. Eld, G. Freeman, and D. Ahlgren, “A 0.13 m BiCMOS technology featuring a 200/280 GHz (f =f ) SiGe HBT,” in IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Sep. 2003, pp. 203–206. [14] B. Orner, Q. Liu, J. Johnson, R. Rassel, X. Liu, D. Sheridan, A. Joseph, and B. Gaucher, “P-i-n diodes for monolithic millimeter wave BiCMOS applications,” in Int. SiGe Technol. Device Meeting, May 2006, pp. 272–273.

[15] D. Coolbaugh, E. Eshun, R. Groves, D. Harame, J. Johnson, M. Hammad, Z. He, V. Ramachandran, K. Stein, S. S. Onge, S. Subbanna, D. Wang, R. Volant, X. Wang, and K. Watson, “Advanced passive devices for enhanced integrated RF circuit performance,” in Radio Freq. Integr. Circuits Symp., Jun. 2002, pp. 341–344. [16] T. Zwick, Y. Tretiakov, and D. Goren, “On-chip SiGe transmission line measurements and model verification up to 110 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 2, pp. 65–67, Feb. 2005. [17] S. Raman, F. Rucky, and G. Rebeiz, “A high-performance W -band uniplanar subharmonic mixer,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 955–962, Jun. 1997. [18] M. Morschbach, A. Muller, C. Schollhorn, M. Oehme, T. Buck, and E. Kasper, “Integrated silicon Schottky mixer diodes with cutoff frequencies above 1 THz,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2013–2018, Jun. 2005. [19] U. Pfeiffer and D. Goren, “A 20 dBm fully-integrated 60 GHz SiGe power amplifier with automatic level control,” IEEE J. Solid-State Circuits, vol. 42, no. 7, pp. 1455–1463, Jul. 2007. [20] U. R. Pfeiffer, “Low-loss contact pad with tuned impedance for operation at millimeter wave frequencies,” in Proc. 9th IEEE Signal Propag. Interconnects Workshop, May 2005, pp. 61–64. [21] U. Pfeiffer and A. Valdes-Garcia, “Millimeter-wave design considerations for power amplifiers in an SiGe process technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 57–64, Jan. 2006. [22] U. Pfeiffer and D. Goren, “A 23-dBm 60-GHz distributed active transformer in a silicon process technology,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 857–865, May 2007. [23] S. Reynolds and J. Powell, “77 and 94-GHz downconversion mixers in SiGe BiCMOS,” in Asian Solid-State Circuits Conf., Nov. 2006, pp. 191–194. [24] B. Floyd, U. Pfeiffer, S. Reynolds, A. Valdes-Garcia, C. Haymes, Y. Katayama, D. Nakano, T. Beukema, and B. Gaucher, “Silicon millimeter-wave radio circuits at 60–100 GHz,” in Silicon Monolithic Integrated Circuits Conf., Jan. 2007, pp. 213–218. [25] S. Maas, Microwave Mixers. Norwood, MA: Artech House, 1986. [26] J.-J. Hung, T. M. Hancock, and G. M. Rebeiz, “High-power high-efficiency SiGe Ku- and Ka-band balanced frequency doublers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 754–761, Feb. 2005. [27] S.-W. Chen, T. C. Ho, K. Pande, and P. D. Rice, “Rigorous analysis and design of a high-performance 94 GHz MMIC doubler,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 12, pp. 2317–2322, Dec. 1993. [28] Y. Lee, J. R. East, and L. P. Katehi, “High-efficiency W -band GaAs monolithic frequency multipliers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 529–535, Feb. 2004. [29] H. Xu, Y. Duan, J. Hesler, T. Crowe, and R. Weikle, “Subharmonically pumped millimeter-wave upconverters based on heterostructure barrier varactors,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 10, pp. 3648–3653, Oct. 2006.

Ullrich R. Pfeiffer (M’02–SM’06) received the Diploma degree in physics and Ph.D. in physics from the University of Heidelberg, Heidelberg, Germany, in 1996 and 1999, respectively. In 1997, he was a Research Fellow with the Rutherford Appleton Laboratory, Oxfordshire, U.K., where he developed high-speed multichip modules. In 2000, his research was based on high-integrated real-time electronics for a particle physics experiment at the European Organization for Nuclear Research (CERN), Geneva, Switzerland. From 2001 to 2006, he was a Research Staff Member with the IBM T. J. Watson Research Center, where his research involved RF circuit design, PA design at 60 and 77 GHz, and high-frequency modeling and packaging for millimeter-wave communication systems. Since 2007, he has been the Head of the Terahertz Electronics Group, Institute of High-Frequency and Quantum Electronics, University of Siegen, Siegen, Germany. Dr. Pfeiffer is a member of the German Physical Society (DPG). He was the corecipient of the 2004 and 2006 Lewis Winner Award for Outstanding Paper presented at the IEEE International Solid-State Circuit Conference. He was the recipient of the 2006 European Young Investigator Award and the IBM Pat Goldberg Memorial Best Paper Award.

PFEIFFER et al.: SBD CIRCUITS IN SILICON FOR FUTURE MILLIMETER-WAVE AND TERAHERTZ APPLICATIONS

Chinmaya Mishra (S’03–M’08) received the B.E. (Hons.) degree in electrical and electronics engineering from the Birla Institute of Technology and Science, Pilani, India, in 2002, and the M.S. and degrees in electrical engineering from Texas A&M University, College Station, in 2004 and 2007, respectively. In Spring 2002, he was a Technical Intern with the Digital Signal Processing Design Group, Texas Instruments Incorporated, Bangalore, India. During Summer 2005, he was an RF Integrated Circuit Design Engineer (Intern) with WiQuest Communications Inc, Allen, TX, where he was responsible for the design of a CMOS frequency synthesizer for an ultra-wideband (UWB) radio. In Spring and Summer 2006, he was with the Communications Circuits and Systems Department, IBM T. J. Watson Research Center, Yorktown Heights, NY, where he was involved with the design of millimeter-wave circuits in SiGe and CMOS technologies. Since December 2007, he has been with Qualcomm Inc., San Diego, CA as a Senior Design Engineer involved with RF circuits for cellular applications. Dr. Mishra was the recipient of the IEEE Solid-State Circuits Society Predoctoral Fellowship for 2006–2007.

Robert M. Rassel received the B.A. degrees in physics and mathematics from Hamline University, St. Paul, MN, in 1999, and the M.S. degree in electrical engineering from the Institute of Technology, University of Minnesota, Minneapolis, in 2001. In 2001, he joined the Analog Mixed Signal Technology Development Team, Semiconductor Research and Development Center (SRDC), IBM, Burlington, VT, where he has been involved with research and development of passive devices and process integration for RFCMOS and SiGe BiCMOS technologies. He has authored or coauthored multiple publications. He holds five patents with over 35 pending. His research interests include device development and process integration of front-end-of-line (FEOL) passives, high-voltage field-effect transistors (FETs), and millimeter-wave devices.

371

Shawn Pinkett received the B.S. degree in electrical engineering from North Carolina Agricultural and Technical State University, Greensboro, in 1996, and the M.S.E.E. and Ph.D. degrees in electrical engineering from the Georgia Institute of Technology, Atlanta, in 1998 and 2003, respectively. He then joined the IBM Corporation, Hopewell Junction, NY, where he is currently with the Systems and Technology Group, Semiconductor Research and Development Center, where his responsibilities include device modeling of advanced CMOS and BiCMOS technologies.

Scott K. Reynolds received the B.S.E.E. degree from The University of Michigan at Ann Arbor, in 1983, and the M.S.E.E. and Ph.D. degree in electrical engineering from Stanford University, Stanford, CA, in 1984 and 1987, respectively. In 1998, he joined IBM. He is currently a Research Staff Member with the IBM Thomas J. Watson Research Center, Yorktown Heights, NY. His job responsibilities have involved analog- and mixed-signal circuit design for a wide variety of high-speed communication systems, both IBM products and research projects. He is currently engaged in the development of CMOS and BiCMOS ICs for high-data-rate wired, RF wireless, and optical communication links. He has authored and coauthored numerous technical publications. Dr. Reynolds was the recipient of the Lewis Winner Outstanding Paper Award presented at the 2004 and 2006 International Solid-State Circuits Conference including the Lewis Winner Outstanding Paper Award.

372

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Multi-Lookup Table FPGA Implementation of an Adaptive Digital Predistorter for Linearizing RF Power Amplifiers With Memory Effects Pere L. Gilabert, Albert Cesari, Student Member, IEEE, Gabriel Montoro, Eduard Bertran, Senior Member, IEEE, and Jean-Marie Dilhac, Senior Member, IEEE

Abstract—This paper presents a hardware implementation of a digital predistorter (DPD) for linearizing RF power amplifiers (PAs) for wideband applications. The proposed predistortion linearizer is based on a nonlinear auto-regressive moving average (NARMA) structure, which can be derived from the NARMA PA behavioral model and then mapped into a set of scalable lookup tables (LUTs). The linearizer takes advantage of its recursive nature to relax the LUT count needed to compensate memory effects in PAs. Experimental support is provided by the implementation of the proposed NARMA DPD in a field-programmable gate-array device to linearize a 170-W peak power PA, validating the recursive DPD NARMA structure for W-CDMA signals and flexible transmission bandwidth scenarios. To the best of the authors’ knowledge, it is the first time that a recursive structure is experimentally validated for DPD purposes. In addition to the results on PA efficiency and linearity, this paper addresses many practical implementation issues related to the use of FPGA in DPD applications, giving an original insight on actual prototyping scenarios. Finally, this study discusses the possibility of further enhancing the overall efficiency by degrading the PA operation mode, provided that DPD may be unavoidable due to the impact of memory effects. Index Terms—Digital predistortion (DPD), field programmable gate array (FPGA), nonlinear auto-regressive moving average (NARMA) models, power amplifier (PA) linearization.

I. INTRODUCTION

C

URRENT studies regarding the needs of wireless communications equipment agree in highlighting the importance of reducing power consumption to cut running costs as an added value. Besides, linearity requirements are specified in communication standards and, thus, reducing unacceptable distortions is mandatory [1], [2]. Nevertheless, new standards enhancing high data rates by means of spectrally efficient complex modu-

Manuscript received June 25, 2007; revised October 23, 2007. This work was supported in part by the Spanish Government (MEC) under Project TEC200507985-C03-02 and by the European Union Network Top Amplifier Research Group in a European Team (TARGET) under Grant IST-1-507893-NOE. P. L. Gilabert, G. Montoro, and E. Bertran are with the Department of Signal Theory and Communications, Technical University of Catalonia (UPC), 08860 Castelldefels, Barcelona, Spain (e-mail: [email protected]; montoro@tsc. upc.edu; [email protected]). A. Cesari and J.-M. Dilhac are with the Laboratoire d’Architecture et d’Analyse des Systèmes (LAAS)–Centre National de la Recherche Scientifique (CNRS), Université de Toulouse, 31077 Toulouse Cedex 4, France (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.913369

lation schemes require power amplifiers (PAs) handling signals that present high peak-to-average power ratios (PAPRs). Those spectrally efficient modulation formats are unfortunately very sensitive to the intermodulation distortion (IMD) that results from nonlinearities in the RF transmitter chain, mainly due to the PA nonlinear behavior. This implies that for having linear amplification, significant backoff (BO) levels of operation are required, thus penalizing power efficiency in the PA. For example, in the cellular telephony context, PAs have to support some of the code division multiple access (CDMA) family [CDMA2000, evolution data optimized (EVDO), W-CDMA, long-term evolution (LTE)] of wireless standards exhibiting typical PAPR figures around 10 dB. In a broadband access context, communications standards such as IEEE 802.11a, DVB-T, or the IEEE 802.16 consider the use of orthogonal frequency division multiplexing (OFDM) signals presenting even higher PAPRs (up to 14 dB) and bandwidths up to 20 MHz or wider. Furthermore, in base stations, the PA has to handle a composite RF signal resulting from the sum of several independent modulated carriers. The wider bandwidths and increased PAPR figures aggravate the linearity versus efficiency problem. A recognized solution to avoid the power inefficient BO operation is the use of PA linearizers. Among linearizers, digital predistortion (DPD) is on its way of becoming one of the most important linearization techniques due to the availability of faster digital signal processing (DSP) hardware, replacing feedforward as the mainstream technique in commercially available base-station products. Manufacturers of chipsets (PMC-Sierra, Xilinx Inc., Altera), PA rack systems (Andrew, Powerwave), and base stations (Lucent-Alcatel, Ericsson) propose different types of DPD solutions. Besides the efficiency problem, coping with high-speed envelope signals makes designers reconsider the degradation suffered from PA memory effects since their impact is more relevant as the signal bandwidth increases. Actually, due to PA dynamics, the amplified signal not only depends on the input signal at the same time instant, but also on the history of the input–output signals as well. Therefore, PA memory effects have to also be taken into account when designing linearizers. DPD has been the subject of multiple publications in that memory compensation area [3]–[10], demonstrating the effectiveness of a variety of approaches to counteract both memory effects and nonlinear behavior of the RF PA. However, little attention has been drawn to practical implementations of such systems [11]–[17]. This study aims to contribute to that field by

0018-9480/$25.00 © 2008 IEEE

GILABERT et al.: MULTI-LUT FPGA IMPLEMENTATION OF ADAPTIVE DPD

focusing on topics uncovered in previously published demonstrators based on laboratory setups with vector signal generators/analyzers in its core and delayed offline data processing. There, some questions regarding DPD application prototyping have remained unexposed, such as follows: • implementation: suitable real-time architectures, practical implementations, and DPD complexity dependence versus the memory effects time span; • efficiency: power consumption of the DPD itself and its impact on the transmitter efficiency; • DPD adaptation: memory effects dependence on the specific signal, and DPD ability to maintain linearity performances through signal changes in multicarrier and variable bandwidth systems. This paper addresses those issues through experimentation with a field-programmable gate-array (FPGA)-based DPD. For the first time, to the best of authors’ knowledge, a DPD based on a nonlinear auto-regressive moving average (NARMA) architecture [18] is experimentally validated. The two distinctive characteristics of this NARMA-based DPD are its straightforward deduction from the NARMA PA model, and its nonlinear recursive structure aimed at relaxing the number of coefficients required to reproduce PA dynamics. Moreover, this study investigates the possibility to enhance the overall efficiency by degrading the PA operation mode, assuming that the DPD is unavoidable due to the unwanted impact of memory effects. Experimental results on PA and DPD power consumption and linearity enhancement will be presented. Therefore, this paper is organized as follows. Section II introduces DPD linearization issues related to PA memory effects and its most remarkable influences. In Section III, we propose a multiple lookup table (LUT) architecture that is based in the NARMA DPD described in [18]. This multi-LUT architecture can be mapped in an FPGA device. Practical issues regarding this LUT-based architecture, such as LUT value filling, access, and addressing, are discussed in this section. Section IV describes the experimental setup and procedures deployed to validate the proposed NARMA DPD. An insight on the PA model estimation by means of the least squares (LS) algorithm to adapt the DPD function is provided as well. In Section V, experimental results of the proposed NARMA DPD are provided. Furthermore, this section also discusses underlying practical topics such as the DPD power consumption, adaptation stability, and reliability. Section VI extends the contents of the preceding section by focusing on the impact on system performances of degrading the PA operating point, focusing on the overall efficiency. The implications of using a DPD to further counteract this more efficient, but less linear, degraded PA behavior are, therefore, investigated. Finally, in Section VII, conclusions are given. II. PROBLEM STATEMENT The DPD sensitivity to memory effects becomes a problem when trying to cancel distortion in wideband signals because it reduces linearization performance [19]. The most common sources of memory effects recognized in the literature are due to electrical and thermal dispersion effects. In addition, other

373

authors also take into account trapping effects and impact ionization as potential sources [20]. Traditionally, memory effects have been observed in the frequency domain as an asymmetry between the IMD products using a low PAPR two-tone test. However, in a realistic scenario that considers signals presenting a high PAPR, the impact of IMD products and hard nonlinearities decreases. Since the peak probability is low, the PA operates in its linear region most of the time. As a consequence, its dynamics mainly manifest themselves as unwanted in-band distortion. In such a case, memory effects can be better observed in the time domain (e.g., in-phase and quadrature (I/Q) wave signals, constellation trajectories, decision points at demodulation) than in the frequency domain. As it turns out, in-band distortion cannot be equalized by memoryless DPD unless some kind of filtering is considered together with the nonlinear compensation. In order to cancel or minimize memory effects, the envelope filtering technique [19] is considered in this paper over other techniques such as impedance optimization [21] and envelope injection [22]. The principle of the envelope filtering technique consists of reproducing (in the predistorter) the inverse of the memory effects that are generated inside the PA, by means of filtering and phase shifting the envelope signal at baseband. Besides, the predistorter has to compensate the PA nonlinear behavior as well. This technique can be readily transposed into a DPD system in order to deal with current challenges regarding PA nonlinearities and memory effects compensation within the transmitter chain. To do so, it is first necessary to identify a behavioral model capable of reproducing PA nonlinear dynamics. Later, from this behavioral model, it is possible to derive a suitable predistorter that takes into account the envelope filtering technique. This model has to satisfy three main constraints to be considered for DPD purposes. First, it has to be accurate in terms of memory effects reproduction. Second, it has to render a DPD implementation without an excessive computational cost. Finally, it has to be easy to extract and be invertible to facilitate the deduction of the predistortion function. As a general introduction to the linearization architecture presented in this paper, Fig. 1 shows a general block diagram of a digital baseband predistorter system. DPD is performed in an FPGA. The offline adaptation process consists of periodically updating the suitable predistortion function from which the LUT contents are deduced. The adaptation is carried out by a PC. Alternatively, it is possible to use a DSP board. As long as the PA characteristic drifts are slow, the LUT update frequency is relaxed, and so is the hardware and computing constraints related to the adaptation procedures. III. NARMA-BASED PREDICTIVE PREDISTORTER A. Description of the DPD Function By considering memory effects as secondary effects (despite their importance regarding linear distortion) with respect to a memoryless nonlinear behavior, it is possible to consider that the individual signal pulses propagate nonlinearly in time, but tend to sum up linearly [23]. For that reason, we have considered

374

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 1. Simplified block diagram of a digital baseband predistortion configuration within a transmitter.

Therefore, the BPCs are the fundamental building blocks of the DPD, as is shown in Fig. 3. The predistortion function stated in terms of combinations of BPCs can be expressed as (1) where

is defined as

Fig. 2. BPC for FPGA implementation [11]. The LUT is filled through a DATA and ADDRESS (ADDR) bus controlled by the chip select (CS) and write enable (WE) signals.

a NARMA model [24] to reproduce and later counteract shortterm PA memory effects. The advantage of using a NARMA model is the introduction of a nonlinear feedback path (infinite impulse response (IIR) terms) that may permit relaxing the number of delayed samples considered to model the PA, in comparison to a model using only finite impulse response (FIR) terms. However, one of the main weaknesses of the NARMA model is its stability since the use of nonlinear feedback paths can result in overall system instability. Therefore, in order to guarantee the stability of a NARMA model, a stability test based in small gain theory is presented in [24]. To determine the stability of nonlinear systems, it is necessary to ensure that recursive nonlinear functions are bounded by some kind of norm. Further details on the small gain theory for nonlinear systems can be found in [25]. The predictive DPD based in a NARMA structure is described in [18], where DPD is carried out at baseband by adaptively forcing the PA to behave as a linear device. The predistortion function can be stated in terms of basic predistortion cells (BPCs). A BPC requires simple hardware blocks: a complex multiplier, a dual-port RAM memory block acting as the LUT, an address calculator, and two control ports: write enable (WE) and chip select (CS), as is shown in Fig. 2.

(2)

where (for both and ) are complex gains stored in is the output of the DPD, and their corresponding LUT, is the desired output defined as the signal to be transmultiplied by a linear amplification mitted (3) are the most significant sparse deIn addition, and lays of the DPD input and output, respectively, that contribute at the description of the PA memory effects. The identification of these optimal delays and the definition of the minimum necessary memory length to model PA memory effects are discussed in [26]. More recently, heuristic search algorithms such as the simulated annealing or genetic algorithms have also been considered for these purposes. The use of the simulated annealing heuristic search algorithm has shown significant advantages (memory length reduction and better reliability) in comparison to the use of simple consecutive delays to model PA dynamics [27]. B. LUT Spacing How to organize the LUT spacing has been an interesting topic of discussion for several years [28]–[30] since a uniform or nonuniform spacing of the LUT is closely related to the

GILABERT et al.: MULTI-LUT FPGA IMPLEMENTATION OF ADAPTIVE DPD

375

IV. EXPERIMENTAL SETUP A. Baseband Setup

Fig. 3. Predictive digital predistorter stated in terms of BPCs [18].

linearization performance achieved by DPD linearizers. The so-called companding function is responsible for deriving the spacing of the input levels in the LUT. It performs a processing of input data for pointing the LUT in different resolution ranges. The most common companding functions reported in literature are amplitude, power, -law, Cavers optimum companding function, and a more simplified sub-optimum companding function presented in [30]. The best linearity performance recognized in the literature is achieved with Cavers optimum companding function [29]. However, its computational complexity and its dependence on signal’s probability density function make it less suitable in our generic approach. Amplitude spacing, also referred as uniform spacing, provides good enough results in comparison to the optimal companding function with reduced complexity. Nevertheless, the square root operation is still necessary to compute the address when using the amplitude companding function. This operation can take several clock cycles to execute in an FPGA, adding undesired latencies. This may not be of major concern in nonrecursive DPD structures because sub-block latencies can be compensated in the parallel-related data paths by explicit delays, and they translate directly as a system input-to-output delay. However, in the proposed recursive DPD implementation, address computation latencies act as a bottleneck, limiting the minimum delay value of the recursive part of our NARMA-based DPD. That is, the minimum value in LUT IIR 1 (see Fig. 3) is conditioned by latencies in of previous stages of the DPD. Referring to Fig. 3, the latency and , adds to the in sampling periods between latency to compute the address of in the recursive . data path, thus imposing a minimum value For that reason, the addressing in the proposed implementation is simply performed based on the power of the input complex signal. To properly fill the LUTs in that power addressing have to be obtained from case, a new set of coefficients . Supposing that each LUT has entries, the th entry for LUT is obtained as the corresponding (4) with

.

The considered transmission bandwidths make the use of a single DSP device for the implementation of the DPD/adaptation procedures difficult. In practice, it is more suitable to consider a mixed DSP/FPGA architecture. In [16], to allow a high data throughput, the FPGA is in charge of the real-time DPD processing at the actual sample rate, whereas the DSP performs more complex (algorithmic) and less time-constrained functions such as the adaptation process for DPD parameter update. To enhance flexibility during the prototyping procedures, the DSP device has been replaced by a host PC in which MATLAB is in charge of the adaptation, as it is schematically depicted in Fig. 1. The FPGA is a Xilinx Virtex-IV XC4VSX35 with the developed DPD core in charge of predistortion running at 105 MHz. An overview on the Virtex-IV family specifications can be found in [31]. The linearization process is open loop controlled and works separately from the adaptation process. A feedback loop from the PA output towards the FPGA (through the demodulator and A/D converters) is also included to capture the necessary data to enable the adaptation process. In the proposed implementation, the FPGA provides the external host with buffers of predistorted and amplified output data of 2048 I/Q samples each. The host PC identifies the NARMA model and, by means of the predicare computed tive DPD function, the complex gains and fed into the FPGA in the BPC convenient LUT form. The digital-to-analog (D/A) and analog-to-digital (A/D) converters handle 14-bit data, at 105 Ms/s as well, covering a bandwidth of 52.5 MHz at baseband. Maximum allowed signal bandwidth for third-order intermodulation distortion (IMD3) coverage is thus 35 MHz, whereas for full fifth-order intermodulation distortion (IMD5), coverage is 11.6 MHz. B. RF Setup Several tests have been performed indistinctly with different types of modulated signals presenting different bandwidths. The objective consisted of verifying, on the one hand, the dependence of the DPD function on the specific signal and, on the other hand, its reliability in front of possible changes of the RF input signal. Typically, signals used in this experiment have been in the range 5–20 MHz of bandwidth and 5–10 dB of PAPR, aiming to emulate the statistical properties of different representative scenarios (e.g., one- and two-carrier W-CDMA, single-carrier DVB-T, and WiMAX). In all cases, random filtered baseband data is generated in the host PC and transferred into the FPGA where the real-time DPD function takes place before D/A conversion, up-conversion, and amplification. The RF chain under study in this work uses as final stage a 170-W peak-power PA based on the Freescale MRF7S21170H MOSFET transistor. A medium-power PA based on the MRF21010 transistor (10-W peak power), acting as a linear driver, precedes the main output amplifier. Before the insertion of the PAs in the transmitter chain, a prior set of measurements and a calibration procedure to eliminate dc offsets originated by the I/Q demodulator is performed. By ensuring that no significant degradation is added by components

376

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Once we have estimated the complex coefficients defining and nonlinear functions of the NARMA model (see [24] for further details) analogously, it is possible to extract the vector . of complex coefficients defining D. PAPR Problem: Adaptation Policy

Fig. 4. Experimental test bench.

in the feedback path, the imperfections in the forward path, up to the PA output, can be tackled by the DPD. The entire experimental setup, including the baseband processing part and the RF chain, is depicted in Fig. 4. C. PA Model Extraction/DPD Adaptation Procedure The extraction, in the host PC, of the NARMA PA model is necessary to perform the update of the LUTs defining the dynamic predistortion function [18]. Nonlinear functions and in (2) are expressed here by polynomials. Their identification is performed using the LS algorithm. The LS takes advantage of the use of complex data buffers of 2048 samples. Other algorithms, such as the least mean square (LMS), recursive least square (RLS), or fast Kalman [32], [33] are more oriented at minimizing the identification error sample-per-sample or considering a forgetting factor. , the data vector at the DPD output (PA input), Considering , the corresponding time-aligned data vector of the PA and output (and normalized by the linear PA gain to allow signals comparison), both vectors of samples length, we define (5) (6) The input–output relation of an NARMA PA behavioral model can then be expressed in a matrix notation as (7) where and . The LS solution for (7) is (8) where superindex

denotes complex conjugate transpose.

In Section IV-C, we have formalized the LS procedure to derive, from the PA input–output data samples, the polynomial functions that model the PA. These polynomial functions are later directly mapped into the BPC-LUTs to achieve the suitable DPD operation [18]. However, as a consequence of the high PAPR of current signals, the peak probability is low and it is difficult to get knowledge of the PA characteristic at high amplitudes. For instance, if the data used to extract the polynomial coefficients does not cover all PA dynamic range, but only a certain low-input region, the LS estimation is underdetermined. That means that there is no reliable way to ensure that the PA behavior described by the polynomials is accurate beyond that low-input range. Clearly, this may result in nonreliable DPD operation as soon as the input signal gets to amplitudes beyond the well-estimated PA regions. This implies that the BPC-LUT values obtained in such a case are not trustworthy. Therefore, the PA model estimation during the adaptation/update procedures has to be somehow re-engineered. To avoid uncertainties, we performed a selective adaptation procedure in which only data buffers presenting input PA values above a certain power threshold were taken into account to perform the adaptation. Otherwise, data buffers were rejected and a new set of data buffers were recorded. In such a way, the PA model functions are estimated when the stimuli are complete enough in the sense that they cover a wide part of the PA dynamic range, thereby reducing the uncertainty and resulting in a reliable DPD operation. It is possible to dynamically adjust the threshold to tradeoff between accuracy and adaptation rate. A low threshold lowers the chances of data buffer rejection, but at the risk of under determination. Inversely, an excessive value for the threshold will result in a high buffer rejection rate, postponing the LUT update. A more detailed explanation on the adaptation policy will be provided in Section V-C. E. Assessment Metrics and Definitions In our experiments, we continuously compare transmitter performances with and without DPD. When DPD is performed, we distinguish between memoryless DPD, when just one BPC is active, and memory compensation DPD, when several BPCs are active. In the latter case, we further specify whether nonrecursive BPC (BPC-FIR) or recursive BPC (BPC-IIR) are used. In concrete, when nonrecursive BPCs are used, they are denoted as “ ” BPC-FIR, with the “ ” being the number of nonrecursive LUTs used (ranging from 1 to , see Fig. 3). On the other hand, when recursive BPCs are used, they are noted as “ ” BPC-IIR, with “N” being the number of recursive BPCs used (ranging from 1 to , see Fig. 3). In the following, additional metrics and the criteria used are described. The main metric to check the transmitted signal fidelity in the time domain is the error vector magnitude (EVM), defined as

GILABERT et al.: MULTI-LUT FPGA IMPLEMENTATION OF ADAPTIVE DPD

377

follows in (9). The unmodulated (unfiltered) raw error between the baseband waveforms is computed taking into account all the available data within the 2048 samples I/Q data buffers

(9) and being the I/Q components of the referwith and being ence baseband signal to transmit, and the I/Q components of the baseband PA output after downconversion. When DPD is not active, we rather use the most suitable , linear transformation of (10) which pre-compensates gain mismatches and phase offsets associated to closed-loop misalignments and, thus, minimizes the is expected to connumerator in (9). When DPD is active, verge to , and no further prearrangement is necessary. In the frequency domain, signal fidelity is observed as spectral regrowth on both sides of the RF carrier signal. When it applies, the single carrier 3GPP W-CDMA forward link ACPR conformance test [34] has been used; whereas in the remaining scenarios under test, direct spectrum inspection provided a measure of spectral regrowth as a framework of comparison. To fairly assess the benefits of DPD, the PA output power must be the same among the considered scenarios under comparison. In the following measurements, a power meter ensures that comparisons are established between equal mean power signals. Furthermore, the power measurement, together with the dc power consumption, which is directly obtained from the measurement of the supply current, easily provides a reliable mean to compute the PA drain efficiency. To provide an insight into the contribution of DPD to the overall efficiency, the DPD power consumption has been considered as well. However, for these efficiency computations, PA bias voltages and currents are not taken into account. V. EXPERIMENTAL RESULTS Here we intend to assess the performances of the described predictive digital predistorter and the implemented FPGA architecture through experimental verification on the basis of the experimental setup and procedures stated above. A. General Testing A first set of measurements was performed without focusing on a particular transmission standard with the intention to evaluate the PA main unwanted effects and different DPD configurations. Fig. 5 shows the transmitted spectra of a 20-MHz bandwidth signal with 10 dB of PAPR and a mean output power of 12 W for the following cases: without any DPD, with memoryless DPD (one BPC), and with memory compensation (memoryless BPC two BPC-FIRs). The benefits of using DPD are shown in terms of out-of-band distortion reduction.

Fig. 5. Power spectra of a 20-MHz bandwidth signal with 10-dB PAPR and 12-W mean power for: (i) PA without DPD, (ii) memoryless DPD with only one BPC, and (iii) dynamic DPD with three BPCs.

Fig. 6. AM–AM characteristics for: (i) PA without DPD, (ii) memoryless DPD with only one BPC, and (iii) dynamic DPD with three BPCs.

In the time domain, the AM/AM characteristic provides additional information on the DPD operation, as is shown in Fig. 6. It reveals a linearized AM/AM characteristic when DPD is applied, and moreover, dispersion is reduced when memory effects are compensated using three BPCs. This dispersion compensation in the AM–AM characteristic is directly translated in the EVM metric, as shown in Fig. 7, where a significant amount of EVM reduction is achieved. Specifically, in Fig. 7, the amplified signal constellation presents an EVM of 12%, which is slightly reduced when applying memoryless DPD compensa, and halved when applying DPD taking into tion account memory effects compensation, and thus achieving an EVM of 4%. Note that the unlinearized AM–AM characteristic in Fig. 6 exhibits higher gain than the DPD linearized characteristic, although the peak amplitude levels with and without DPD meet at the PA saturation point. Linear amplification with DPD can only be achieved up to saturation since no further correction is possible beyond that compression point. Therefore, the maximum available linear gain for the DPD PA chain has been experimentally tuned to be the ratio between the maximum PA output power and the

378

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 7. Memory effects manifestation in the 16-QAM constellation for: (i) PA without DPD, (ii) memoryless DPD with only one BPC, and (iii) dynamic DPD with three BPCs.

corresponding PA input power level dB

dB

dB

(11)

This reasoning is graphically shown in Fig. 8, where, despite that the overall gain is reduced with regard to the nominal PA , , DPD allows linear amplification up to gain the PA saturation point, while the mean output power is maintained since the histogram of the PA input signal is reshaped. Following this criterion, to perform fair comparisons between signals, ensuring that the mean output power is the same with and without DPD, one has to apply the following input backoff (IBO) to the unlinearized signal dB

dB

dB

G

Fig. 8. Effects of choosing a proper linear gain (

) for the DPD.

(12)

This criterion has been respected in all results shown in this paper (except for illustration purposes in Fig. 6),thus avoiding any kind of makeup coming from a less unlinearized backed-off operation to exaggerate the actual DPD linearization performance. Until now, we have shown how memoryless DPD fails to deliver appropriate levels of signal fidelity at the transmitter antenna because it is unable to properly compensate PA linear distortion. This has been mainly evidenced in terms of EVM, but also in terms of out-of-band distortion. Indeed, linearization performance was improved by including means to compensate memory effects [i.e., additional BPCs in our NARMA-based DPD (see Fig. 3)]. However, we have deliberately avoided focusing on the recursive BPC arrangements since this topic is developed in the following. Assuming that memoryless DPD is insufficient, we now compare the linearization performance achieved when considering recursive and nonrecursive NARMA DPD arrangements. The following three configurations were confronted: 1) two BPC-FIRs; 2) three BPC-FIRs; 3) two BCP-FIRs one BPC-IIR.

Fig. 9. Linearized output spectra of a wideband noisy signal considering: (i) two FIRs (three BPCs); (ii) three FIRs (four BPCs), and (iii) two FIRs + one IIR (four BPCs).

All considered configurations yield similar EVM figures (4%), but slight differences in the adjacent channel power ratio (ACPR) improvement. Fig. 9 shows the linearized power spectra of a 10-MHz filtered noisy signal (with a high PAPR aimed at statistically emulating a two-carrier W-CDMA scenario) when considering the aforementioned NARMA DPD configurations. As can be observed in Fig. 9, the best ACPR is obtained by taking advantage of the recursive operation of the one BPC-IIR). During our NARMA DPD (two BPC-FIRs

GILABERT et al.: MULTI-LUT FPGA IMPLEMENTATION OF ADAPTIVE DPD

379

Fig. 10. WiMAX variable bandwidth and DPD reliability against signal bandwidth changes (20 MHz–12 MHz–8 MHz) for: (a) memoryless DPD and (b) DPD with two FIRs one IIR (four BPCs).

+

experiments, we found that, to ensure a reliable DPD performance, it is important to identify the BPC-LUT contents using a wideband signal capable of exciting the maximum number of memory states of the PA [32]. The use of a spectrally rich signal to train the DPD enables the maintenance of linearity performances when a signal, with a narrower bandwidth than the first, is applied later. In such a case, no additional training of the DPD is required and, thus, we obtain the desired independence on the specific signal applied [35]. This is an important feature to be taken into account in variable bandwidth transmission schemes such as WiMAX and other multicarrier configurations, where the signal statistics in terms of PAPR and bandwidth may not be known a priori. This is experimentally highlighted in Fig. 10, showing the linearized power spectra of different RF signals and with different signal bandwidths: 20 MHz–12 MHz–8 MHz for both memoryless DPD and DPD with recursive memory compensation, respectively. The DPD has been trained using the wider bandwidth signal (20 MHz) and this permits a robust DPD functioning with narrower signal bandwidths as is shown in Fig. 10. Moreover, again, a better performance in ACPR reduction can be observed by using memory compensation in DPD (two FIRs one IIR four BPCs) than using a simple memoryless DPD, even without training between signal changes. Experimental results also show that if adaptation is performed with the reduced bandwidth signal, DPD performances are degraded when a wider signal is applied and, thus, further adaptation will be required. B. Single Carrier W-CDMA Signal Test To summarize the experimental results, we have considered here the linearization of a single-carrier W-CDMA signal. For that purpose, we have first estimated the LUT contents of the DPD with a 10-MHz noisy wideband signal, as in Fig. 9, and thus, for different BPC arrangements, i.e., memoryless DPD, one two BPC-FIRs, three BPC-FIRs, and two BPC-FIRs BPC-IIR. Once the DPD has been trained for each considered

TABLE I 1 CARRIER W-CDMA: Output Power

= 40 8 dBm (12 W) :

configuration, and the corresponding LUTs have been stored into the PC memory, the adaptation procedures have been stopped. To check the linearization performance achieved when a different signal than that used for the DPD identification is fed to the PA, Table I reports the measured results obtained when applying a 5-MHz 8-dB PAPR W-CDMA signal. Results are shown in terms of ACPR and EVM for all the BPC combinations considered above. For each arrangement, the suitable BPCs are activated and properly filled with the LUT values derived during the adaptation procedure. In Table I, for the sake of equivalent power comparison, BO operation has been also considered, with an IBO defined as in (12). Fig. 11 shows the measured output power spectra for the DPD configurations previously mentioned. It clearly appears that from the EVM point of view, DPD with memory compensation is necessary to significantly reduce in-band distortion. Moreover, better ACPR reduction is achieved when considering more than two BPCs in the DPD and, among these solutions, the

380

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 11. Output spectra of a W-CDMA signal for: (1) PA without DPD, (2) memoryless DPD, (3) DPD with three FIRs, and (4) DPD with two FIRs

one combining two BPC-FIRs ACPR reduction.

+ one IIR.

one BPC-IIR exhibits the best

C. Adaptation Procedure In the LS estimation, the extracted solution at each estimation step depends only on the current data, as no information of the past state is explicitly introduced during the process. This can lead to momentary PA estimations much too dependent on the data from which the estimation has been performed, especially since the short 2048 data sample records may not be statistically representative. To avoid this, a degree of recursion is included by producing the polynomial coefficient estimate as a weighted sum between the past estimation state and the estimation resulting from the current data. This issue may not be of concern when laboratory setups are used for delayed offline DPD [4]–[7], [33], where large acquisition capabilities may allow a one-step reliable estimation without the need of recursion. The whole recursive estimation/adaptation procedure is illustrated in the flowchart shown in Fig. 12. The current estimation . represents the state is represented by the tag LS solution for [see (8)] attained at the th adaptation step, and is the recursion forgetting factor. Concurrently to the estimation, a continuous flow of data is being predistorted and transsettings from which only a small mitted with the current fraction is taken into account for estimation purposes. By performing the adaptive procedure described here, a good adaptive behavior is observed while DPD reliability is reinforced. Moreover, the system converges very fast, as is shown in Fig. 13, where the EVM evolution is tracked for each adaptation step, reaching a stationary state within 2–4 steps.

Fig. 12. Flow diagram of the DPD adaptation procedure.

The EVM, calculated from the unmodulated raw signal, of all DPD configurations taking into account memory effects present values around 4%–5%, while the memoryless DPD is not able to achieve EVM values lower than 11%.

GILABERT et al.: MULTI-LUT FPGA IMPLEMENTATION OF ADAPTIVE DPD

381

TABLE II DPD ENERGETIC COST

Fig. 13. EVM raw signal of a wideband signal for different DPD configurations.

The robustness of the DPD can be affected by possible instabilities related to its recursive part. As is explained in [24], a small gain test has to be performed in order to check the overall DPD stability. This test was performed during the preliminary PA characterization stages when identifying the optimal delays defining PA memory effects. It is necessary to ensure that nonlinear functions associated to recursive BPCs are bounded below a certain threshold that guarantees stability. D. DPD Power Consumption Here, we evaluate the DPD energetic cost measured over the presented FPGA implementation. Although the power consumption of digital circuits is strongly dependent on each particular implementation, target device (application-specific integrated circuit (ASIC) or FPGA), and technological CMOS parameters, the particular results shown here are aimed at assessing the relative DPD contribution to the overall transmitter energetic balance. In FPGA devices, power consumption contributions are static and dynamic, both dependent on the supply level, as stated by the classical CMOS power consumption approximation rule

(13) Static power consumption is due to leakage currents in the FPGA transistors, and depends mainly on consumption, due the device size only. Dynamic power to gates being switched between low and high logic states, de, which, in pends on the number of gates within the design our case, depends on the number of BPCs. For each gate, con, clock frequency sumption depends on its activity profile , and load capacitance . In our measurements, a transition profile for the involved DPD signal vectors has been considered. Accidentally, because the nonlinear functions are mapped into the BPC LUTs, DPD consumption does not depend on the polynomial degree of the PA estimator, but on the number of BPCs.

The following results on DPD power consumption have been obtained with Xilinx Inc.’s Xpower utility. In a first attempt, the measurements are performed over the placed and routed design of the DPD core only, and do not include the remaining non-DPD-related logic included in the FPGA device (mainly devoted to communications and data exchange with the PC). The DPD core power consumption depends on the DPD clock and the number of BPCs. At 105-MHz DPD clock frequency, an increase of 36 mW per BPC is reported in [11], whereas at 50 MHz, the ratio is 21 mW per BPC. Note that increasing the BPC count results in a relative low power increase when the one-BPC case is taken as a reference. This is due to the different supply domains within the FPGA device [31]. Most of the computing intensive DPD logic is placed in low supply is low, thus internal banks (1.2 V), where furthermore having little contribution to dynamic consumption in (13). On the contrary, most of the power consumption is dominated by a few signals switching in and out of the DPD core, mainly the I and Q predistorted data vectors feeding the D/A converters because of the higher supply (3.3 V) and load capacitances. To provide a qualitative framework of the overall DPD energetic cost, Table II reports the main contributions to power consumption in the proposed DPD design. Clearly, the adaptive functionalities are the main sources of power consumption: A/D converters, non-DPD-related FPGA logic, and the adaptation algorithm executing in a PC or DSP. Nevertheless, it is possible to reasonably neglect its contribution during regular DPD operation when for most of the time no adaptation has to be performed and, hence, only the DPD-related FPGA logic is then active. Another contribution not shown in Table II may be considered since the predistorted signal bandwidth exceeds that of the original signal. The higher sampling rates required in the D/A converters increase their power consumption. Nevertheless, that contribution is worthy because a system without DPD would exhibit a much worse overall efficiency than a system with DPD if linearity has to be guaranteed (see Table III).

382

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE III ONE-CARRIER W-CDMA: LINEARITY VERSUS EFFICIENCY

Power consumption of the DPD circuitry has been obviated since it is comparably small compared to PA consumption.

To recapitulate, the DPD energetic cost can be perceived as almost negligible in high power applications where the PA power capabilities exceed tenths of watts, as is the case in the presented studies. In view of this and given the fact that DPD may be unavoidable to counteract memory effects, one can consider degrading the PA operation point in order to increase its efficiency. The consequent lack of linearity will be compensated by the DPD. VI. DPD AS ENABLER TO IMPROVE PA EFFICIENCY DPD linearization techniques are widely recognized as enablers of PA efficiency. By extending the usable dynamic range of a PA in a linear manner (up to its compression point), DPD implicitly contributes to efficiency by avoiding the use of an oversized, more backed off, less efficient, and alternative PA device to produce the desired linear output power. This reasoning is illustrated in Table III, presenting the measured linearity and efficiency figures when amplifying a single W-CDMA carrier with and without DPD for the same experimental setup as noted in the above sections. It is possible to observe that the PA delivering a certain amount of RF power (42 dBm) without linearization consumes less than the DPD linearized PA delivering the same RF output power. Although this result may seem contradictory since the nonlinearized PA appears to be more efficient than the linearized DPD, the ACPR figures show how this misleading efficiency improvement is obtained at the price of having poorer linearity, and thus, no comparison can be established. Therefore, if we consider the compliance with certain standardized levels of ACPR (e.g., 44 dB) as a reference for comparison, it is clearly noticed how the PA without linearization has to operate with significant BO, dramatically reducing its efficiency. Moreover, its output power capabilities are reduced by a factor of approximately 3 (5 dB). Besides, there is another common way in which DPD is explicitly used as an efficiency enabler: varying the overall linear (see Fig. 8) and assuming that certain level of signal gain clipping can be tolerated. That is, considering a signal for which the peak power is rarely reached, it is possible to increase the , and thus, the output power and effioverall linear gain ciency. This will result in having linear amplification until compression, and on the rare signal peak occurrences in which the

Fig. 14. AM/AM characteristics of PA operating in class-B-like mode.

PA is saturated, the energy contribution to the average power spectral density will be negligible as long as the clipping probability is kept small. In the following, we address the possibility to exploit DPD as an efficiency enabler. Given the fact that DPD is recommendable, at least to counteract memory effects in the time domain, it may seem reasonable to think of adjusting the PA quiescent point in order to increase its efficiency; e.g., to turn a class-AB PA toward class-B-like operation, and then let the DPD compensate for the linearity degradation originated when changing the quiescent point. As depicted in Fig. 14 (top), the AM–AM characteristic of the PA presents an added nonlinear distortion related to crossover distortion, superposed to the dispersion originated by memory effects that cannot be corrected for with a memoryless DPD strategy. However, the NARMA-DPD with six BPCs is capable of linearizing the crossover characteristic and reducing the scattering present in the AM–AM characteristic as well [see Fig. 14 (bottom)]. As expected, in the class-B operation mode, the PA is less power consuming. Therefore, for a given output power level (i.e., 40.5 dBm) and by means of the DPD, it is possible to achieve the same linearity level dB provided by the PA in class-A mode of operation at the time that efficiency is improved, as is depicted in Fig. 15. Clearly, this quiescent point manipulation is limited by the progressive maximum output power drop as the quiescent point moves towards class-B operation. Nevertheless, the study presented here shows how DPD can successfully counteract the excess of nonlinearity, suggesting that DPD can be coupled to variable biasing strategies to boost the PA efficiency, e.g., during

GILABERT et al.: MULTI-LUT FPGA IMPLEMENTATION OF ADAPTIVE DPD

383

ACKNOWLEDGMENT The authors would like to thank Freescale Semiconductor, Toulouse, France, for the donation of the PAs used in this study, and especially F. Fernez, Freescale Semiconductor, for his support, useful advising, and enriching discussions.

Fig. 15. Measured power consumptions and efficiency for both class-A-like and class-B-like PA modes of operation with DPD.

periods where the maximum nominal output power is not solicited. From the DPD point of view, this could be simply performed by downloading into the BPC–LUTs the appropriate gain values corresponding to each particular bias point, and when appropriate, switching on/off BPCs to satisfy the desired memory effects’ compensation span. VII. CONCLUSION This paper has presented an experimental validation of the NARMA-based DPD using a reconfigurable FPGA board. The experimental results have shown the linearization capabilities of the proposed NARMA-based DPD over a wide range of signal bandwidths and independently of the modulated signal used; highlighting the potential of the proposed recursive DPD architecture over the more usual nonrecursive DPD approaches. Practical design issues and real-time DPD hardware implementation topics have been also tackled. Among them, this paper has proposed the concept of scalable FPGA DPD implementation by replication of BPCs, as well as an iterative adaptation process for signals with high PAPR and limited data recording capabilities. Indeed, it has been shown how the training of the DPD with a spectrally rich wideband signal provides stability and reliability despite the specific signal to be predistorted during regular operation. This study has also focused on the study of the power consumption of the DPD implementation, concluding that the DPD contribution to the overall efficiency may be negligible in front of the PA consumption and that of the devices deployed for adaptation purposes. Finally, considering that the inclusion of the DPD is necessary to provide transmitted signal fidelity against memory effects, we have explored the possibility of biasing the PA in a power-efficient quiescent point, showing how the added nonlinearity resulting from that power efficient polarization can be compensated by the DPD, therefore improving the overall efficiency at no extra cost.

REFERENCES [1] P. Alinikula, “Multiradio yields challenges for mobile phones,” Microw. J., vol. 48, no. 7, pp. 22–30, Jul. 2005. [2] S. C. Cripps, “RF power 2005,” Microw. J., vol. 48, no. 4, pp. 22–36, Apr. 2005. [3] R. Marsalek, P. Jardin, and G. Baudoin, “From post-distortion to predistortion for power amplifiers linearization,” IEEE Commun. Lett., vol. 7, no. 7, pp. 308–310, Jul. 2003. [4] W.-J. Kim, K.-J. Cho, S. P. Stapleton, and J.-H. Kim, “Piecewise pre-equalized linearization of the wireless transmitter with a Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3469–3478, Sep. 2006. [5] L. Ding, G. T. Zhou, D. R. Morgan, M. Zhengxiang, J. S. Kenney, K. Jaehyeong, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [6] K.-J. Cho, W.-J. Kim, J.-H. Kim, and S. P. Stapleton, “Linearity optimization of a high power Doherty amplifier based on post-distortion compensation,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 748–750, Nov. 2005. [7] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Augmented Hammerstein predistorter for linearization of broadband wireless transmitters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 1340–1349, Jun. 2006. [8] Z. He, J. Ge, S. Geng, and G. Wang, “An improved look-up table predistortion technique for HPA with memory effects in OFDM systems,” IEEE Trans. Broadcast., vol. 52, no. 3, pp. 87–91, Mar. 2006. [9] A. Zhu and T. J. Brazil, “An adaptive Volterra predistorter for the linearization of RF high power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, vol. 1, pp. 461–464. [10] W. J. Kim, S. P. Stapleton, J. H. Kim, and C. Edelman, “Digital predistortion linearizers wireless power amplifiers,” IEEE Micro, vol. 6, pp. 54–61, Sep. 2005. [11] A. Cesari, P. L. Gilabert, E. Bertran, G. Montoro, and J. M. Dilhac, “A FPGA based digital predistorter for RF Power amplifiers with memory effects,” in Proc. Int. Eur. Microw. Circuits Conf., Munich, Germany, Oct. 2007, pp. 135–138. [12] A. Cesari, J. M. Dilhac, P. L. Gilabert, G. Montoro, and E. Bertran, “A FPGA-based platform for fast prototyping of RF PA predistortion linearizers,” in Proc. IEEE Top. Power Amplifiers for Wireless Commun. Symp., Long Beach, CA, Jan. 2007, 2 pp. [13] S. K. M. Chaillot, D. Roblin, P. Wenhua, and D. S. J. Doo, “Volterra characterization and predistortion linearization of multi-carrier power amplifiers,” in ARFTG Microw. Meas. Conf., Dec. 2004, pp. 65–73. [14] M. Helaoui, S. Boumaiza, A. Ghazel, and F. M. Ghannouchi, “Power and efficiency enhancement of 3G multicarrier amplifiers using digital signal processing with experimental validation,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 1396–1404, Jun. 2006. [15] L. de Souza Ribeiro, J. de Souza Lima, and M. Silveira, “An original experimental technique for the implementation of AM/AM digital predistortion using FPGA electronic architecture,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, vol. 4, 4 pp. [16] H. Ben Nasr, S. Boumaiza, M. Helaoui, A. Ghazel, and F. M. Ghannouchi, “On the critical issues of DSP/FPGA mixed digital predistorter implementation,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, vol. 5, 4 pp. [17] M. J. Franco, “Wideband digital predistortion linearization of radio frequency power amplifiers with memory,” Ph.D. dissertation, Dept. Elect. Comput. Eng., Drexel Univ., Philadelphia, PA, Apr. 2005. [18] G. Montoro, P. L. Gilabert, E. Bertran, A. Cesari, and D. D. Silveira, “A new digital predictive predistorter for behavioral power amplifier linearization,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 448–450, Jun. 2007. [19] J. Vuolevi, Distortion in RF Power Amplifiers. Norwood, MA: Artech House, 2003. [20] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Deembedding static nonlinearities and accurately identifying and modeling memory effects in wideband RF transmitters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3578–3587, Nov. 2005.

384

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[21] J. Vuolevi, T. Rahkonen, and J. Manninen, “Measurement technique for characterizing the memory effects in RF power amplifiers,” in IEEE Radio Wireless Conf., Denver, CO, Sep. 2000, pp. 195–198. [22] J. Vuolevi, J. Manninen, and T. Rahkonen, “Memory effects compensation in RF power amplifiers using envelope injection technique,” in IEEE Radio Wireless Conf., Waltham, MA, Aug. 2001, pp. 257–260. [23] A. Soury, E. Ngoya, and J. M. Nebus, “A new behavioral model taking into account nonlinear memory effects and transient behaviors in wideband SSPAs,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 853–856. [24] P. L. Gilabert, G. Montoro, and A. Cesari, “A recursive digital predistorter for linearizing RF power amplifiers with memory effects,” in Proc. Asia–Pacific Microw. Conf., Yokohama, Japan, Dec. 2006, pp. 1043–1047. [25] C. A. Desoer and M. Vidyasagar, Feedback Systems: Input–Output Properties. New York: Academic, 1975. [26] P. L. Gilabert, G. Montoro, and E. Bertran, “A methodology to model and predistort short-term memory nonlinearities in power amplifiers,” in Integrated Nonlinear Microw. Millimeter-Wave Circuits Workshop, Aveiro, Portugal, Jan. 2006, pp. 142–145. [27] P. L. Gilabert, D. D. Silveira, G. Montoro, M. E. Gadringer, and E. Bertran, “Heuristic algorithms for power amplifier behavioral modeling,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 10, pp. 715–717, Oct. 2007. [28] J. K. Cavers, “Optimum indexing in predistorting amplifier linearizers,” in Proc. IEEE Veh. Tech. Conf., Phoenix, AZ, May 1997, vol. 2, pp. 676–680. [29] J. K. Cavers, “Optimum table spacing in predistorting amplifier linearizers,” IEEE Trans. Veh. Technol., vol. 48, no. 5, pp. 1699–1705, Sep. 1999. [30] J. K. Muhonen, M. Kavehrad, and R. Krishnamoorthy, “Adaptive baseband predistortion techniques for amplifier linearization,” in 33rd Asilomar Signals, Syst., Comput. Conf., Monterey, CA, Oct. 1999, vol. 2, pp. 888–892. [31] “Virtex-4 family overview ver. 2.0,” Xilinx Inc., San Jose, CA, Jan. 23, 2007. [Online]. Available: http://direct.xilinx.com/bvdocs/publications/ds112.pdf [32] S. Haykin, Adaptive Filter Theory. Englewood Cliffs, NJ: PrenticeHall, 1991. [33] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [34] 3rd Generation Partnership Project, Tech. Specification Group, Radio Access Networks, “Base station conformance specification. radio transmission and reception (FDD),” Release 99. 3G TS 25.141 (V3.9.0). [35] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. Pere L. Gilabert was born in Vilanova i la Geltrú, Barcelona, Spain, in 1978 . He received the M.Sc. degree in telecommunication engineering from the Technical University of Catalonia (UPC), Barcelona, Spain, in 2002. He performed his M.Sc. research at the University of Rome “La Sapienza,” Rome, Italy. Since 2003, he has been with the Department of Signal Theory and Communications, UPC, where is currently an Assistant Professor. His research is focused on the study of PA linearization techniques.

Albert Cesari (S’07) was born in Barcelona, Spain, in 1978. He received the B.S. degree from the Universitat de les Illes Balears, Palma (Illes Balears), Spain, in 2000, the M.S. degree from the Technical University of Catalonia (UPC), Barcelona, Spain, in 2003, both in telecommunication engineering, and is currently working toward the Ph.D. degree at the Laboratoire d’Architecture et d’Analyse des Systèmes (LAAS)–Centre National de la Recherche Scientifique (CNRS), Université de Toulouse, Toulouse, France. His doctoral dissertation concerns linearity and efficiency improvement for RF PAs.

Gabriel Montoro was born in Barcelona, Spain. He received the M.S. degree in telecommunication engineering and Ph.D. degree from the Technical University of Catalonia (UPC), Barcelona, Spain, in 1989 and 1996, respectively. In 1991, he joined the Department of Signal Theory and Communications , UPC, where he is currently an Associate Professor. His research interests include control, consumer, and communications electronics.

Eduard Bertran (M’91–SM’02) received the Engineer and Doctor Engineer degrees in telecommunication from the Technical University of Catalonia (UPC), Barcelona, Spain, in 1979 and 1985, respectively. In 1987, he joined the Department of Signal Theory and Communications (TSC), UPC, where he is currently a Full Professor. His research interests include control, signal processing, and circuit theory.

Jean-Marie Dilhac (M’93–SM’97) received the Docteur en Electronique and Docteur d’Etat es Sciences degrees from the University of Toulouse, Toulouse, France, in 1983 and 1988, respectively. He is a Reserve Officer of the French Air Force. He is currently a Professor with the Laboratoire d’Architecture et d’Analyse des Systèmes (LAAS)–Centre National de la Recherche Scientifique (CNRS), Institut National des Sciences Appliquées, Université de Toulouse, France , where he is Head of the Department of Electrical Engineering and Computer Science. His major research field is microelectronics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

385

A New Wideband Adaptive Digital Predistortion Technique Employing Feedback Linearization Jangheon Kim, Student Member, IEEE, Young Yun Woo, Junghwan Moon, and Bumman Kim, Fellow, IEEE Abstract—We develop a new wideband digital feedback predistortion (WDFBPD) technique for modulated signals with wide bandwidths by combining digital feedback predistortion (DFBPD) linearization and memory-effect compensation techniques. For the experiments, a class-AB amplifier using an LDMOSFET with 90-W peak envelope power is employed. The proposed technique is compared with existing DFBPD and memory polynomial (MP) techniques for a 2.14-GHz forward-link WCDMA 2FA signal with 10-MHz carrier spacing. The experimental results show that the new WDFBPD technique has better linearization performance than conventional DFBPD and lower computational complexity than the MP technique. Index Terms—Memory effects, power amplifier (PA), predistortion (PD), wideband code division multiple access (WCDMA).

I. INTRODUCTION

C

URRENT wireless communication systems have progressed to transmit high data-rate signals for multimedia communications in a fast moving environment. The modulated signals of these systems vary rapidly and have high peak-to-average power ratios (PAPRs). In order to linearly amplify the signals, digital predistortion (DPD) techniques have been widely used and studied [1]–[6]. For various communication signals with wide bandwidths, such as multicarrier wideband code division multiple access (WCDMA), wireless local area network (WLAN), worldwide interoperability for microwave access (WiMAX), etc., the memory-effect compensation is an important issue of the DPD algorithm in addition to correction of power amplifier (PA) nonlinearity. The memory effects are defined as changes of the amplitude and phase in distortion components due to past signal values. To characterize these effects, two-tone signals with varying tone spacings are applied to the amplifier, which causes asymmetrical and tone-spacing-dependent intermodulation distortion (IMD). Moreover, the memory effects generate

Manuscript received July 25, 2007; revised October 31, 2007. This work was supported by the Korean Government under the Korea Science and Engineering Foundation (KOSEF) MOST Grant R01-2007-000-20377-0 and by the Center for Broadband Orthogonal Frequency Division Multiplex Mobile Access, Pohang University of Science and Technology under the Information Technology Research Center Program of the Korean Ministry of Information Technology, supervised by the Institute for Information Technology Advancement (IITA-2007-C1090-0701-0037). J. Kim, J. Moon, and B. Kim are with the Department of Electrical Engineering, Pohang University of Science and Technology, Gyeongbuk 790-784, Korea (e-mail: [email protected]; [email protected]; [email protected]). Y. Y. Woo is with the Telecommunication Research and Development Center, Samsung Electronics Company Ltd., Suwon, Gyeonggi 442-742, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914362

unbalanced spurious emissions for modulated signals [7]–[11]. For this bandwidth-dependent distortion, memoryless DPD techniques cannot properly cancel the distortion. Therefore, the predistortion (PD) algorithm has to include a memory compensation mechanism, and such algorithms have been extensively studied [12]–[18]. Recently, new DPD techniques based on feedback concepts have been introduced, and these techniques avoid the bandwidth limitation of feedback systems by employing the lookup table (LUT) concept for feedback signal estimation in the digital domain. Chung et al. [19] have presented an open-loop DPD technique using a Cartesian LUT and analog Cartesian feedback based on feedback linearization. This technique realizes a minimum of power overhead associated with linearization, a minimum of PA modeling, and no model convergence issue for portable communication units. In our group, the digital feedback predistortion (DFBPD) technique for base-station transmitters, based on feedback PD [20], has been developed [21]. The DFBPD technique has advantages such as a simple PD algorithm, fast convergence speed, accurate PD signal extraction, and better system tolerance. Due to these advantages, this technique can successfully linearize nonstandard nonlinear characteristics of Doherty and saturated amplifiers operated at the average power level [22]. It is shown that the DFBPD technique is suitable for the linearization of highly efficient and linear PA applications. Additionally, in order to provide good linearization performance for wideband signals, a memory compensation algorithm is required for the proposed techniques because feedback only linearizes the memoryless PA nonlinearity. In this paper, we propose a new wideband digital feedback predistortion (WDFBPD) technique by combining the inverse memory structure with the DFBPD structure. This technique not only maintains the advantages of the DFBPD technique, but also suppresses the memory effects. The predistorted signal produced by this algorithm uses the instantaneous nonlinear characteristics to compensate the memory effects, as well as the PA nonlinearity. For the experiments, a class-AB amplifier is fabricated using an LDMOSFET with 90-W peak envelope power (PEP). Before applying the PD algorithm, we have explored the nonlinear characteristics and memory effects for two-tone signals (up to 20-MHz tone spacing). From the experimental results, it will be demonstrated that the WDFBPD algorithm has better linearization performance than the DFBPD algorithm for a wideband signal and is more effective than the memory polynomial (MP) algorithm. II. OPERATION OF WDFBPD TECHNIQUE It is important to explore the AM/AM and AM/PM distortion of a PA in order to compensate the memory distortion effects,

0018-9480/$25.00 © 2008 IEEE

386

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 1. Simplified block diagram of proposed wideband digital feedback predistorter.

as well as the nonlinear characteristic. PAs with memory effects generate AM/AM and AM/PM scattering around the memoryless nonlinear characteristics. The memoryless DPD algorithm can only provide limited linearization performance due to this scattering. As a result, the wideband DPD algorithm should have a memory compensation structure in addition to correction for the instantaneous nonlinear characteristic. By combining the DFBPD and memory-effect compensation algorithms, we have developed a new WDFBPD technique, as shown in Fig. 1. The memory compensation part of the proposed technique consists of multiple branches connected in parallel. and an Each branch is composed of a nonlinear function impulse response . These transform the input signal into an inverse envelope memory signal, which is combined with the instantaneous input signal, high-order input signals, and past input signals. These signals are used, in addition to the LUT error signal, as shown in Fig. 1, so that the algorithm can independently compensate for both memory effects and memoryless is nonlinear characteristics. The total predistorted signal expressed as

are the coefficients of the impulse response. The parameter specifies the number of the parallel branches and is the memory length of the WDFBPD algorithm. In the memory compensation algorithm, because the signal caused by memory effects is composed of mixed signals for odd- and even-order components, as well as the fundamental, the nonlinear function should include those compensation polynomials. The firstof the impulse response is dominant, and order function the other components have small coefficient values. This algorithm can linearize memory effects using a simplified MP structure because the nonlinearity is completely compensated by the DFBPD algorithm. Fig. 2 shows a flow diagram of the WDFBPD algorithm. In the first part, the PA is modeled from its input and output measurements using the weighted polynomial modeling method [23]. In the next part, the predistorted signal, which compensates the memoryless PA nonlinearity, is constructed and using the DFBPD algorithm with the condition of , and the linearization of the amplifier is performed through several iterations [21]. In this process, the data in the LUT can be constructed as a function of the input , for the training sequence with time samples, at low speed. This algorithm can be written as follows:

(2) for iteration (3)

(1) , and are the modulated source, where inverse scattered, and LUT output signals, respectively. Here,

is the error signal and is the overall PD where system gain. In real time operation, the data is supplied very fast from the constructed LUT, and the predistorted signal is generated using the data. After this procedure, the linearized and ) are collected, and amplifier input and output data ( the AM/AM and AM/PM characteristics are identified from the data. To compensate the scattering characteristics caused by the

KIM et al.: NEW WIDEBAND ADAPTIVE DPD TECHNIQUE EMPLOYING FEEDBACK LINEARIZATION

387

where

.. .

.. .

..

.

.. .

.. .

.. .

..

.

.. .

.. .

The RLS algorithm process to solve for the coefficients is and are initialized as given as follows: (5) (6) where is a small positive constant, is a vector, identity matrix. Next, the RLS algorithm and is the is computed for time samples as (7) (8) (9) (10) (11)

Fig. 2. Flow diagram of WDFBPD algorithm.

memory effects, the coefficients of the impulse response are solved using the recursive least squares (RLS) algorithm [24]. Finally, the linearization for the memoryless nonlinear characteristics and memory effects are accomplished simultaneously by applying the total predistorted signal of (1) to the amplifier. To solve for the inverse scattering characteristic or the coefand the memoryless ficients , the modulated source data nonlinearity correction data are used. Based on these data, we define the scattering test signal , which is composed of the filtered signal components attained from the nonlinear functions and impulse responses. If the data are the training sequences , then can be written with time samples, in matrix format as follows: (4)

where is the forgetting factor (a scalar value), is the linear and , and is an matrix. gain between and are vectors. Thus, the estimation Both error is minimized by each successive iteration so that the of the impulse response are constructed and also coefficients updated. An important feature of the RLS algorithm is that the inversion of the correlation matrix is replaced at each step by a simple scalar division. III. IMPLEMENTATION AND MEASUREMENT RESULTS A. Two-Tone Test We have built a PA using the Freescale MRF5S21090 LDMOSFET with 90-W PEP in class-AB operation at A and V, and have optimized the linearity and efficiency to be as high as possible. Before applying the PD algorithm, we have explored the nonlinear characteristics and memory effects using two-tone signals (up to 20-MHz tone spacing). Fig. 3 illustrates the third-order intermodulation distortion (IMD3) and fifth-order intermodulation distortion (IMD5) for the two-tone signals. This amplifier has

388

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 4. Experimental setup for linearization test.

Fig. 3. Measured: (a) IMD3 and (b) IMD5 characteristics for two-tone signals.

relatively serious high-order memory effects, as can be seen from the differences between the upper and lower sidebands of IMD3 and IMD5 (approximately 6-dB difference at average output powers from 40 to 43 dBm). The IMD3s and IMD5s are not monotonic over the entire output power range. Also, the upper IMD3s increase while the lower IMD3s decrease due to the memory effects. This IMD3 difference has a maximum value of 11 dB at an average output power of 42 dBm. Moreover, the IMD5s represent moderate characteristics up to 15-MHz tone spacing, but change abruptly for 20-MHz tone spacing. The amplifier generates higher spurious emission in the lower band than in the upper band for a wideband signal. Therefore, the digital predistorter should generate a higher predistorted signal in the lower frequency band than in the upper frequency band. B. WCDMA 2FA Test With 10-MHz Carrier Spacing To validate the proposed algorithm for the linearization of wideband signals, we have employed a 2.14-GHz forward-link WCDMA 2FA signal with 10-MHz carrier spacing (15-MHz bandwidth) and 7.4-dB PAPR at the 0.01% level of the complementary cumulative distribution function (CCDF). The Agilent Advanced Design System (ADS) using an electronic signal generator (ESG) and vector signal analyzer (VSA) connected solution was used for the test, as shown in Fig. 4 [25]. The proposed algorithm has two 256-entry AM/AM and AM/PM LUTs and the memory compensation on the left side of Fig. 1, which

Fig. 5. Measured: (a) AM/AM and (b) AM/PM characteristics after DFBPD linearization at an average output power of 40 dBm for the WCDMA 2FA signal with 10-MHz carrier spacing.

are programmed by MATLAB using the DFBPD and RLS algorithms, respectively. The linearization capability of the proposed algorithm is evaluated using the implemented amplifier with serious memory effects and compared with the DFBPD and MP algorithms.

KIM et al.: NEW WIDEBAND ADAPTIVE DPD TECHNIQUE EMPLOYING FEEDBACK LINEARIZATION

389

Fig. 6. Measured: (a) AM/AM and (b) AM/PM characteristics of the inverse scattering signal V predistorted to compensate the memory effects for the WCDMA 2FA signal with 10-MHz carrier spacing.

Fig. 7. Measured predistorted: (a) AM/AM and (b) AM/PM characteristics before linearization and after PD by the DFBPD and WDFBPD algorithms for the WCDMA 2FA signal with 10-MHz carrier spacing.

Fig. 5 shows the AM/AM and AM/PM characteristics of the amplifier after linearization by the DFBPD algorithm. The memoryless nonlinear characteristics are linearized, but the algorithm cannot reduce the scattering of the output signals caused by memory effects. To compensate the scattering, the memory compensation algorithm of the WDFBPD technique and five with a second-order nonlinear function is required, and the coefficients are memory taps solved for using the RLS algorithm. Fig. 6 shows the AM/AM , and AM/PM characteristics of the inverse scattered input which is predistorted to compensate the memory effects only, and represents the inverse scattering characteristics of the amplifier output shown in Fig. 5. Fig. 7 shows the AM/AM and AM/PM characteristics before linearization and after PD by the DFBPD and WDFBPD algorithms. The DFBPD algorithm provides the memoryless predistorted signal to compensate the memoryless nonlinear characteristics, while the WDFBPD algorithm generates the memory predistorted signal to linearize the bandwidth-dependent nonlinear characteristics. The predistorted signal produced by the WDFBPD algorithm assures that the memory compensation is

properly combined with the DFBPD linearization algorithm. Fig. 8 shows the measured spectra of the predistorted signals generated by the DFBPD and WDFBPD algorithms. As mentioned in Section III-A, the amplifier exhibits higher spurious emission in the lower frequency band than in the upper frequency band. As shown in Fig. 8, the DFBPD algorithm provides balanced spurious emission, but the WDFBPD algorithm generates unbalanced spurious emission, reflecting the memory effects. Fig. 9 shows the measured AM/AM and AM/PM characteristics at the amplifier output after DFBPD and WDFBPD linearization at an average output power of 40 dBm for the WCDMA 2FA signal with 10-MHz carrier spacing. The nonlinear characteristics are successfully linearized by both linearization algorithms. However, the AM/AM and AM/PM scattering is better suppressed by the WDFBPD linearization due to the memory-effect compensation. Fig. 10 shows the measured WCDMA 2FA spectra before and after DFBPD and WDFBPD linearization at an average output power of 40 dBm. The adjacent channel leakage ratio (ACLR) at an offset of 10 MHz for the WDFBPD algorithm is 57.3 dBc, which is an improvement of

390

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 8. Measured WCDMA 2FA spectra of predistorted signals. (i) Digital feedback predistorter. (ii) Wideband digital feedback predistorter.

Fig. 10. Measured WCDMA 2FA spectra before and after linearization. (i) Without predistorter. (ii) Digital feedback predistorter. (iii) Wideband digital feedback predistorter.

TABLE I MEASURED PERFORMANCE BEFORE AND AFTER LINEARIZATION AT AN AVERAGE OUTPUT POWER OF 40 dBm FOR WCDMA 2FA SIGNAL WITH 10-MHz CARRIER SPACING

Fig. 11. Measured WCDMA 2FA spectra before and after linearization. (i) Without predistorter. (ii) MP predistorter. (iii) Wideband digital feedback predistorter.

Fig. 9. Measured: (a) AM/AM and (b) AM/PM output characteristics after DFBPD and WDFBPD linearization at an average output power of 40 dBm for the WCDMA 2FA signal with 10-MHz carrier spacing.

approximately 17.7 dB at the same average output power. Additionally, the error vector magnitude (EVM) is 0.95%, an improvement of approximately 2.65% at the same output power.

In comparison with the DFBPD algorithm, the WDFBPD algorithm delivers improved linearization due to the memory-effect compensation. The measurement results are summarized in Table I. Fig. 11 shows the measured WCDMA 2FA spectra after MP [13], [17] and WDFBPD linearizations at the same output power. The predistorted signal of the MP is expressed as (12)

KIM et al.: NEW WIDEBAND ADAPTIVE DPD TECHNIQUE EMPLOYING FEEDBACK LINEARIZATION

391

TABLE II MEASURED PERFORMANCE AFTER MP AND WDFBPD LINEARIZATION AT AN AVERAGE OUTPUT POWER OF 40 dBm FOR WCDMA 2FA SIGNAL WITH 10-MHz CARRIER SPACING

The MP algorithm is set to a seventh-order polynomial and five delay taps , which represent a total 35 are solved for using the coefficients, and the coefficients RLS algorithm. The ACLR at an offset of 10 MHz and EVM are 54.5 dBc and 1.03%, respectively. In comparison with the WDFBPD algorithm, the MP algorithm delivers similar linearization performance, but requires more coefficients to compensate the nonlinear characteristics and memory effects. Since it requires the inversion of a Vandermonde matrix of size to solve these coefficients, the MP has higher computational complexity than that of the WDFBPD algorithm, which requires the inversion of a Vandermonde matrix of size and the very simple DFBPD algorithm. This fact assures that the proposed algorithm can reduce the field programmable gate array (FPGA) resource or digital signal processing (DSP) computational load in terms of the algorithm implementation. The experimental results show that the WDFBPD algorithm can successfully linearize memory effects using a simplified MP structure because the nonlinearity is efficiently compensated by the DFBPD algorithm and the inverse memory signal is mainly generated by the fundamental component and a few harmonics. The measurement results and linearization conditions are summarized in Table II. IV. CONCLUSION We have proposed a new PD algorithm based on the feedback PD technique combined with the MP structure. The algorithm provides highly efficient nonlinearity compensation by the DFBPD method, and the MP becomes very simplified, requiring only a second-order nonlinearity and five memory taps. For the experiments, a class-AB amplifier is fabricated using an LDMOSFET with a 90-W PEP. We have explored the nonlinear characteristics and memory effects for two-tone signals (up to 20-MHz tone spacing). From the two-tone test, the implemented amplifier exhibits serious memory effects. For a 2.14-GHz forward-link WCDMA 2FA signal with 10-MHz carrier spacing, the proposed WDFBPD technique provides an ACLR at 10-MHz offset and EVM of the amplifier of 57.3 dBc and 0.95%, which are improvements of 17.7 dB and 2.65%, respectively, at an average output power of 40 dBm. From the experimental results, we conclude that the WDFBPD method can deliver better linearization performance than the DFBPD method for a wideband signal, while maintaining most of the DFBPD technique’s advantages. Thus, a simpler structure is realized with the ability of faster and more

accurate PD signal extraction and feedback related characteristics in comparison with conventional DPD methods. Therefore, the WDFBPD technique is a very useful linearization technique for wideband signals. ACKNOWLEDGMENT The authors would like to express their gratitude to the reviewers for their valuable comments and especially for editing this paper’s manuscript. REFERENCES [1] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [2] P. B. Kenington, High-Linearity RF Amplifier Design. Norwood, MA: Artech House, 2000. [3] Y. Nagata, “Linear amplification techniques for digital mobile communications,” in Proc. IEEE 39th Veh. Technol. Conf., 1989, pp. 159–164. [4] J. K. Cavers, “Amplifier linearization using a digital predistorter with fast adaptation and low memory requirements,” IEEE Trans. Veh. Technol., vol. 39, no. 4, pp. 374–382, Nov. 1990. [5] A. S. Wright and W. G. Durtler, “Experimental performance of an adaptive digital linearized power amplifier,” IEEE Trans. Veh. Technol., vol. 41, no. 4, pp. 395–400, Nov. 1992. [6] M. Faulkner and M. Johansson, “Adaptive linearization using predistortion—Experimental results,” IEEE Trans. Veh. Technol., vol. 43, no. 2, pp. 323–332, May 1994. [7] J. Vuolevi and T. Rahkonen, Distortion in RF Power Amplifiers. Norwood, MA: Artech House, 2003. [8] J. Cha, J. Yi, J. Kim, and B. Kim, “Optimum design of a predistortion RF power amplifier for multicarrier WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 655–663, Feb. 2004. [9] A. Rabany, L. Nguyen, and D. Rice, “Memory effect reduction for LDMOS bias circuits,” Microw. J., vol. 46, no. 2, pp. 124–130, Feb. 2003. [10] H. Ku, M. D. McKinley, and J. S. Kenney, “Quantifying memory effects in RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2843–2849, Dec. 2002. [11] H. Ku and J. S. Kenney, “Behavioral modeling of nonlinear RF power amplifiers considering memory effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2495–2504, Dec. 2003. [12] C. Eun and E. J. Powers, “A new Volterra predistorter based on the indirect learning architecture,” IEEE Trans. Signal Process., vol. 45, no. 1, pp. 223–227, Jan. 1997. [13] L. Ding, G. T. Zhou, D. R. Morgan, Z. Ma, J. S. Kenney, J. Kim, and C. R. Giardina, “A robust digital baseband predistorter constructed using memory polynomials,” IEEE Trans. Commun., vol. 52, no. 1, pp. 159–165, Jan. 2004. [14] L. Ding, Z. Ma, D. R. Morgan, M. Zierdt, and J. Pastalan, “A leastsquares/Newton method for digital predistortion of wideband signals,” IEEE Trans. Commun., vol. 54, no. 5, pp. 833–840, May 2006. [15] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Deembedding static nonlinearities and accurately identifying and modeling memory effects in wideband RF transmitters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3578–3587, Nov. 2005. [16] L. Ding, R. Raich, and G. T. Zhou, “A Hammerstein predistortion linearization design based on the indirect learning architecture,” in Proc. IEEE Int. Acoust., Speech, Signal Process. Conf., May 2002, vol. 3, pp. 2689–2692.

392

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[17] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [18] T. Liu, S. Boumaiza, and F. M. Ghannouchi, “Augmented Hammerstein predistorter for linearization of broadband wireless transmitters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1340–1349, Jun. 2006. [19] S. Chung, J. W. Holloway, and J. L. Dawson, “Open-loop digital predistortion using Cartesian feedback for adaptive RF power amplifier linearization,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1449–1452. [20] Y. Kim, Y. Yang, S. Kang, and B. Kim, “Linearization of 1.85 GHz amplifier using feedback predistortion loop,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 1675–1678. [21] Y. Y. Woo, J. Kim, J. Yi, S. Hong, I. Kim, J. Moon, and B. Kim, “Adaptive digital feedback predistortion technique for linearizing power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 932–940, May 2007. [22] Y. Y. Woo, J. Kim, S. Hong, I. Kim, J. Moon, J. Yi, and B. Kim, “A new adaptive digital predistortion technique employing feedback technique,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 1445–1448. [23] S. Hong, Y. Y. Woo, J. Kim, J. Cha, I. Kim, J. Moon, J. Yi, and B. Kim, “Weighted polynomial digital predistortion for low memory effect Doherty power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 925–931, May 2007. [24] S. Haykin, Adaptive Filter Theory. Upper Saddle River, NJ: PrenticeHall, 2001. [25] “Connected simulation and test solutions using the Advanced Design System,” Agilent Technol., Palo Alto, CA, Applicat. Note 1394, 2000.

Jangheon Kim (S’07) received the B.S. degree in electronics and information engineering from Chon-buk National University, Chonju, Korea, in 2003, and is currently working toward Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design, memory-effect compensation techniques, and DPD techniques.

Young Yun Woo received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. In 2007, he joined the Samsung Electronics Company Ltd., Suwon, Gyeunggi, Korea. His current research interests include RF PA design, LPA system design, and DPD techniques for linearizing high PAs.

Junghwan Moon received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at the Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk, Korea. His current research interests include highly linear and efficient RF PA design, memory-effect compensation techniques, and DPD techniques.

Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie–Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined the Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, involved in device and circuit technology for RF integrated circuits (RFICs). He was a Visiting Professor of electrical engineering with the California Institute of Technology, Pasadena, in 2001. He has authored over 200 technical papers. Dr. Kim is a member of the Korean Academy of Science and Technology and the Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a Distinguished Lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

393

Phase-Noise Analysis of Injection-Locked Oscillators and Analog Frequency Dividers Franco Ramírez, Member, IEEE, Mabel Pontón, Sergio Sancho, Member, IEEE, and Almudena Suárez, Senior Member, IEEE

Abstract—In-depth investigation of the phase-noise behavior of injection-locked oscillators and analog frequency dividers is presented. An analytical formulation has been obtained, which allows a better understanding of the shape of the output phase-noise spectrum of these circuits. The simplicity of this formulation is also helpful for circuit design. Approximate expressions for the corner frequencies of the spectrum are determined, identifying the most influential magnitudes and deriving design criteria. In particular, a technique has been developed to shift the frequency of the first corner of the phase-noise spectrum, up to which the output phase noise follows the input one. The expressions for the corner frequencies can be introduced in either in-house or commercial harmonic-balance software, thus allowing an agile design, as no separate phase-noise analysis is required. The validity of the analytical techniques is verified with the conversion-matrix approach and with measurements using two field-effect-transistor-based circuits: a 4.9-GHz injection-locked oscillator and a frequency divider by 2 with 9.8-GHz input frequency. Index Terms—Circuit design, frequency divider, harmonic balance, injection-locked oscillator, phase noise, stability analysis.

I. INTRODUCTION

I

NJECTION-LOCKED oscillators are used at microwave frequencies for oscillator stabilization, amplification, phase shifting, quadrature generation, frequency division, and other applications [1]–[11]. In a fundamentally synchronized oscillator, the output phase noise copies that of the synchronizing source up to a certain offset frequency [12]. From that offset frequency, the output phase-noise spectrum is different from the input one, typically with higher power. Similar behavior is obtained in the case of a frequency divider: the output phase noise is a sub-multiple of that of the input source, up to a certain offset frequency. When using harmonic balance (harmonic balance), the conversion matrix approach [13]–[15] enables an accurate prediction of the oscillator phase noise. However, this numerical technique provides little insight into the parameters and magnitudes that give the output phase-noise spectrum of the oscillator or divider its particular shape. This knowledge would be useful from a design point of view, as strategies could be devised in order to reduce the output phase noise. This phase-noise reduction can be achieved by increasing the

Manuscript received March 1, 2007; revised September 7, 2007. This work was supported under the Spanish MEC TEC2005-08377-C03-01/TCM Project and under the Ramón y Cajal Program. The authors are with the Communications Engineering Department, University of Cantabria, ETSIIT, 39005 Santander, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914375

offset-frequency range for which the oscillator circuit behaves like a low-pass filter with respect to the input phase noise. As already stated, the systematic phase-noise improvement requires insight into the noise conversion mechanisms of the synchronized oscillator. Kurokawa [12] presented an analytical derivation of the phase-noise spectrum in an RLC circuit with a single nonlinear element. Some variants of this model have also been proposed in [16]–[18]. However, the phase noise predicted by these ideal models is usually inaccurate for practical oscillator circuits, containing one or more three-terminal devices with a complex embedding network. In this paper, a new analytical formulation for the phasenoise calculation of injection-locked oscillators and frequency dividers is presented. An equivalent approximate model for the noise contributions of the oscillator circuit is obtained at an observation port. Using this model, the analytical formulation establishes a relationship between the injection-locked oscillator or divider output phase noise, phase noise of the synchronizing source, and internal oscillator noise. The analytical formulation relies on a perturbation analysis of the total admittance function at the observation port with the harmonic-balance system as an inner tier. The derivatives used for the perturbation analysis can be numerically obtained with in-house or commercial harmonic balance. The formulation provides essential information on the magnitudes and parameters that determine the shape of the output phase-noise spectrum and its corner frequencies. Thus, it will allow the derivation of design criteria for the reduction of the output phase noise. The expressions providing the noise corners can be implemented on either in-house or commercial harmonic-balance software to directly evaluate the corners under any parameter variation during the design process. One of the objectives of this study has been to obtain a technique for increasing the offset frequency up to which the output phase noise copies that of the input synchronizing source. An in-depth study of the phase-noise variation along the synchronization band will also be presented and related to the solution stability properties. With this aim, the two dominant eigenvalues of the synchronized steady-state regime will be determined from the same perturbation analysis of the total admittance function. The results of the stability analysis will be compared with those obtained from the root analysis of the characteristic determinant associated to the harmonic-balance system [19]–[22]. The results of the phase-noise analysis will be rigorously verified through comparison with the conversion-matrix technique using harmonic balance [14], [15]. The workbench for this comparison is a field-effect transistor (FET)-based oscillator operating at 4.9 GHz.

0018-9480/$25.00 © 2008 IEEE

394

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

This paper is organized as follows. Section II introduces the analytical formulation for the steady-state analysis of fundamentally synchronized oscillators. Section III shows the stability analysis of the synchronized solutions. Section IV presents the analytical expression for the synchronized-oscillator phase noise. This analytical formulation is verified with the conversion-matrix approach for single operation points and along the synchronization band. Section V presents a technique for the shifting of the corner-frequency of the output phase-noise spectrum. Finally, Section VI provides an extension of the analytical formulation to the phase-noise analysis of harmonic-injection frequency dividers. II. ANALYTICAL EXPRESSIONS FOR THE FUNDAMENTALLY SYNCHRONIZED OSCILLATOR The analytical formulation will be applied to a single complex equation, constituting the outer tier of the synchronized-oscillator equations in the frequency domain. The inner tier is constituted by pure harmonic-balance system, considering an arbitrary number of harmonic terms. Assuming an observation node , different from the one at which the injection generator is connected, the outer tier equation will be given by the total admittance function equal to zero. In practice, this total admittance function is easily obtained through the parallel connection of a voltage auxiliary generator (AG) [23], [24] at the observation node. The cases of nonlinear dependence on the input generator value and a linearized dependence on this generator are distinguished.

shift between the node voltage and this input generator at the fundamental frequency . The sum of all the branch currents at the observation node is given by

(1) where is the total current at the observation node. Note that does not explicitly appear the synchronizing generator in (1), as it will not generally be connected to the analysis node. B. Linearized Expressions For small input power from the synchronizing source, the in (1) can be expanded in a Taylor total admittance function and , fulfilling series about the free-running solution . This linearization is possible due to the small difference between the oscillation frequency and amplitude in injection-locked and free-running regimes. For this linearizamust tion, derivatives of the complex-admittance function be calculated with respect to and the real and imaginary . Due to the continuity parts of the synchronizing source with respect to of the circuit equations, the derivative of must fulfill the Cauchy–Riemann relationships so it is possible to write (2) Taking the above relationships into account, the linearization of (1) about the steady-state solution is given by

A. General Nonlinear Expressions Let a fundamentally synchronized oscillator with a periodic be considered. steady-state solution at the input frequency The circuit is analyzed with harmonic balance, using an AG connected to an observation node [23], [24]. This generator, in series with an ideal bandpass filter at , operates at the osciland phase . At the lation frequency , with amplitude synchronized steady-state solution, it must fulfill with being the complex ratio between the AG current and the delivered voltage. Thus, the circuit is solved for the AG amplitude , as well as the state variables of the harmonic and phase balance system. Here, a two-tier resolution is considered, with constituting the outer tier the complex equation and the pure harmonic-balance system constituting the inner tier. This technique is used in commercial harmonic balance when solving through optimization of with the goal [24]. At each optimization step, a full harmonic-balance analysis is carried out for fixed values of . Thus, the steady-state analysis takes into account the actual multivariable multiharmonic nature of the analyzed circuit. The analytical formulation will apply to the outer-tier equation , having the full harmonic-balance system as an inner constraint. For compactness of the following derivations, the phase origin will actually be set at the observationnode voltage instead of the synchronizing source. Thus, the generator will be expressed as with being the phase

(3) where the subindices stand for the derivatives of the total-admittance function of the free-running circuit, calculated with respect to the corresponding variables: amplitude , frequency , and input generator value . The superindices and stand for the real and imaginary parts of the derivatives of , respectively. The above linearized equations provide an ellipse in the plane defined by and . This ellipse is easily obtained by separately squaring each of the two equations in (3), adding the two resulting expressions and taking common factors. For a compact ellipse equation, the following vectors are defined:

(4)

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

395

The ellipse equation is then given by

(5) where is a scalar product of the vectors defined in (4). The derivatives in (2)–(5), evaluated at the free-running oscillation, can be determined through finite differences in harmonic balance. Here, the derivatives are obtained by means the same AG used for the simulation of the free-running solution. In this simulation, the AG operates at the unknown oscillation frequency , with the amplitude , agreeing with the first harmonic of the node voltage. The AG musts fulfill the oscillation , which can be solved through opcondition timization in commercial harmonic-balance software. Once the free-running solution has been determined, the derivative is calculated by considering the amplitude increment , while the frequency is kept at . The derivative is calculated by considering the frequency increment , while the amplitude is kept at [24]. The derivative is calculated by considering a small increment in the input generator with respect to the original zero value, associated to the free-running oscillator, while the AG amplitude and frequency are kept at .

Fig. 1. FET-based injection-locked oscillator at approximately 4.9 GHz. (a) Schematic, (b) layout, and (c) photograph of the fabricated circuit. For most of the analyses, the considered observation node will correspond to the transistor gate terminal. The circuit was fabricated on a CuClad substrate (" = 2:17; h = 0:8 mm).

C. Application to an FET-Based Oscillator The analyses of (1) and (3) have been applied to an FET-based oscillator, using an NE3210S01 transistor at 4.9 GHz (Fig. 1). The elements of the gate sub-network together with the feedhave been determined so as to obtain negback capacitance ative resistance at the drain terminal. The synchronizing source is connected to the gate sub-network. For comparison, the circuit has been analyzed in a nonlinear manner (1) and with the linearization (3). The selected observation node is the intrinsic gate terminal of the used transistor. The ellipses obtained with (5) are compared in Fig. 2 with the synchronization curves obtained for different input-power values from 42 to 26 dBm. The derivatives in (5) have been extracted from the harmonic-balance analysis of the free-running oscillation through the described finite-difference technique. The ellipses given by (5) are represented with squares and, for small input power, show very good agreement with the harmonic-balance simulations (solid line). For relatively small input power, the closed solution curve coexists with a small-amplitude open curve. This curve corresponds to an unstable periodic solution, forced by the input generator, for which the circuit is not actually oscillating. As the input power increases (see Fig. 2 for dBm), the linearization is no longer valid and this closed curve does not agree with the solution curve predicted by (1). From certain input power, the closed curve and the low amplitude curve merge in a single one [25].

Fig. 2. Synchronization curves of the oscillator of Fig. 1 for different values of input power obtained with the nonlinear analysis (solid line). The results of the linearized approach (3) are superimposed with squares.

III. STABILITY ANALYSIS OF THE FUNDAMENTALLY SYNCHRONIZED OSCILLATOR In this section, the stability analysis of the synchronized solutions, due to its influence on the phase-noise behavior along the synchronization band, is presented. A. Reduced-Order Equations For the stability analysis, a small-amplitude perturbation will be considered about the steady-state synchronized so. As already known, this solution lution given by

396

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

is obtained solving (1) with the harmonic-balance system as an inner tier. Due to the introduced perturbation, the phase (reference node) and shift between the observation node . In turn, the amplithe input generator will be . The implicit equation (1), tude is perturbed as describing the synchronized regime, then becomes a dynamand phase shift ical system in the voltage amplitude . Note that the time-varying phase will give rise to an instantaneous frequency. By calculating the time derivative and assimilating the factor of to a complex frequency [2], [12], it is possible to affecting express the perturbed frequency of the synchronized system as

rest of multipliers have a reduced influence. The Hopf bifurcation that delimits the synchronization band usually occurs for , i.e., for oscillasmall perturbation frequency close to that of the injection-locked oscillator tion frequency . As already stated, the two dominant eigenvalues of the LTI system (9) can be real or complex conjugate, depending on the input-power level. For relatively small input power, the two eigenvalues are real and the limit of the stable synchronization range is determined by a real eigenvalue crossing through zero. From the inspection of (9), a real eigenvalue at zero implies the fulfillment of the following condition [23]:

(6)

(10)

Thus, (1) becomes the perturbed equation (7) Performing a first-order Taylor series expansion of the total admittance current about the particular steady-state synchroand , it is possible to write nized solution,

(8) Splitting the above complex equation (8) into real and imaginary parts, the following linear-time invariant (LTI) system is obtained:

(9) where the subindices and stand for derivatives of with respect to the corresponding variables, evaluated at the particand . Note that the ular steady-state solution, given by in the denominator of (8) has been neglected, increment as it only gives rise to second-order terms. The LTI system (9) limits the stability analysis to two eigenwill be values only. These two eigenvalues of the matrix either real or complex conjugate. In the case of complex conjugate eigenvalues, their frequency must be close to that of the steady-state synchronized regime. This is because the derivation of the LTI system was based on a Taylor-series expansion of the total admittance function about the frequency of the synchronized steady-state solution. Thus, this stability analysis is less general than the ones presented in [21], [22], [26], or [27], which are valid for any value of the perturbation frequency in . the interval However, the aim of the derived system (9) is to show the coherence between the stability and phase-noise analysis based on the perturbation of the complex (1). In spite of the discussed limitations, in standard injection-locked oscillators, there are two dominant Floquet multipliers [28], either real or complex conjugate, in close connection with the two eigenvalues of (9). The

Note that the singularity of the characteristic Jacobian matrix, associated to the general system of harmonic-balance equations, was demonstrated in [11], [20], [21]. Equation (10) indicates that the outer-tier equation of the two-level harmonic-balance analysis is also singular at turning points. As can be expected, for relatively small-input power, the stable synchronization band will be delimited by the two turning points of the closed solution curves shown in Fig. 2. Either the upper or lower section of this closed curve will be stable. The determinant in (10) will be used at several instances in the remainder of this paper. For compactness, it will be expressed in terms of the following operator: (11) Note that the defined operator provides a real value with either positive or negative sign. Using this operator, (10) will be , where indicates the cross rewritten as product and the vectors are composed by the real and imaginary , in a parts of the corresponding complex magnitudes similar manner to the definitions in (4). For larger input power, the two dominant eigenvalues, associated to the system (9) will typically be complex conjugate. In this case, the limits of the stable operation band will be determined by the crossing of this pair of eigenvalues through the imaginary axis at a frequency different from the one delivered by the input source in what is known as a Hopf-type bifurcation [28], [29]. B. Harmonic-Balance Implementation For the harmonic-balance implementation of the reduced-order stability analysis, one AG introduced in parallel is used to obtain the steady-state at the observation node . The generator must fulfill the nonpertursolution , which is solved in terms of the bation condition and the input-generator phase with the pure node voltage harmonic-balance system as the inner tier. In a similar manner , to what was described in Section II-B, the derivatives of , are calculated through agreeing with the total admittance and , increments. Provided the steady-state values are is calculated by considering the amplitude the derivative , while the other two variables are kept increment and . The derivatives constant at their steady-state values and are calculated in a similar manner.

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

397

continues to increase, the two complex-conjugate eigenvalues approach each other and merge and split into two real eigenGHz. One of the real eigenvalues crosses values at GHz, which corresponds the imaginary axis at to a turning-point bifurcation, which is in agreement with the infinite-slope point obtained in Fig. 2 and with the result obtained using [19] and [21] for this input-power value. Thus, dBm, the stable synchronization band is limited for GHz and by by a Hopf bifurcation at the lower edge GHz. a turning point at the upper edge D. Synchronized Operation Band for Small-Input Power In agreement with the preceding analysis, for small input power, the limits of the synchronization band are given by turning-point bifurcations. Thus, it will be possible to determine the synchronization bandwidth by applying the turning-point condition (10) to the linearized synchronization (3). By means used in (10) can be of the chain rule, the phase derivative approached as (12) of the complex admittance with where the derivative is calculated about the respect to the complex generator free-running oscillation. Replacing (12) into the determinant in , the following explicit form of (10) and assuming (12) obtained: Fig. 3. Stability analysis of the FET-based oscillator of Fig. 1 along the synchronization band for two different input-power values. (a) Evolution of the pair of real eigenvalues for P = 36 dBm. (b) Evolution of the pair of eigenvalues for P = 26 dBm. The Hopf bifurcation point (H) and the turning points (TP; TP ; and TP ) are in agreement with Fig. 2.

0

0

C. Application to the FET-Based Oscillator The stability analysis based on (9) has been applied to the FET-based oscillator shown in Fig. 1. Two different values dBm, of input power have been considered: for which a closed solution curve is obtained versus , and dBm, for which an open solution curve is obtained dBm, the two eigenvalues associated (Fig. 2). For to (9) are real along the entire synchronization band. Each [see one gives rise to a closed curve when traced versus Fig. 3(a)]. The stable synchronization range, for which the two real eigenvalues are smaller than zero, is delimited at each end by the crossing through zero of eingevalue 1. This stable range corresponds to the upper section of the closed solution dBm, the solution curve is curve in Fig. 2. For open, as can be seen in Fig. 2. For low input frequency, two complex-conjugate eigenvalues at approximately 240 MHz are obtained [see Fig. 3(b)], located on the right-hand side of the complex plane. Thus, the periodic solution is unstable and an oscillation at about the frequency of the eigenvalues, mixing with , is actually obtained [19], [28], [29]. increases, the pair of eigenAs the input frequency values shifts leftward and crosses the imaginary axis at GHz in an inverse Hopf bifurcation at which the periodic solution becomes stable. The Hopf bifurcation point has been compared with the one predicted with [20] and [22] and obtained for GHz. Very good agreement has been found between the two techniques. As the input frequency

(13) where the subindex TP refers to the turning point. Solving (13) in terms of the tangent of the phase value, it is possible to obtain the turning-point condition for small input power. This is given by (14) where the symbol indicates the scalar product of the corresponding vectors. Introducing this condition into the linear expression (3), it is possible to determine the synchronization bandwidth for each generator amplitude , (15) Adler’s equation [30] is a particular case of (15) in which a current generator is introduced in parallel at the observation node. The synchronized-circuit equation then simplifies to . On the other hand, in Adler’s equation, the total admittance is assumed to have a dependence of . Under these conditions, the form the following equalities are fulfilled:

(16)

398

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Replacing these equations into (15), the synchronization bandwidth becomes (17)

By using the quality factor definition [31], (18) with being the load conductance, the following well-known Adler’s equation is obtained: (19) The synchronization bandwidth, derived in (15), will be used in Section IV to relate the output phase noise to the synchronization bandwidth. IV. PHASE-NOISE ANALYSIS OF THE SYNCHRONIZED OSCILLATOR A. Noise Model In order to derive the analytical formulation for the output phase noise of the injection-locked oscillator, the noise contributions will be separated into two classes: the noise sources of the oscillator circuit and the noise introduced by the synchronizing source. Here, it will be considered that this source contributes phase noise only and its amplitude noise is negligible. As shown in Section II, the analytical formulation is based on the evaluation of the total current or admittance at an observation node . Thus, for the phase-noise analysis, it will be interesting to obtain an approximate noise model, located at this same node, which globally accounts for all the noise contributions. This model will consist of a single noise-current source , connected in parallel at node . Since close to the carrier the injection-locked oscillator will copy the input-source noise [12], the obtained equivalent model will only be relevant from a certain offset frequency, generally far away from the flicker noise corner. Thus, only white noise is considered in the equivalent current source, although the method can easily be extended to other situations. To obtain the equivalent model, the phase noise of the freerunning oscillator, with all of its noise sources at their corresponding locations, is simulated in harmonic balance with the conversion-matrix approach. Next, the original noise sources are disabled and the equivalent noise current source is introduced at the observation node. The level of this source is adjusted in the free-running regime so as to fit the phase-noise spectrum obtained with the original set of noise sources. The model accuracy will be limited in the case of cyclostationary noise sources, as the steady state changes in injection-locked conditions. For noncyclostationary noise sources, the circuit behaves linearly with respect to the noise sources so the noise model obtained in free-running conditions is expected to remain valid under synchronized operation in a relatively narrow band.

Fig. 4. Noise-source fitting using the conversion-matrix approach in ADS. Comparison with the results obtained with the multiple noise sources and with the single-current source model at the observation node. The current noise source has been connected at the intrinsic gate terminal of the FET transistor.

The described technique has been applied to the FET-based oscillator at 4.9 GHz. Fig. 4 shows the results obtained with the single-source model after its value has been fitted to the original phase-noise spectrum of the free-running regime. This analysis has been carried out with the conversion-matrix approach in the commercial harmonic-balance simulator Advanced Design System (ADS). To validate the model, two separate analyses have been carried out, considering different observation nodes for each case. The gate and source terminals have been considered, fitting the value of the respective equivalent noise sources in individual analyses. The obtained spectra are superimposed in Fig. 4, showing the same results, regardless of the selected node. B. Phase-Noise Analysis be the phase noise from the synchronizing source Let be the bandpass equivalent of the equivalent and noise-current generator at the observation node. Due to the and the input phase noise , the total influence of phase and amplitude perturbations at the observation node are, and . In turn, the respectively, (reference node) phase shift between the observation node . The time-varying and the input generator will be phase gives rise to an instantaneous complex frequency that can be expressed as follows: (20) where the time derivative of the input noise has also been considered. Performing a first-order Taylor-series expansion of the total node current about the particular steady-state synchronized and , it is possible to write solution

(21) where the subindices stand for derivatives of with respect to is the envelope the corresponding variables , , and . about the considered harmonic of the current-noise source

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

component. Splitting the above complex equation (21) into real and imaginary parts,

(22) where

399

oscillator noise. In order to take advantage of the possible designer knowledge of the linear impedance value at the observation node, the above equation can be reformulated in terms of . This will lead to a small error when the total admittance dividing the noise source by the amplitude of the synchronized instead of . The resulting expression is solution shown in (25) at the bottom of this page. The higher order terms in the numerator and denominator of (25) will only have an influence for relatively high frequency offset from the carrier. However, they will be essential to take into account the influence of the proximity to the synchronization band edges. For most practical cases, (25) can be simplified to

and (26) As in the case of the stability analysis, the increment will be neglected in the denominator. Next, the Fourier transform is calculated in the slowly varying time scale due to the noise perturbations with associated frequency . Thus, in the frequency domain, the total phase perturbation is given by (23), shown at the bottom of this page. , the phase-noise spectral Multiplying by the adjoint density is given by (24), shown at the bottom of this page. , defined in (11), provides a real Note that the operation value, thus the above equation contains no complex elements. To derive the above expression, it has been taken into account that the real and imaginary parts of the equivalent noise source are uncorrelated and the input noise is uncorrelated with the

The phase-noise calculation using (26) has been applied to the FET-based oscillator of Fig. 1. For validation, the resulting phase-noise spectral density has been compared to the one obtained with harmonic balance through the conversion matrix in the commercial harmonic-balance simulator ADS. The input generator phase-noise spectrum is assumed to have a constant 30-dB/dec ( ) slope. The results are shown in Fig. 5, where the calculations have been carried out at two different nodes: the gate node and drain node. C. Sections of the Phase-Noise Spectrum The different sections of the phase-noise spectrum will now be analyzed from the inspection of (26). For small frequency

(23)

(24)

(25)

400

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

The second slope change predicted by (26) occurs at the at which the two denominator terms become frequency equal. This frequency is defined by the condition

(28) where

Fig. 5. Comparison between the phase-noise spectrum obtained with (26) at two different circuit nodes (the result are overlapped) with the one provided by the conversion matrix approach in the commercial simulator ADS.

offset from the carrier, the dominant term in the numerator will . In turn, the dominant term in the debe due to the small value of . nominator will be Thus, for small frequency offset, the output phase-noise spectrum is approximately the same as the input one . As the frequency offset increases, two slope changes are predicted by (26). The first one is due to the decrease with of the numerator term , which will become at the offset frequency , defined equal to by the condition

(27)

where is the angle between and . The chain rule as , has also been used to express is the derivative of the total admittance with rewhere spect to the input generator at the particular synchronized solution. The phase shift between the solution and the synchronizing generator generally changes in an approximately 180 range within the stable synchronization interval. As has been and the indicated, is the angle between the derivative . To analyze the influence of , it is taken into acderivative varies from 180 to 180 along count that the phase shift the closed synchronization curves. Comparatively, the phases and show small variation along the synchronizaof will also generally vary tion band. Thus, between these values and so will the angle , and for a given oswill generally cillator circuit and constant input power, pass through the maximum value of 1. For a global maximizaalong the operation band, the magnitude must tion of be maximized. This can be done by increasing the generator amor the sensitivity of the total admittance to the input plitude generator, which is achieved by optimizing the impedance of the synchronizing source.

is the angle between and . To maximize , the term must be minimized. Note that implies reducing the oscilminimizing the magnitude lator quality factor. As in the case of , the frequency increases with the magnitude so higher values will be obtained for larger generator amplitude and optimum input impedance values. To sketch the variation of the output phase-noise specof the generator trum, a constant slope 30 dB/dec phase noise will be assumed, considering the two different and . For (see cases Fig. 5), the output spectrum will be approximately equal to . In the frequency the input one up to the corner frequency , it will be nearly flat with the constant interval . value , it will decay as 20 dB/dec. In the second For , the slope close to the carrier will be case, 30 dB/dec. In the frequency interval , it will be , it will be approximately equal to 50 dB/dec. For equal to 20 dB/dec. Due to the generally small value of the will be larger than in most frequency derivative cases. If the input phase-noise spectrum exhibits its own corners versus the offset frequency , these corners will affect the and according to (27) and (28) and will values of have a significant effect on the shape of the output phase-noise spectrum. In general, the noise floor of the synchronizing source will be lower than that of the synchronized oscillator. Thus, it will be convenient to maximize the frequency offset up to which the output phase noise follows the one from the synchronizing source. In order to do that and assuming the case , the value of the corner frequency must be increased. From the above study, this will require enhancing the sensitivity of the node admittance with respect to the synchronizing generator, as gathered from (27). Finally, it is also possible to relate the noise corner to the synchronization bandwidth in an approximate manner. This will require the use of the linearization (3) about the free-running solution, which is valid for small input-power values. The admittance derivatives with respect to the amplitude and frequency will then be approximately the same as those obtained in freerunning conditions and the derivative with respect to the phase shift can be calculated with (12). When using this linearization, the phase-noise spectrum is given by

(29)

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

401

and using (15), it is possible to obtain the following expression, depending on the synchronization bandwidth:

(30)

From the inspection of (30), the corner frequency agrees with (27) with the derivatives calculated at the free-running sois given by lution, whereas the corner frequency (31) where the relationship (12) between the derivatives and has been taken into account. Thus, the corner freis directly proportional to the synchronization quency bandwidth. V. APPLICATION OF THE ANALYTICAL FORMULATION IN COMBINATION WITH HARMONIC BALANCE Expressions (27) and (28) can be introduced in the harmonicbalance software to directly obtain the two noise corner frequenand under any variation of the circuit parameters cies during the design process. This constitutes a significant advantage with respect to the two-stage analysis (harmonic balance plus conversion-matrix approach) that would otherwise be required to determine the corners. Expressions (27) and (28) can be implemented in commercial software by using four circuit copies, each with one AG connected to the observation node. For the direct determination of , only three circuit copies are needed. In one of the copies, the AG operates at the nominal and values of the synchronized solution and must fulfill the nonperturbation condition . The second copy operates at and enables the calculation of through finite differences [24]. The third copy operates at and enables the calculation of . Introducing (28) in the harmonic-balance simulator and also using the noise model , it is possible to directly obtain the corner frequency under any parameter variation. Two different applications of this technique are presented in the following. In the first one, the evolution of the phase-noise corners along the synchronization band is analyzed using the provided expressions in combination with a harmonic-balance parameter sweep. The closed-soof lution curves are efficiently traced by sweeping the phase the input source, while the AG phase is kept at zero. For open curves, a frequency sweep is carried out. In the second appliis increased at the design stage cation, the corner frequency through the variation of sensitive circuit elements.

j

j

j

j

Fig. 6. Variation of the different terms Y ; sin ; Y affecting the corner frequencies along the synchronization band. (a) Synchronized solution curve for P = 36 dBm. (b) Variation of Y . (c) Variation of sin . (d) Variation of Y .

j

0

j

j

j

A. Phase-Noise Variation Along the Synchronization Band Expression (26) allows a good understanding of the phasenoise variation along the synchronization band. As shown in is determined by the mag(27), the first corner frequency and the function with being the angle benitude tween and . For illustration, the different terms of (28) have been analyzed for the oscillator circuit of Fig. 1 with condBm. The corresponding synchrostant input power nization curve is represented in Fig. 6(a). The variations versus of the magnitude and the function are represented in Fig. 6(b) and (c), respectively. The stable section is , exindicated in the three representations. The magnitude hibiting a near-sinusoidal variation, only deviates 1.93% from its central value along the stable section of the synchronization band. To understand this variation, the relationship must be taken into account. In contrast, varies from 0 to 1 and determines the evolution of the corner frequency. Initially, a synchronizing source with phase-noise spectral has been considered with . density along the Fig. 7 shows the variation of the corner frequency stable section of the curve. In agreement with (27), the max. The curve is imum corner value is obtained for . In contrast, sharp nearly flat for the higher values of approaches zero. The variations of this corner occur as corner frequency tends to zero for . This is obtained at the turning points of the solution curve, which ful. At these points, the second term of the fill must equality (27) tends to infinity so the corner frequency tend to zero. Note that this is an artificial result due to the fact that the linearization used for the phase-noise analysis becomes invalid in the immediate neighborhood of the turning points. For validation, the results obtained with the conversion-matrix approach in ADS have been superimposed in Fig. 7 with excellent agreement. The advantage of the presented technique is that it allows a direct evaluation of the corner frequencies

402

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 7. Variation of the corner frequency f along the synchronization band for the input power P = 36 dBm. The results of the conversion matrix approach obtained with ADS are superimposed with squares.

0

Fig. 9. Phase-noise spectra obtained with the conversion matrix approach for two different values of the synchronization frequency, f = 4:9 GHz and f = 4:958 GHz.

0

Fig. 10. Variation of the phase-noise spectral density of the oscillator circuit in Fig. 1 along the synchronization band obtained for P = 36 dBm. Different values of the constant offset frequency have been considered.

and the magnitudes influencing these frequencies with a single harmonic-balance simulation or sweep. In contrast, determining the corner frequencies with the conversion-matrix approach requires the inspection of the corresponding phase-noise spectra obtained in a separate two-stage simulation. deAs shown in (28), the second corner frequency pends on and . In the considered ex, which also exhibits a near-sinusoidal shape versus ample, the phase shift, varies 34% of its central value [see Fig. 6(d)] and varies 22% around its central value 0.77, thus, it never reaches zero. The evolution of this corner frequency versus the synchronization frequency is shown in Fig. 8. Again, the corner and exfrequency is nearly flat for the higher values of approaches zero. The results hibits sharp variations as from the conversion-matrix approach are superimposed with good agreement. For completeness, Fig. 9 shows two spectra obtained with the conversion-matrix approach at two different generator frequencies within the synchronization band. As can be seen, the results confirm the semianalytical prediction of the variation of the two corner frequencies. The variation of the phase-noise spectral density versus has also been analyzed. the synchronization frequency Two different cases have been considered: relatively small input-power value (with the stable-synchronization band being delimited by turning-point bifurcations) and larger input power (with at least one of the limits of the stable band delimited by a Hopf bifurcation). Specifically, the considered values of the

input power agree with those used for the stability analysis and dBm. The of Section III (Fig. 3), i.e., phase-noise variation versus the input frequency for constant dBm, at different offset frequencies from the carrier, is shown in Fig. 10. The corresponding eigenvalue locus had been represented in Fig. 3(a). As can be seen in Fig. 10, for small offset, the phase-noise spectral density is constant and equal to that of the synchronizing source (see the curves corresponding to the offset frequencies of 1 and 10 kHz). At the , the phase noise takes band edges, fulfilling a maximum value that decreases with the offset frequency, which is in agreement with (26). For larger values of this offset frequency, the phase-noise spectral density exhibits smaller maxima at the two band edges. dBm (see Fig. 2), the stable-operation range For is delimited by a turning point at the upper edge and by a GHz [see Hopf bifurcation at the lower edge at Fig. 3(b)]. The Hopf bifurcation is associated to a pair of critical eigenvalues with a certain frequency shift with respect to the fundamental of the periodic solution. Thus, for an accurate prediction of the phase-noise spectrum at frequency offsets comparable to that frequency shift, (25) must be used, which takes into account the influence of the amplitude perturbation, only relevant at relatively large offset frequencies. Fig. 11(a) shows an expanded view of the phase-noise variation versus the dBm and input frequency, obtained with (25), for different offset frequencies. The considered input-frequency

Fig. 8. Variation of the second-corner frequency f along the synchronization band for the input power P = 36 dBm. The results of the conversion matrix approach obtained with ADS are superimposed with squares.

0

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

403

Fig. 12. Influence of the length of L . (a) Variation of term sin . (b) Variation of the magnitude Y . (c) Variation of the magnitude Y . (d) Variation of the magnitude of Y versus L . The considered input power is P = 36 dBm and the input frequency 4.9 GHz.

j

0

j j j

j

j

Fig. 11. Phase-noise analysis of the oscillator circuit shown in Fig. 1 for P = 26 dBm. (a) Variation of the phase-noise spectral density at different offset frequencies versus the input-generator frequency. (b) Comparison of the phasenoise spectrum (f = 4:6 GHz) obtained using (25) and the conversion-matrix approach.

0

interval is about Hopf bifurcation, and the stable and unstable input-frequency values are indicated in this figure. As can be seen, the phase noise undergoes amplification for input frequency about that corresponding to the Hopf bifurcation GHz). The maximum amplification will occur ( for an offset frequency agreeing with that of the unstable pair of eigenvalues [see Fig. 3(b)]. To see this more clearly, an expanded view of the phase-noise spectrum obtained for GHz is shown in Fig. 11(b). A sharp increase at the offset frequency of 240 MHz, agreeing with the frequency of the unstable eigenvalues, can be observed due to the proximity GHz to the of the considered input frequency Hopf bifurcation. B. Shifting of the First Corner Frequency Assuming that the term varies between 0 and 1 within will the stable synchronization band, the maximization of enable an overall increase of the corner frequency . This implies enhancing the sensitivity to the generator signal, which can be achieved, as gathered from (27), by increasing the generator amplitude or by optimizing the input circuit. To show versus this, the variation of the first noise corner and has been analyzed versus the line length for the GHz. The results are shown constant input frequency in Fig. 12(a) and (b). As already stated, the increase of will enable an overall increase of the phase-noise corner for the entire synchronization band. Note that the decrease of

Fig. 13. Shifting of the first corner frequency f , through variation of the dimensions of L for input power P = 36 dBm. The associated derivatives are represented in Fig. 12.

0

with indicates that the specified operation frequency is moving away from the center of synchronization band. This aspect must also be taken into account at the time to perform the corner shifting at specific input frequency . The magnivaries between 5 10 and 9 10 , whereas the tude magnitude varies between 0.4 10 and 0.55 10 , respectively [see Fig. 12(c) and (d)]. These values are close to those obtained in free-running conditions and would allow the use of (28) for the estimation of the second corner frequency. has On the other hand, the equivalent noise source level interval so a maximum variation of 3 dB in the considered the assumed constant value does not significantly affect the reis repsults. The predicted variation of the corner frequency resented in Fig. 13. Note that the stability properties may vary under the modification of any parameter. In this case, the synvalues. chronized solution is stable for all the considered A two-parameter analysis using (27) in terms of the dimenand the value of has also been carried out sions of for in order to maximize the first corner frequency GHz. The results are shown in Fig. 14. The maximum mm, mm, and corner value is obtained for pF and corresponds to kHz. These results

404

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008



Fig. 14. Maximization of the first corner frequency using (27) in combination with a two-parameter sweep in harmonic balance for input power P dBm. The two considered parameters are the length of L and capacitance C of the input circuit, directly affecting the sensitivity to the input generator.

036

= Fig. 16. Comparison between the phase-noise spectra before and after the application of the corner maximization technique. The input phase-noise spectrum is also represented.

have been manufactured and experimentally characterized. The measured phase-noise spectra for the initial and optimized designs are superimposed in Fig. 16. The measurements have been performed using Option 226 (phase-noise measurement personality) in an Agilent 4408B power spectrum analyzer (PSA). All the measurements have been validated using the delay-line discriminator measurement technique [32], [33] with very good agreement. Fig. 15. Comparison between the phase-noise spectra obtained with the conversion-matrix approach before and after the application of the corner maximization technique. A regular input phase-noise spectrum 30 dB/dec has been MHz and ! assumed. The locking bandwidths are ! MHz for f kHz and f kHz, respectively.

120

= 60

1 = 40 = 120

0

1

=

have been verified with the conversion-matrix approach in the commercial simulator ADS. Due to the fact that the stable synchronization band changes with the circuit elements, the synchronized solution curve should be retraced after the optimization process, determining the stable range. In Fig. 15, the resulting phase-noise spectrum before and after applying the maximization technique can be compared. The locking bandwidth of the injection-locked oscillator changes as the values of and are modified. The locking bandwidth of the circuits analyzed in Fig. 15 are MHz and MHz for kHz and kHz, respectively. Thus, the locking bandwidth increases with the value of . On is directly proportional to the the other hand, the value of locking bandwidth, as expected from (31). For simplicity, all of the above analyses have been performed assuming an input phase-noise characteristic of 30 dB/dec. There are no essential qualitative changes for a different shape of the input phase-noise spectrum. To show this, the same maximization technique has been applied in the case of the input phase-noise spectrum represented in Fig. 16, which corresponds to an HP/Agilent 83650 B signal generator. The method has been verified by comparing the initial and optimized phase-noise spectra obtained with the conversion-matrix approach. These spectra are shown in Fig. 16. For the validation of the proposed technique, the two different circuits

VI. EXTENSION TO A HARMONIC-INJECTION DIVIDER Here, an extension of the analysis techniques to harmonic-injection frequency dividers will be presented. For a divider by , together with harmonic the fundamental frequency terms will be considered at the observation node. In the particular case of a frequency divider by 2, the two equations and (with and being the first and second harmonic components of the total node current) are solved in terms at , at , and of the independent voltages in the at the generator phase shift . An arbitrary change input-generator phase gives rise to the phase increments and at respective frequency components and of the node voltage. Thus, in the absence of other perturbations, the propagates to the subphase noise from the input source . harmonic component of the circuit variables as and For the noise analysis, two frequency components will be considered in the circuit equations. Its perturbation in the analysis about the steady-state solution presence of the generator phase noise and the oscillator noise sources provides

(32) and are the phase increments with rewhere and . Note that spect to the original phase shift values

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

two frequency components and have also been considered in the equivalent noise source. The matrices and , respectively, contain the partial derivatives of the first and second harmonic components of the node current and with respect to the harmonic amplitudes, phases, and frequency. Replacing the time derivation with the multiplication and splitting into real and imaginary by the noise frequency parts, it is possible to obtain the output phase-noise spectrum of the frequency divider by 2. The required coefficients can be determined with harmonic balance using two AGs at the respective and . The simultaneous connection of these frequencies AGs during the derivative calculation through finite differences transforms the multiharmonic harmonic-balance system into a second-order system at the observation node . However, in order to get more insight into the divider behavior, a less accurate formulation, providing a simpler expression, has also been tested here. This formulation reduces the , although the circuit description to one harmonic term at is actually taken into acdependence on the input source at count in the inner tier. The total current at at the observation node is given by

405

Fig. 17. Solution curves and variation of the different terms jY j; sin and  j affecting the corner frequencies along the synchronization band. (a) SynjY chronization curves of the divisor for two values of input power with the results of the linearization approach superimposed. (b) Variation of jY j. (c) Variation of sin . (d) Variation of jY j.

(33) is the subharmonic amplitude of the node voltage where is the generator phase at . (with zero phase reference) and The equality (33) corresponds to the outer level equation at the fundamental frequency with the inner-level subsystem constituted by the pure harmonic-balance equations, as described in Section II. Thus, the entire multiharmonic multivariable system is taken into account to solve (33). The phase-noise spectrum of the divider circuit is derived following a similar derivation to the one presented in (20)–(26). The total phase perturba. Note that a tion at the observation node must be phase shift in the input generator gives rise to a phase shift in the subharmonic components at all the circuit nodes. Since the equations are written in terms of the phase shift, the does not appear in the perturbed oscilphase component lator equations. However, the time derivative of this component does contribute to the perturbed frequency. The complex fre. With quency perturbation is given by these considerations, a Taylor-series expansion of (33) about the steady-state solution is carried out. After eliminating the steady-state terms, the equations are split into real and imaginary parts. The Fourier transform is applied in the slow time variations, which is associated with the noise perturbations. The . The total phase noise is calculated system is solved for and multiplying the adjoint. The resulting by adding phase noise spectrum is given by

(34) . The accuracy degradation comes from the fact with that the oscillator-noise model is limited to the subharmonic frequency. Close to the carrier, the output phase noise spectrum

will be equal to the input-generator spectrum divided by i.e.,

,

(35) The approximate analysis technique has been applied to the FET-based circuit of Fig. 1, operating as a harmonic-injection divider by with 9.8-GHz input frequency. In Fig. 17(a), dBm and the synchronization curves obtained for dBm with multiharmonic harmonic balance and with the first-order Taylor series expansion of (33), of identical form to (3), are superimposed. Note that, for this divider in (3) corresponds to the differanalysis, the phase shift and that of the input ence between the voltage phase at generator at twice this frequency . The excellent agreement in Fig. 17(a) confirms the validity of (33) for the determination of the steady-state solution. The stable (S) and unstable (U) sections of these synchronization curves are indicated. In Fig. 17(b) and (c), the variation of the two magnitudes, influand have encing the first corner frequency for the input power been represented versus the phase shift dBm. On the other hand, Fig. 17(d) shows the variinfluencing . ation of the derivative Initially, a synchronizing source with phase-noise spectral with has been considered. density along Fig. 18 shows the variation of the corner frequency dBm. the synchronization band corresponding to The results of the conversion-matrix approach are superimposed with squares. Fig. 19 shows the phase-noise spectral density at different offset frequencies for the carrier calculated with (34). For small offset frequency, the output phase noise is 6 dB smaller than the input one and constant along the synchronization band. For larger offset frequencies, the phase-noise increases as the edges of the synchronization band is are approached. At these edges, condition

406

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 18. Variation of the corner frequency along the synchronization band. The results of the conversion matrix approach are superimposed with squares.

Fig. 21. Comparison between the phase-noise spectra, at the output of the divider (4.9 GHz), before and after the application of the corner maximization technique. The input phase-noise spectrum at f = 9:8 GHz, corresponding to an HP/Agilent 83650B frequency generator, is also represented. Measurements have been superimposed for both cases.

(HP/Agilent 83650B) has also been considered. Without modifying the design obtained from the previous frequency shifting, the measured first corner frequency is increased from kHz to kHz (Fig. 21). VII. CONCLUSION

Fig. 19. Phase-noise variation along the synchronization band for different offset frequencies.

Fig. 20. Comparison between the phase-noise spectra obtained with the conversion-matrix approach before and after the application of the corner maximization technique. A regular input phase-noise spectrum 30 dB/dec has been assumed.

0

fulfilled. From the inspection of the denominator of (34), the maximum value of the phase-noise spectral density, reached at the band edges, decreases with the offset frequency . Finally, the technique for the shifting of the first corner frehas been applied to the frequency divider by 2 using quency the corresponding expression based on (34). The original value kHz (Fig. 20). With of the first corner frequency was the new technique, this frequency has been shifted to kHz. The locking bandwidth of the frequency dividers are MHz and MHz for kHz kHz, respectively. For an experimental valiand dation, the phase-noise characteristic of a laboratory generator

In this paper, an in-depth study of the phase noise of injection-locked oscillators has been presented. The obtained analytical expressions give explanation to the shape of the phase-noise spectrum and allow identifying the key magnitudes that determine this shape. The phase-noise evolution along the synchronization band is analyzed with the derived formulation and related to the variation of the solution stability properties. The expressions can be combined with harmonic-balance simulations, which allow, for example, a direct determination of the spectrum corner frequencies in a single simulation. A technique is also given to increase the first corner frequency up to which the output phase-noise copies that of the input generator. Finally, the presented expressions have been extended to harmonic-injection frequency dividers. The obtained results have been experimentally verified using an injection-locked oscillator operating at 4.9 GHz and a frequency divider by 2 with 9.8-GHz input frequency, showing very good agreement with the simulation results. REFERENCES [1] K. Kurokawa, “Injection locking of microwave solid state oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1386–1410, Oct. 1973. [2] K. Kurokawa, “Stability of injection-locked oscillators,” Proc. IEEE, vol. 60, no. 9, pp. 907–908, Sep. 1972. [3] Y. Tajima, “GaAs FET applications for injection-locked oscillators and self-oscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 7, pp. 629–632, Jul. 1979. [4] R. J. Betancourt-Zamora, S. Verma, and T. H. Lee, “1-GHz and 2.8-GHz CMOS injection-locked ring oscillator prescalers,” in VLSI Circuits Symp. Dig., Jun. 2001, pp. 47–50. [5] H. R. Rategh and T. H. Lee, “Superharmonic injection-locked frequency dividers,” IEEE J. Solid-State Circuits, vol. 34, no. 6, pp. 813–821, Jun. 1999. [6] C. Van Den Bos and C. J. M. Verhoeven, “Frequency division using an injection-locked relaxation oscillator,” in IEEE Int. Circuits Syst. Symp., 2002, pp. 517–520. [7] S. M. Genco and A. R. Mickelson, “Reduced phase noise in microwave oscillators due to optical signal injection,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 1291–1294.

RAMÍREZ et al.: PHASE-NOISE ANALYSIS OF INJECTION-LOCKED OSCILLATORS AND ANALOG FREQUENCY DIVIDERS

[8] P. Kinget, R. Melville, D. Long, and V. Gopinathan, “An injectionlocking scheme for precision quadrature generation,” IEEE J. SolidState Circuits, vol. 37, no. 7, pp. 845–851, Jul. 2002. [9] A. Mazzanti, P. Uggetti, and F. Svelto, “Analysis and design of injection-locked LC dividers for quadrature generation,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1425–1433, Sep. 2004. [10] O. Llopis, M. Regis, S. Desgrez, and J. Graffeuil, “Phase noise performance of microwave analog frequency dividers application to the characterization of oscillators up to the millimeter-wave range,” in Proc. IEEE Int. Freq. Control Symp., 1998, pp. 550–554. [11] M. Odyniec, RF and Microwave Oscillator Design. Norwood, MA: Artech House, 2002. [12] K. Kurokawa, “Noise in synchronized oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 234–240, Apr. 1968. [13] J. M. Paillot, J. C. Nallatamby, M. Hessane, R. Quere, M. Prigent, and J. Rousset, “General program for steady state, stability, and FM noise analysis of microwave oscillators,” in IEEE MTT-S Int. Microw. Symp. Dig., 1990, vol. 3, pp. 1287–1290. [14] V. Rizzoli, F. Mastri, and D. Masotti, “General noise analysis of nonlinear microwave circuits by the piecewise harmonic-balance technique,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 5, pp. 807–819, May 1994. [15] J. C. Nallatamby, M. Prigent, J. C. Sarkissian, R. Quéré, and J. Obregón, “A new approach to nonlinear analysis of noise behavior of synchronized oscillators and analog-frequency dividers,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 8, pp. 1168–1171, Aug. 1998. [16] T. Sugiura and S. Sugimoto, “FM noise reduction of Gunn-effect oscillators by injection locking,” Proc. IEEE, vol. 57, no. 1, pp. 77–78, Jan. 1969. [17] W. R. Day, G. E. Lindgren, and C. C. Peterson, “Microwave solid-state injection locked amplifiers,” Microw. J., vol. 19, pp. 59–61, May 1976. [18] H. C. Chang, X. Cao, M. J. Vaughan, U. K. Mishra, and R. A. York, “Phase noise in externally injection-locked oscillator arrays,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2035–2042, Nov. 1997. [19] V. Rizzoli, A. Neri, and D. Masotti, “The application of harmonic-balance methodology to the analysis of injection locking,” in IEEE MTT-S Int. Microw. Symp. Dig., 1992, pp. 1591–1594. [20] V. Rizzoli, F. Mastri, E. Furini, and A. Costanzo, “A Krylov-subspace technique for the global stability analysis of large nonlinear microwave circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 435–438. [21] V. Rizzoli, A. Costanzo, and A. Neri, “Automatic generation of the solution path of a parametrized nonlinear circuit in the presence of turning points,” Microw. Opt. Technol. Lett., vol. 7, pp. 270–274, 1994. [22] V. Rizzoli and A. Neri, “Automatic detection of Hopf bifurcations on the solution path of a parametrized nonlinear circuit,” IEEE Microw. Guided Wave Lett., vol. 3, no. 7, pp. 219–221, Jul. 1993. [23] A. Suarez, J. Morales, and R. Quéré, “Synchronization analysis of autonomous microwave circuits using new global stability analysis tools,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 494–504, May 1998. [24] F. Ramirez, E. de Cos, and A. Suarez, “Nonlinear analysis tools for the optimized design of harmonic-injection dividers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1752–1762, Jun. 2003. [25] A. Suarez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Boston, MA: Artech House, 2003. [26] J. Jugo, J. Portilla, A. Anakabe, A. Suarez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2001. [27] J. M. Collantes, I. Lizarraga, A. Anakabe, and J. Jugo, “Stability verification of microwave circuits through Floquet multiplier analysis,” in Proc. IEEE Asia–Pacific Circuits Syst., 2004, pp. 997–1000. [28] G. Iooss and D. D. Joseph, Elementary Stability and Bifurcation Theory, 2nd ed. Berlin, Germany: Springer-Verlag, 1990. [29] J. M. T. Thompson and H. B. Stewart, Nonlinear Dynamics and Chaos, 2nd ed. New York: Wiley. [30] R. Adler, “A study of locking phenomena in oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1380–1385, Oct. 1973. [31] P. F. Combes, J. Graffeuil, and J. F. Sautereau, Microwave Components, Devices and Active Circuits. New York: Wiley, 1987. [32] Hewlett-Packard, “Phase noise,” presented at the RF and Microw. Phase Noise Meas. Seminar, 1985.

407

[33] D. Owen, “Good practice guide for phase noise measurement,” Meas. Good Practice Guide, pp. 1–61, May 2004.

Franco Ramírez (S’03–A’04–M’05) was born in Potosí, Bolivia. He received the Electronic Systems Engineering degree from the “Antonio José de Sucre” Military School of Engineering (EMI), La Paz, Bolivia, in 2000, and the Ph.D. degree in communications engineering from the University of Cantabria, Santander, Spain, in 2005. In 2001, he joined the Communications Engineering Department, University of Cantabria, where he is currently a Post-Doctoral Researcher. His research interests include phase noise, stability, and the development of nonlinear techniques for the analysis and design of autonomous microwave circuits,

Mabel Pontón was born in Santander, Spain. She received the Telecommunication Engineering degree from the University of Cantabria, Santander, Spain, in 2004, and is currently working toward the Ph.D. degree at the University of Cantabria. Her research interests include stability and phase-noise analysis of injection-locked oscillators, coupled oscillators, and frequency dividers.

Sergio Sancho (A’04–M’04) was born in Santurce, Spain, in 1973. He received the Electronic and Automatic Physics degree from Basque Country University, Basque Country, Spain, in 1997, and the Ph.D. degree from the University of Cantabria, Santander, Spain, in 2002. In 1998, he joined the Communications Engineering Department, University of Cantabria. His research interests include the nonlinear analysis of microwave circuits and frequency synthesizers, investigation of chaotic regimes, and phase-noise analysis.

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. She is currently a Full Professor with the Communications Engineering Department, University of Cantabria. She has been the leading researcher in several Spanish research and development projects and has taken part in a number of Spanish and European projects in collaboration with industries. She has been Technical Referee of the Spanish Evaluation Agency for research proposals. Her research interests include the nonlinear design of microwave circuits, especially the stability and phase-noise analysis and investigation of chaotic regimes. She coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). Dr. Suárez is a member of the Technical Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). She has given invited talks at different conferences and institutions in Europe and the U.S. She is an IEEE MTT-S Distinguished Microwave Lecturer (2006–2008).

408

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Efficient Algorithms for Crank–Nicolson-Based Finite-Difference Time-Domain Methods Eng Leong Tan, Senior Member, IEEE

Abstract—This paper presents new efficient algorithms for implementing 3-D Crank–Nicolson-based finite-difference time-domain (FDTD) methods. Two recent methods are considered, namely, the Crank–Nicolson direct-splitting (CNDS) and Crank–Nicolson cycle-sweep-uniform (CNCSU) FDTD methods. The algorithms involve update equations whose right-hand sides are much simpler and more concise than the original ones. Analytical proof is provided to show the equivalence of original and present methods. Comparison of their implementations signifies substantial reductions of the floating-point operations count in the new algorithms. Other computational aspects are also optimized, particularly in regard to the for-looping overhead and the memory space requirement. Through numerical simulation and Fourier stability analysis, it is found that while the CNDS FDTD is unconditionally stable, the CNCSU FDTD may actually become unstable. Index Terms—Computationalelectromagnetics,Crank–Nicolson methods, finite-difference time-domain (FDTD) methods, unconditionally stable methods.

In this paper, new efficient algorithms are devised for implementing 3-D Crank–Nicolson-based FDTD methods. Sections II and III present efficient algorithms for two recent methods [6], namely, Crank–Nicolson direct-splitting (CNDS) and Crank–Nicolson cycle-sweep-uniform (CNCSU) FDTD methods, respectively. The algorithms involve update equations whose right-hand sides are much simpler and more concise than the original ones in [6]. In Section IV, analytical proof is provided to show the equivalence of original and present methods. Comparison of their implementations in Section V signifies substantial reductions of the floating-point operations (flops) count in the new algorithms. Other computational aspects are also optimized, particularly in regard to the for-looping overhead and the memory space requirement. Through numerical simulation and Fourier stability analysis, it is found that while the CNDS FDTD is unconditionally stable, the CNCSU FDTD of [6] may actually become unstable. II. EFFICIENT CNDS ALGORITHM

I. INTRODUCTION HE alternating direction implicit finite-difference time-domain (FDTD) method is a celebrated unconditionally stable method with its time-step size not constrained by the Courant–Friedrichs–Lewy condition [1]. Recently, there has been considerable interest to develop other unconditionally stable FDTD methods such as those based on the split-step approach [2], locally 1-D scheme [3], and various Crank–Nicolson-based approximation or factorization splitting [4]–[6]. The Crank–Nicolson-based FDTD methods have the potential of featuring higher numerical accuracy, smaller anisotropy, or greater flexibility in incorporating current source terms (e.g., in merely one sub-step). However, from the implementation point of view, most Crank–Nicolson-based methods presented thus far involve complicated update equations with considerable arithmetic operations. To make these methods more attractive, their update equations need to be simplified and their computation efficiencies should be improved. Despite more challenges due to the greater complexity (and the need of stability reassessment) for Crank–Nicolson-based methods, it remains our goal to make them simpler and more efficient. We previously reported the efficient 3-D alternating direction implicit and locally 1-D FDTD methods [7], [8].

T

In this section, the update equations are presented for the new efficient CNDS algorithm. Henceforth, we shall adopt the following notations: (1) ,

, are the difference operators for the first derivatives, and are the electric and magnetic source terms, , and , are the (physical) respectively, and , respectively. electromagnetic fields at time step and , While the (nonphysical) intermediate fields are denoted by in [6], our intermediate fields will be signified by (distinct) along with some auxiliary field variables in small letters and . Exploitation of these variables leads to the following implementation. A. First Procedure From

to

(i) Auxiliary updating for

is as follows: (2)

(ii) Implicit updating for

is as follows: (3a)

Manuscript received July 16, 2007; revised October 22, 2007. The author is with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914641 0018-9480/$25.00 © 2008 IEEE

(3b) (3c)

TAN: EFFICIENT ALGORITHMS FOR CRANK–NICOLSON-BASED FDTD METHODS

409

where

(11b) (11c) (4a) (4b) III. EFFICIENT CNCSU ALGORITHM

(4c)

B. Second Procedure From (i) Auxiliary updating for

In this section, the update equations are presented for the new efficient CNCSU algorithm. We shall follow the previous notations in the following implementation.

to and

is as follows: (5a)

A. First Procedure From

to

(i) Auxiliary updating for

is as follows: (12)

(5b) (5c)

(ii) Implicit updating for

is as follows:

(6) (ii) Implicit updating for

is as follows:

(13a) (7a) (7b) (13b)

(7c) (iii) Auxiliary updating for

is as follows: (8a)

(13c)

(8b) (8c) The algorithm above preserves the convenience of source incorporation (in one sub-step) like the original CNDS method. Furthermore, it does not return the final magnetic fields for output directly. Such implementation is more efficient since it exploits the (often) reduced or infrequent output data processing, especially when only the electric (and not the magnetic) field data is needed. If desired, the magnetic fields may still be obtained simply by (9) When there are nonzero initial fields rithm should take the initialization as

and

where (14a) (14b) (14c)

B. Second Procedure From (i) Auxiliary updating for

to is as follows: (15)

, the algo(ii) Implicit updating for

is as follows:

(10a)

(16a)

(10b)

(16b)

(10c) (11a)

(16c)

410

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

(iii) Explicit updating for

is as follows:

Substituting (23) and (24) into (3a) leads to

(17a) (26) (17b)

Multiplying (26) by 4 and using (19), we obtain the intermediate implicit update equation

(17c) Again, the algorithm above preserves the convenience of source incorporation like the original CNCSU method. For nonzero initial fields, the initialization reads

(27) For the second procedure, we utilize (23) and (25) in (5c) and (6) to get (28) (29)

(18a) Substituting (28) and (29) into (7a) gives (18b) (18c)

(30)

IV. ANALYTICAL PROOF OF EQUIVALENCE The algorithms presented in Sections II and III correspond, respectively, to the efficient implementations of CNDS and CNCSU FDTD methods. Despite taking much simpler and more concise form, these methods are equivalent to the original and ones described in [6]. Furthermore, the final fields herein coincide with those in [6], and their intermediate fields (in terms of here and in [6]) are related by

Multiplying (30) by 2 and using (19), we arrive at the final implicit update equation

(31) For the explicit update equation, utilizing (29) along with (19) in (8c) and (9) yields

(19) While one can easily demonstrate such correspondence by means of numerical tests, we shall provide the analytical proof in the sequel. Let us examine the CNDS FDTD method in Section II. For the first procedure, we consider (7a), (8b), (8c), and (9) at one time step backward as follows: (20) (21) (22) Manipulating these equations along with (2) gives (23) (24) (25)

(32) Equations (27), (31), and (32) can be found to coincide with [6, eqs. (11a), (12a), and (13c)], respectively. Note that while the magnetic source terms have been incorporated correctly in the explicit update equations therein (cf. [6, eq. (13c)]), they are missing in the implicit update equations (cf. [6, eqs. (11a) and (12a)]). Although we have proven the update equations for and components only in the above, the correspondence for other update equations may be shown analytically in the same way, or simply by permuting the indices above, e.g., , , , etc. In a similar manner, one can prove the equivalence of the CNCSU FDTD method in Section III and [6]. It should be noted that the analytical proof of equivalence provided here is exact with no additional approximation throughout the analysis. Therefore, both methods simply correspond to those of [6], but with their implementations simplified considerably via the present efficient algorithms. Such equivalence is again borne out in their same numerical characteristics (accuracy, stability/instability, etc.) and simulation results, which will be illustrated later.

TAN: EFFICIENT ALGORITHMS FOR CRANK–NICOLSON-BASED FDTD METHODS

411

TABLE I COMPARISON OF ALGORITHMS

Multiplications/divisions (M/D), additions/subtractions (A/S)

V. DISCUSSION A. Comparison of Algorithms Having proven the new algorithms, some comparison with the original implementations are in order. Let us first determine the flops count taking into account the number of multiplications/divisions (M/D) and additions/subtractions (A/S) required for one complete time step. Table I lists the flops count for both original [6] and present algorithms of CNDS and CNCSU FDTD methods. The count is based on the right-hand sides of their respective implicit, explicit, and auxiliary update equations using central difference operators. For simplicity, the source terms have been excluded and the number of electric and magnetic field components in all directions have been taken to be the same. It is also assumed that all multiplicative factors have been precomputed and stored. From Table I, it is clear that the flops count has been reduced substantially for both CNDS and CNCSU FDTD methods using the present efficient algorithms. In particular, the CNDS has flops count reduction from 117 to 42, which corresponds to an efficiency gain of 2.79 for the right-hand sides of update equations. For the CNCSU, its flops count has been reduced from 153 to 84, which corresponds to an efficiency gain of 1.82. Besides the right-hand sides of update equations, there is also the cost of solving (implicit) tridiagonal systems, i.e., typically approximately flops for a system of order using precomputed bidiagonally factorized elements. Taking such cost into account, the present CNDS and CNCSU algorithms still achieve overall efficiency gains of 2 and 1.6, respectively, in flops count reductions over the original ones of [6]. More importantly, coding these algorithms is much simpler due to their concise form comprising many fewer terms. Apart from arithmetic operations, the for-looping overhead incurred in most programming languages should also be considered (cf. Table I). Each for-loop is to perform the entire sweep along -, -, and -directions for one field component. To avoid introducing additional for-loops in the present algorithms, some of the auxiliary updatings may be incorporated into the same loops of implicit updatings. In particular, for the efficient CNDS algorithm, the update component equations of (2) and (6) can be tied with those of (3) and (7), respectively. This then constitutes 12 for-loops altogether for all update equations in both procedures. Although it seems to take fewer loops for the original CNDS implementation of [6], the gain in loops reduction is not

that significant compared to the much more gain attained in flops reduction for the present case. Similarly for the efficient CNCSU algorithm, the update component equations of (12) and (15) can be inside the loops of (13) and (16), respectively. Since there is now no intermediate updating of the magnetic field, the number of for-loops needed is only nine. For the original CNCSU implementation of [6], one extra loop is required to store the field components at time step . This has been saved via proper field array pointer indexing in the present case. In regard to the memory space requirement, Table I lists the field arrays needed in the original and present algorithms of CNDS and CNCSU FDTD methods. In particular, both original methods of [6] require the storage for their variables in, , , and . (The slash symbol of cluding means that one field array is used alternately to store and .) Note that all initial, intermediate, and final electric field values are required simultaneously for magnetic field updating [cf. (32)], although the space of may be reusable for . In the present algorithms, many auxiliary field variables may occupy the same spaces of intermediate and final field variables. For instance, in the program for an efficient CNDS algorithm, all may share the same field array to be assigned with new values successively. Hence, the memory size does not increase with auxiliary variables. Furthermore, when the final magnetic field data is not needed from the present CNDS algorithm, one may omit the spaces for , thereby demanding even less memory than the original implementation. Numerical simulations have been carried out using various algorithms discussed above. The programs have been compiled using Microsoft Visual C under Microsoft Windows XP operating system (OS). To determine the actual computation efficiency gains in CPU time, we have run the programs on ten computers of the same NEC model with Intel Pentium 4 CPU 3.4-GHz and 1-GB RAM. (Typical available physical memory is only 700–800 MB due to some system processes.) Different numbers of time steps from 10 to 100 (sometimes 500) have been adopted with the CPU time found to be varying quite linearly. Two cases of 50 50 50 and 200 200 200 cells have been tested. In the first case, the mode CPU time for 100 time steps is 22.3 and 26.3 s using the CNDS and CNCSU algorithms of [6], while it is 7.50 and 16.6 s using the algorithms of Sections II and III. This corresponds to actual efficiency gains of 2.97 and 1.58, respectively. It is interesting to find that the gain of new CNDS algorithm can be higher than expected, which

412

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 1. Time-domain E computed using Yee and CNDS FDTD.

Fig. 2. Time-domain E computed using Yee and CNCSU FDTD.

may be attributed to less memory indexing required for fewer terms. In the second case, the mode CPU time for 100 time steps is 2160 and 2330 s using the CNDS and CNCSU algorithms of [6], while it is 1460 and 1730 s using the algorithms of Sections II and III. This corresponds to actual efficiency gains of 1.48 and 1.35, respectively. Such reduced gains may be caused by additional OS overheads due to program executions close to available physical memory. Even though the specific gains may be higher or lower, the numerical experiments above have demonstrated that the new CNDS and CNCSU algorithms are indeed more efficient. B. Numerical Results For numerical illustration, we simulate an air-filled cavity meshed with 50 50 50 uniform grid cells of size 2 mm each. The cavity is initialized with -mode fields at time . Fig. 1 plots the time-domain electric fields at cell computed using Yee and CNDS FDTD methods. Yee-FDTD is based on the Courant limit time-step size , whereas CNDS FDTD uses larger time-step size with Courant number being 4, 8, and 16. (CFLN cannot be too large due to limitations, as discussed in [6] and [9].) The numbers of time steps to generate Fig. 1 are 4000, 1000, 500, and 250 for Yee and CNDS FDTD methods with and , respectively. The CNDS FDTD results are close to that of Yee’s when the time step size is not too large and the simulation duration is not too long. Both original and present algorithms of the CNDS FDTD method have been implemented, and their results are the same with their plots not distinguishable. This again verifies the equivalence of these algorithms, even though they were subject to quite different codings and executions (in our case, simple and efficient). In Fig. 2, the time-domain electric fields are recomputed using the CNCSU FDTD method. It is found that the computation may become unstable using either the original or present algorithm. For instance, the electric field amplitude is seen to start growing after 720 time steps for (or after 550 and 500 time steps—beyond Fig. 2 duration—for and ). The instability of CNCSU

Fig. 3. Sample plots of the eigenvalues of CNCSU (crosses) and CNDS (dots) Fourier-updating matrix for CFLN = 1; 4; 8; and 16 around unit semicircle.

is contrary to the previous claim [6] that it is also an unconditionally stable FDTD method. To ascertain that instability does exist, we resort to the independent means of the Fourier method and investigate the eigenvalues of the Fourier-updating (amplification) matrix. These eigenvalues may be obtained from the roots of an amplification factor polynomial (cf. [6, (24)]). Note that the last term in [6, eq. (24b)] should have a factor of 2 instead of 4, and the correct nonstationary amplification factors should be found as solutions of (33) (34) ( ’s are defined in [6]). For the CNCSU in our example, calculations reveal that some of their eigenvalues may be larger than one in magnitude for certain Fourier wavenumbers. To clarify further, Fig. 3 shows the sample plots of the eigenvalues of CNCSU Fourier-updating matrix for and around a unit semicircle. The eigenvalues correspond to some random sets of Fourier wavenumbers along -, -, and -directions. It is observed that for , there may

TAN: EFFICIENT ALGORITHMS FOR CRANK–NICOLSON-BASED FDTD METHODS

exist eigenvalues outside the unit circle, i.e., they have magnitudes greater than one. On the other hand, all the eigenvalues for CNDS are lying on the unit circle, as in Fig. 3, i.e., they are always of unity magnitude. Therefore, it is ascertained that only the CNDS, but not CNCSU is unconditionally stable.

VI. CONCLUSION This paper has presented new efficient algorithms for implementing the 3-D CNDS and CNCSU FDTD methods. The algorithms involve update equations whose right-hand sides are much simpler and more concise than those of [6]. Analytical proof has been provided to show the equivalence of the original and present methods. Comparison of their implementations signifies substantial reductions of flops count in the new algorithms. Other computational aspects have also been optimized, particularly in regard to the for-looping overhead and the memory space requirement. Through numerical simulation and Fourier stability analysis, it has been found that while the CNDS FDTD is unconditionally stable, the CNCSU FDTD may actually become unstable. Although not all Crank–Nicolson-based FDTD methods are unconditionally stable, there may be certain features attributed to them that can be exploited at advantage (cf. [4]–[6]). Since the emphasis of this paper has been to devise efficient algorithms, such exploitation is beyond the scope of this paper. Meanwhile, thanks to their simplicity and efficiency, the present algorithms would be useful for further investigations and applications of CNDS and CNCSU FDTD methods (possibly along with some means of stabilization). Moreover, the implementations of many other Crank–Nicolson-based methods including Crank–Nicolson approximate-factorization-splitting (CNAFS), Crank–Nicolson Douglas–Gunn (CNDG), Crank–Nicolson cycle-sweep (CNCS), etc., may be made simpler and more efficient in a similar manner.

413

REFERENCES [1] A. Taflove and S. C. Hagness, Computational Electrodynamics: The Finite-Difference Time-Domain Method. Boston, MA: Artech House, 2005. [2] J. Lee and B. Fornberg, “A split step approach for the 3-D Maxwell’s equations,” J. Comput. Appl. Math., vol. 158, pp. 485–505, 2003. [3] J. Shibayama, M. Muraki, J. Yamauchi, and H. Nakano, “Efficient implicit FDTD algorithm based on locally one-dimensional scheme,” Electron. Lett., vol. 41, no. 19, pp. 1046–1047, Sep. 2005. [4] G. Sun and C. W. Trueman, “Approximate Crank–Nicolson schemes for the 2-D finite-difference time-domain method for TE waves,” IEEE Trans. Antennas Propag., vol. 52, no. 11, pp. 2963–2972, Nov. 2004. [5] G. Sun and C. W. Trueman, “Unconditionally-stable FDTD method based on Crank–Nicolson scheme for solving three-dimensional Maxwell equations,” Electron. Lett., vol. 40, no. 10, pp. 589–590, May 2004. [6] G. Sun and C. W. Trueman, “Efficient implementations of the Crank–Nicolson scheme for the finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2275–2284, May 2006. [7] E. L. Tan, “Efficient algorithm for the unconditionally stable 3-D ADIFDTD method,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 7–9, Jan. 2007. [8] E. L. Tan, “Unconditionally stable LOD-FDTD method for 3-D Maxwell’s equations,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 2, pp. 85–87, Feb. 2007. [9] G. Sun and C. W. Trueman, “Some fundamental characteristics of the one-dimensional alternate-direction-implicit finite-difference time-domain method,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 46–52, Jan. 2004. Eng Leong Tan (S’99–M’00–SM’06) received the B.Eng. (Electrical) degree (with first-class honors) from the University of Malaya, Kuala Lumpur, Malaysia, in 1996, and the Ph.D. degree in electrical engineering from Nanyang Technological University, Singapore, in 2000. From 1991 to 1992, he was a Research Assistant with the University of Malaya. From 1991 to 1994, he was with the Commercial Network Corporations Sdn.Bhd., Kuala Lumpur, Malaysia, on a part-time basis. From 1999 to 2002, he was a Member of Technical Staff with the Institute for Infocomm Research, Singapore. Since 2002, he has been with the School of Electrical and Electronic Engineering, Nanyang Technological University, where he is currently an Assistant Professor. His research interests include computational electromagnetics and acoustics, RF, and microwave circuit design.

414

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Exact Equivalent Straight Waveguide Model for Bent and Twisted Waveguides Dzmitry M. Shyroki

Abstract—Exact equivalent straight waveguide representation is given for a waveguide of arbitrary curvature and torsion. No assumptions regarding refractive index contrast, isotropy of materials, or particular morphology in the waveguide cross section are made. This enables rigorous full-vector modeling of in-plane curved or helically wound waveguides with use of available simulators for straight waveguides without the restrictions of the known approximate equivalent-index formulas. Index Terms—Coordinate transformation, equivalent straight waveguide, helical coordinates.

I. INTRODUCTION

I

N 3-D space, any curve can be defined by its curvature and torsion , which both may vary along the curve. If and are constant, an ordinary helix is defined. In this paper, we shall consider electromagnetic waveguides whose axes are helical. Instead of specifying and , it is convenient to parameterize and the radius, or axial shift such waveguides by the pitch (the latter introduced with some ambiguity), as in Fig. 1. By setting , one arrives at an in-plane bend; by taking , the waveguide gets straight. Many specific full-vector methods to treat in-plane waveguide bends have been reported, most of them based on the separation of variables in cylindric coordinates and the subsequent treatment of waveguide modes in the cross section—by the source-type integral-equation technique [1], [2], the finitedifference [3]–[5] or finite-element [6] methods, the method of lines [7]–[9], etc. While being very efficient in terms of computation workload, these methods require substantial effort at the algorithm implementation stage, and cannot be used for modeling waveguide twists. More general are 3-D time-domain finite-difference [10], [11] and finite-element [12], [13] methods. Their common drawback is high computation cost: in one recent example [12], a 64-processor cluster was used for fiber bend propagation modeling. A widely used approximate technique to treat waveguide bends is by introducing an equivalent straight waveguide with refractive index profile modified as [4], [14]–[17]

(1)

Manuscript received August 2, 2007; revised November 18, 2007. The author was with the Department of Communications, Optics and Materials, Technical University of Denmark, 2800 Kgs. Lyngby, Denmark. He resides in Minsk 220040, Belarus (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914637

Fig. 1. Waveguide of arbitrary cross section wound in an helix. Two characteristic parameters are the pitch (or period) 2P and the radius R.

is the dielectric permittivity (assumed where scalar, as measured in a Cartesian frame) in the cross section perpendicular to the waveguide curved axis, is the bend rais the distance from the -directed curvature dius, and axis. The equivalent-index approach is very appealing because there are many reliable tools for perfectly straight waveguides. Unfortunately, any of the equivalent-index formulas in (1) are only valid in the weak-guidance regime and for small curvature of an isotropic waveguide. Furthermore, none are useful in modeling twisted waveguides. An interesting approach to modeling an homogeneously twisted waveguide with rigorous equivalent permittivity and permeability was reported in [18]. It was made possible due to the generally covariant formulation of Maxwell’s equations, as sketched below in Section II. The drawback of the “rectangular helical” coordinate system used in [18] and [19] (in terminology of Waldron [20]) is that the waveguide is defined by its cross section in the -plane (see Fig. 1), which is convenient when the waveguide is twisted along its axis, but this prevents , ) being obtained by in-plane waveguide bend ( . In contrast, the formulation in this paper is based on a “polar helical” system [20], or rather its modification specified in Section III. In Section IV, exact equivalent and profiles and the curvature are derived, reducing to (1) when is small. In Section V, two other important special cases are considered: an in-plane waveguide bend of arbitrary curvature, and a weakly twisted waveguide. II. MAXWELL’S EQUATIONS Owing to the generally covariant nature of classical electrodynamics [21], Maxwell’s equations in arbitrary curvilinear co-

0018-9480/$25.00 © 2008 IEEE

SHYROKI: EXACT EQUIVALENT STRAIGHT WAVEGUIDE MODEL FOR BENT AND TWISTED WAVEGUIDES

ordinates can be written in a form identical to their Cartesian representation in a right-handed system as follows:

415

The corresponding distance element is

(7)

(2) is the permutation tensor density, , time where , and the usual derivatives are denoted with dots, summation rule is assumed. The permittivity and permeare tensor densities of weight 1, which transform ability as

Hence, the metric tensor does not depend on and, thus, the permittivity and permeability transformed according to (5) do not acquire dependence on for a waveguide defined by its cross section in the -plane and by a constant rate of rotation around the -axis. As mentioned in Section I, however, this transforma. tion is not meaningful for A different transformation from Cartesian to the “polar heas before) lical” system (we denote new coordinates by was proposed in [20] as follows:

(3) while

and

(8)

are covariant vectors (4)

is the Jacobian transformation matrix, . For isotropic media possessing scalar permittivity and permeability , measured in a Cartesian frame, transformation rules (3) reduce to where

. (We use the longitudinal helical coordinate instead of the conventional polar coordinate , and denote the radial coordinate by for coherent notation in this paper. The choice was made to ease use of the equivalent-index formulas below.) The corresponding distance element with

(5)

(9)

owing to the transformation behavior of the metric tensor and insofar as in a Cartesian frame. , to The determinant of covariant metric comply with standard notation. Note also that the “relative” and “free-space” permittivity and permeability are often used and , and the free-space speed of such that . light Since Maxwell’s equations (2) are indistinguishable from and , those for Cartesian components of three-vectors an ordinary mode solver based on a Cartesian grid can be employed for any coordinate system, given the permittivity and permeability profiles modified via (3) or (5). Cartesian components of “conventional” three-vectors and can then and via (4) if necessary. Furthermore, if be restored from the transformed and appear to be independent on one of the coordinates, standard separation of variables becomes possible.

shows that the metric tensor depends solely on now and paraand metrically on and with components , unlike in (7), and it reduces to the metric of cylindric system by setting . We may thus use transformation (8) for our purposes. It is still not very practical, however, as cross section, far as the waveguide must be defined by its . which is not perpendicular to the waveguide axis once We follow [22] to modify (8) as follows:

III. HELICAL COORDINATES Several coordinate systems were used with helical structures. In [18] and [19] and some earlier works on waveguides twisted were used related along their axes, coordinates by to the Cartesian system

(6)

(10) and . The with and coordinate lines are helices of opposite handedness. It , the transformation (10) is is easy to see that with reduced to (8). In the following, we find it convenient to impose by orthogonality between the two families of helices at choosing such that ; the values for and are obviously dictated by waveguide geometry. Subject to such constraint, in (10) can be called the transverse helical coordinate. The distance element

(11)

416

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

defines the components of covariant metric tensor in the coordinates given by (10) with . It is seen that and the contravariant tensor that occurs in (5) is

(12)

surface. Such separation is not seen in the standard “polar helical” coordinates (8) or “rectangular helical” system (6) since and are essentially nonzero in those frames. A related observation regards waveguide reversibility: with nonzero and , even the waveguide perfectly homogeneous along is coordinates. Yet, not invariant under -reflection in the again, it is almost reciprocal if sufficiently narrow. B. Anisotropic Waveguides

Another helical coordinate system proposed by Germano in [23] is strictly orthogonal (not merely at ), but it does not retain invariance along the generating helix and, thus, makes separation of variables impossible. IV. EQUIVALENT PROFILES

Formulas (14a)–(14d), derived via (5), are applicable only to a waveguide made of isotropic materials. Often, the effects of anisotropy should be taken into account. This can be achieved easily by using the general transformation rules (3) and noting that the transformation matrix for contravariant components, as obtained from (10), can be conveniently decomposed as follows:

A. Isotropic Waveguides One may use (5) with the contravariant metric tensor given by (12) to obtain and in the new coordinates for a bent and twisted waveguide made of isotropic materials. The expressions for curvature and torsion of an ordinary helix

where

(13) allow to write transformed via (5) as follows: (14a) (14b)

Since we consider -invariant waveguides, such that the permittivity measured in a Cartesian frame along some surface is and similarly for , the matrices cancel out in and transformed according to (3) as follows:

(14c) (14d) and similarly for . Given the curvature and torsion, one has to modify according to (14) and analogously, and to employ any conventional algorithm to solve Maxwell’s equations (2) for a straight waveguide. We, therefore, call (14) and analogous expressions for the components the equivalent straight waveguide profiles. In the new coordinates, the curved waveguide is -invariant (“straight”), defined by its cross section in the coordinate surface. It should be noted that this is a helical surin (10), not a plane. It is close to a face defined by plane perpendicular to the axis of a waveguide whose width is , but small enough compared to the torsion reciprocal cross section care should be taken when defining the does of a highly twisted waveguide. The condition not limit the validity of (14); however, it is just a matter of convenience in defining waveguide geometry. An interesting observation follows from (14), or rather from (12) already: due to the independence of the metric tensor on the transverse helical coordinate , and its off-diagonal components—which reverse their sign under the -reflection—vanishing at the radial coordinate being close to , separation of waveguide modes into TE and TM classes occurs in a narrow waveguide symmetric with respect to some

(15) and similarly for . Now, unlike in the case of isotropic waveguides (14), the equivalent profiles depend on the sine and cosine ’s as far as . functions of in C. Numerical Implementation The continuous dependence in (14) on the radial coordinate or in (15) on both and prohibits use of integral-equation methods to solve the dimensionality reduced Maxwell’s equations with (14) or (15). We are thus concerned with the implementation of (14) and (15) in the finite-difference or finite-element simulations. The presence of nonzero off-diagonal components in (14) and (15) has several consequences. First, Yee’s scheme [24]—a popular field staggering scheme in the finite-difference time-domain and frequency-domain computations—becomes not very well suited. It should be augmented with some averaging of the off-diagonal components over neighboring grid nodes [25] or changed to the collocated scheme [26]. Second, nonreciprocity

SHYROKI: EXACT EQUIVALENT STRAIGHT WAVEGUIDE MODEL FOR BENT AND TWISTED WAVEGUIDES

in the -direction may complicate some algorithms: e.g., both odd and even terms in the propagation constant would appear in the frequency-domain Maxwell’s equations, thus prohibiting their formulation as an ordinary eigenproblem. Finally, the perfectly matched layers (PMLs) used commonly to represent open boundaries in the finite-difference or finite-element calculations [27] should be constructed as shown below. The PML method is similar to the complex-coordinate transformation technique in the quantum theory of atomic resonances [28]. Within the electromagnetics modeling community, the relation between the complex-valued coordinate and the real-valued bounded computational coordinate is commonly written as

(16) where the “coordinate-stretching” function is the Jacobian matrix component, , and defines the PML conductivity profile. Treating (16) as an ordinary transform of the “first” coordinate of a given (not necessarily Cartesian) coordinate system, one can modify and in the PML regions as [28]

and similarly for . Constructing the PMLs in the transverse and radial directions of our helical coordinates leads to

417

Exactly the same formulas follow from [29, eq. (12)] specified for isotropic . These formulas were tested extensively, and axis were no numerical problems in the vicinity of the observed. To clearly see the relation of (19) with approximate equivalent index expressions (1), we shift the radial coordinate and designate and . We thus get xx

yy

zz

(20)

The expressions for xx and yy , multiplied by their -counterxx xx and parts, lead to refractive tensor components xx yy yy , which are precisely in line with (1) in the case yy of nonmagnetic media. The zz and zz components manifestly differ from the rest, however. This difference is negligible for low-contrast slightly bent waveguides, but comes into play upon departing from the weakly guiding regime, when significant -components of electric and magnetic fields start “probing” the zz and zz profiles. Our first illustration to the validity of (19), or (20), is the problem of light propagation in a slab waveguide bend amenable to analytic treatment [30]. After substituting (19) and similar formulas for into the frequency-domain Maxwell’s curl equaand , one gets two pairs of tions and setting and compofirst-order scalar equations: one pair for the nents (TE mode, in the infinite slab nomenclature) as follows:

and another pair for and (TM mode). To derive a secondorder equation for , for example, one combines the above two the following: equations to get, in the regions of constant

(17) In the following examples, we consider isotropic waveguides described by the equivalent profiles (14) so we rewrite (17) for such a case explicitly as follows:

(18) while the coordinate in (14) is transformed via (16). It must be remembered that the magnetic permeability should be treated in . the same way even when V. SPECIAL CASES A.

,

An important special case, which we will explicitly consider and, hence, first, is an in-plane waveguide bend, i.e., . Formulas (14a)–(14d) are reduced to (19)

where and . This is a well-known Bessel equation for TE modes in a bent slab waveguide [30], while an attempt to get the same equation with approximate equivalentindex formulas in (1) fails. The second example numerically demonstrates the failure of (1) at tight bends as those analyzed in [6] for a silicon waveguide 0.220 m cross section lying on a of rectangular 0.445 m plane silica substrate. The waveguide bend radius is taken to be 2 m. In Fig. 2, we reproduced [6, Fig. 3(b)] with use of equivalent profile methods, the here proposed exact and the standard approximate one. Unlike in [6], featuring special finite-element implementation for cylindric coordinates, here we used an usual compact 2-D full-vector finite-difference frequency-domain (FDFD) method: the frequency-domain Maxwell’s equations were discretized according to the staggered 2-D (projected) Yee scheme, and the resulting ordinary eigenproblem in the solved iteratively in MATLAB with waveguide mode index the eigs function. Noteworthy, calculations on a 80 80 grid took a few seconds per wavelength point on a 1.6-GHz Intel Pentium M laptop computer—compare against 50 s reported in [6] for the finite-element modeling in cylindric coordinates. This difference in timing can be attributed partly to the FDFD

418

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. Bend losses per turn (90 ) for a quasi-TM mode in an R = 2 m bent, 0.445 m 0.22 m waveguide as modeled in [6]. The refractive indices = 3:5, n = 1:45, and n = 1:00. For the finite-difference are n modeling, an 80 80 grid was used. For each wavelength value, it took a few seconds on a 1.6-GHz Intel Pentium M laptop computer to find the mode.

2 2

method being faster than the finite-element method (FEM), as such, and partly by the simplicity and, hence, efficiency of the logically Cartesian algorithms. Generalization of (20) for an in-plane bend of an arbitrary anisotropic waveguide, if only invariant under rotations around the curvature axis, z z z , is easy to obtain from the general formula (15) as follows:

z

(21)

and similarly for . As in the case of an isotropic waveguide bend, this allows mode separation in the TE and TM types for -symmetric and , and reversibility for -invariant and with no or components. B. We have two reasons in this case to omit off-diagonal comand . First, when the torsion and the curvaponents ture of a waveguide are comparable one to another by an order of magnitude while the waveguide is sufficiently narrow, i.e., , one obtains from (14), or from (12) already (22) Second, in a weakly guiding dielectric waveguide, the electromagnetic modes are predominantly transversal and, thus, are and components rather than to or sensitive to the . Hence, the components of equivalent permittivity and permeability can often be ignored. To compare rigorous calculations against those with the offcomponents in (14) omitted, we plotted in Fig. 3 diagonal the dispersion curve for the fundamental mode in a helical fiber m and m, while the fiber as in [31], with core radius is 30 m. Again, the FDFD method was used, but components were present in and this time, when nonzero

mode in a helical fiber core Fig. 3. Dispersion of a fundamental quasi-EH similar to that in [31] (core radius 30 m, numerical aperture 0.1, n = 1:45, twist parameters P = 300 m, R = 400 m) calculated rigorously; with the off-diagonal (uw ) components in (14) omitted; and with  = 0, but  = R=(P + R ) in (14) or, equivalently, R = R + P =R and P = 0.

, as an eigenproblem in the frequency. The propagation conwas an input parameter. This is not very convenient stant eigenproblem, especially compared to solving an ordinary when material dispersion should be taken into account. Computation domain spanned 100 m 100 m and was discretized into 80 80 grid cells. Eight-cell-wide PMLs were constructed according to (18). components of We see from this figure that omitting and leads to nonnegligible deviation from rigorous results, but sometimes this can be an acceptable price for easier coding. can be One might further suggest that “the torsion effect neglected in helical fibers” [31], and use (20) or even (1) with the (note that in [31], the curvature radius term is incorrectly dropped out from this formula, leading to some nonphysical results in Section III therein). This amounts in (14) while calculating with nonzero . to setting , Fig. 3 shows that this is not a good strategy when however, even for relatively large and ; besides, it brings no simplification to the structure of equivalent permittivity and permeability matrices. VI. CONCLUSION Expressions (14a)–(14d) for an isotropic waveguide and (15) for an anisotropic one have been derived, valid for arbitrary curvature and torsion. Together with the PMLs given by (17), this enables rigorous full-vector modeling of very tight and lossy waveguide bends and twists with the finite-difference or finiteelement tools designed for straight waveguides; the only price is that even for waveguides consisting of physically isotropic homogeneous materials, the equivalent and are not scalars, but 3 3 matrices with components continuously varying with (or with both and for twisted anisotropic waveguides). In the paper, we assumed the curvature and torsion of a waveguide be constant. Their variation with the longitudinal coordiand prevents rigorous separation nate , of variables in Maxwell’s equations, but still it can be specified through (14) or (15) for the beam propagation or time-domain

SHYROKI: EXACT EQUIVALENT STRAIGHT WAVEGUIDE MODEL FOR BENT AND TWISTED WAVEGUIDES

simulations of adiabatically slow transitions. To calculate abrupt bend transition losses, standard coupled-mode theory can be applied once the mode spectra of the adjoint waveguide sections are found. Finally, a similar “equivalent straight wire” model can be developed with the use of the helical coordinates (10) orthogonalfor curved electronic wires. ized at REFERENCES [1] H. J. M. Bastiaansen, J. M. van der Keur, and H. Blok, “Rigorous, fullvectorial source-type integral equation analysis of circularly curved channel waveguides,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 2, pp. 401–409, Feb. 1995. [2] H. J. M. Bastiaansen, H. E. Crayé, and H. Blok, “Source-type integral equation analysis of circularly curved channel waveguides in a multilayered background,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1597–1604, Jul. 1995. [3] S. Kim and A. Gopinath, “Vector analysis of optical dielectric waveguide bends using finite-difference method,” J. Lightw. Techol., vol. 14, no. 9, pp. 2085–2092, Sep. 1996. [4] W. W. Lui, C.-L. Xu, T. Hirono, K. Yokoyama, and W.-P. Huang, “Full-vectorial wave propagation in semiconductor optical bending waveguides and equivalent straight waveguide approximations,” J. Lightw. Techol., vol. 16, no. 5, pp. 910–914, May 1998. [5] N.-N. Feng, G.-R. Zhou, C. Xu, and W.-P. Huang, “Computation of full-vector modes for bending waveguide using cylindrical perfectly matched layers,” J. Lightw. Techol., vol. 20, no. 11, pp. 1976–1980, Nov. 2002. [6] K. Kakihara, N. Kono, K. Saitoh, and M. Koshiba, “Full-vectorial finite element method in a cylindrical coordinate system for loss analysis of photonic wire bends,” Opt. Express, vol. 14, pp. 11128–11141, 2006. [7] R. Pregla, “The method of lines for the analysis of dielectric waveguide bends,” J. Lightw. Techol., vol. 14, no. 4, pp. 634–639, Apr. 1996. [8] W. Pascher, “Modelling of rib waveguide bends for sensor applications,” Opt. Quantum Electron., vol. 33, pp. 433–449, 2001. [9] I. A. Goncharenko, S. F. Helfert, and R. Pregla, “Radiation loss and mode field distribution in curved holey fibers,” Int. J. Electron. Commun., vol. 59, pp. 185–191, 2005. [10] J. Ritter and F. Amdt, “Efficient FDTD/matrix-pencil method for the full-wave scattering parameter analysis of waveguiding structures,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2450–2456, Dec. 1996. [11] D. I. Paul, I. J. Craddock, and C. J. Railton, “Simple and accurate hybrid FDTD model of uniform waveguide bends,” Electron. Lett., vol. 40, pp. 247–248, 2004. [12] J. Koning, R. N. Rieben, and G. H. Rodrigue, “Vector finite-element modeling of the full-wave Maxwell equations to evaluate power loss in bent optical fibers,” J. Lightw. Techol., vol. 23, no. 12, pp. 4147–4154, Dec. 2005. [13] J. Dacles-Mariani and G. H. Rodrigue, “Study of optically induced effects due to bending and twisting using the vector finite-element method,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 23, pp. 1743–1751, 2006. [14] D. Marcuse, “Field deformation and loss caused by curvature of optical fibers,” J. Opt. Soc. Amer., vol. 66, pp. 311–320, 1976.

419

[15] D. Marcuse, “Influence of curvature on the losses of doubly clad fibers,” Appl. Opt., vol. 21, pp. 4208–4213, 1982. [16] M. Heiblum and J. H. Harris, “Analysis of curved optical waveguides by conformal transformation,” IEEE J. Quantum Electron., vol. QE-11, no. 2, pp. 75–83, Feb. 1975. [17] T. N. Trinh and R. Mittra, “Field profile in a single-mode curved dielectric waveguide of rectangular cross section,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 12, pp. 1315–1318, Dec. 1981. [18] A. Nicolet, F. Zolla, and S. Guenneau, “Modelling of twisted optical waveguides with edge elements,” Eur. Phys. J. Appl. Phys., vol. 28, pp. 153–157, 2004. [19] A. Nicolet, A. B. Movchan, S. Guenneau, and F. Zolla, “Asymptotic modelling of weakly twisted electrostatic problems,” C. R. Mecanique, vol. 334, pp. 91–97, 2006. [20] R. A. Waldron, “A helical coordinate system and its applications in electromagnetic theory,” Quart. J. Mech. Appl. Math., vol. 11, pp. 438–461, 1958. [21] E. J. Post, Formal Structure of Electromagnetics. Amsterdam, The Netherlands: North-Holland, 1962, (reprinted by Dover, 1997). [22] S. S. Klyamkin, “Electromagnetic field equations for the helically symmetric systems,” (in Russian) Electrichestvo, pp. 74–77, 1988. [23] M. Germano, “On the effect of torsion on a helical pipe flow,” J. Fluid Mech., vol. 125, pp. 1–8, 1982. [24] K. S. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. 14, no. 5, pp. 302–307, May 1966. [25] S. D. Gedney and J. A. Roden, “Numerical stability of nonorthogonal FDTD methods,” IEEE Trans. Antennas Propag., vol. 48, no. 2, pp. 231–239, Feb. 2000. [26] Y. Liu, “Fourier analysis of numerical algorithms for the Maxwell equations,” J. Comput. Phys., vol. 124, pp. 396–416, 1996. [27] Advances in Computational Electrodynamics: The Finite-Difference Time-Domain MethodA. Taflove, Ed. Boston, MA: Artech House, 1998, ch. 5. [28] D. M. Shyroki and A. V. Lavrinenko, “Perfectly matched layer method in the finite-difference time-domain and frequency-domain calculations,” Phys. Stat. Sol. B, vol. 244, no. 10, pp. 3506–3514, 2007. [29] D. M. Shyroki, “Efficient Cartesian-grid-based modeling of rotationally symmetric bodies,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1132–1138, Jun. 2007. ˇ [30] K. R. Hiremath, M. Hammer, R. Stoffer, L. Prkna, and J. Ctyroký, “Analytic approach to dielectric optical bent slab,” Opt. Quantum Electron., vol. 37, pp. 37–61, 2005. [31] Z. Jiang and J. R. Marciante, “Mode-area scaling of helical-core, dualclad fiber lasers and amplifiers using an improved bend-loss model,” J. Opt. Soc. Amer. B, Opt. Phys., vol. 23, pp. 2051–2058, 2006. Dzmitry M. Shyroki was born in Minsk, Byelorussia, U.S.S.R., in 1981. He received the Specialist degree from Byelorussian State University, Minsk, Byelorussia, in 2004, and the Ph.D. degree from the Technical University of Denmark, Lyngby, Denmark, in 2008.

420

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Modeling and Optimization of Compact Microwave Bandpass Filters Maged Bekheit, Member, IEEE, Smain Amari, Member, IEEE, and Wolfgang Menzel, Fellow, IEEE

Abstract—This paper presents the modeling and optimization of compact microwave bandpass filters whose compactness leads to complex and strong stray coupling paths, thereby making the identification of a simple and sparse coupling topology difficult and even impossible. The strong coupling coefficients needed for a broadband response can also cause an ambiguity in identifying the spatial extent of local resonances. An equivalent circuit, which is extracted directly from Maxwell’s equations, is used in optimizing these filters. The filter is represented by its global resonances instead of individual resonators. The extraction of the parameters of the equivalent circuit is carried out in the physical frequency and not in the normalized frequency in order to preserve the physicality of the equivalent circuit, especially for asymmetric responses. The technique is successfully applied to the optimization of secondorder suspended stripline bandpass filters with one transmission zero either below or above the passband, as well as fourth-order filters with three transmission zeros. A fourth-order filter with three transmission zeros is fabricated and measured. Index Terms—Eigenmode, resonator filters, suspended stripline (SSL), synthesis.

I. INTRODUCTION ODERN wireless communication systems have increased the demand for compact microwave filters. As the size of these filters is decreased, stray electromagnetic (EM) interactions between their individual building blocks, especially resonators, are increased. Identifying a simple and sparse topology to account for the couplings between the resonators becomes difficult and even impossible. The problem is accentuated in filters with moderate to broad and ultra-wide bandwidths in which strong couplings are needed. An accurate equivalent circuit must account for all these effects in addition to the frequency dependence of the coupling coefficients in simple topologies. Obviously, the coupling matrix concept, which is a narrowband approximation, is not practical in designing these filters. A narrowband coupled resonator bandpass filter of order has been thus far modeled as a set of resonances that are coupled by frequency-independent coupling coefficients. Under the narrowband assumption, the equivalent circuit is the classical coupling matrix whose diagonal elements represent the

M

Manuscript received July 10, 2007; revised November 2, 2007. This work was supported in part by the Natural Science and Engineering Research Council of Canada (NSERC). M. Bekheit is with the Department of Electrical and Computer Engineering, Queen’s University, Kingston, ON, Canada K7K 5B3 (e-mail: bekheitm@ee. queensu.ca). S. Amari is with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada K7K 7B4 (e-mail: [email protected]). W. Menzel is with the Institute of Microwave Techniques, University of Ulm, D-89069 Ulm, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914638

frequency shifts in the resonant frequencies of the individual resonators with respect to the center of the passband [1]. Its off-diagonal elements are the frequency independent coupling coefficients between the different resonators and between the ports and resonators. A comprehensive solution to the problem of extracting the elements of the coupling matrix with a desired topology to reproduce a prescribed response is now known [2]. The design and optimization of microwave coupled resonator bandpass filters, through space-mapping techniques, for example, assumes a topology that is capable of reproducing the target response including the presence of transmission zeros at finite frequencies. An underlying and crucial assumption of this approach is that the individual resonances and their spatial extent, as well as that the coupling topology can be easily identified. This is certainly the case for most narrowband filters. However, the identification of a simple and sparse coupling topology in compact structures is not always possible. The proximity of the different resonators, assuming that these can be spatially identified, causes stray (unwanted) coupling coefficients to be present and often with comparable strength to those assumed in the selected topology. To address this important issue, we propose to use an equivalent circuit whose form is always the same regardless of the characteristics of the response of the filter such as symmetry, presence, and number of transmission zeros at finite frequencies. It is not longer necessary to define well-localized resonances. The circuit can also model both narrow and broadband responses. In order to derive such a circuit, we start from results that are obtained directly from Maxwell’s equations. The topology of the equivalent circuit is sparse, unique, and universal when the normal modes of the entire filter are used as a basis. For narrowband responses, this leads to the transversal coupling matrix, as shown in [3]. When the narrowband approximation is no longer valid, the filter can be characterized by an admittance (impedance) matrix whose form remains the same regardless of the order, symmetry, presence and number of transmission zeros at finite frequencies [3]. As long as the contribution of higher order modes to the power transport between the two ports of the filter can be ignored—this is the case for a well-designed filter with a good spurious response—a filter of order is characterized by resonances in or in the vicinity of its passband. When a more accurate description of the response away from the passband is needed, higher order resonances can be readily included in the equivalent circuit. II. TWO EXAMPLES In order to better show why a new circuit model instead of the coupling matrix is needed, especially for compact filters, we consider two examples.

0018-9480/$25.00 © 2008 IEEE

BEKHEIT et al.: MODELING AND OPTIMIZATION OF COMPACT MICROWAVE BANDPASS FILTERS

421

Fig. 3. Geometry of the fourth-order SSL filter.

Fig. 1. (a) Layout of the second-order SSL filter with one transmission zero above the passband. (b) Layout of the second-order SSL filter with one transmission zero below the passband. (c) Cross section of the structure.

Fig. 4. Simulated response of optimized fourth-order filter with three transmission zeros.

the load and the first resonator, generates a transmission zero. Another possibility is to view the coupling between the two resonators as frequency dependent. The coupling through the gap in Fig. 1(a) is capacitive, while the one through the portions that are grounded is inductive. The capacitive and inductive coupling paths cancel each other to generate the transmission zero [5]. It is not obvious which model is more realistic or accurate, especially when the bandwidth is increased. Fig. 2. Response of optimized filter in Fig. 1(a).

A. Second-Order Filter With One Transmission Zero We first consider the second-order filters shown in Fig. 1(a) and (b). The suspended stripline (SSL) technology is chosen because it allows the implementation of compact bandpass filters with moderate to very wide bandwidths with little difficulty. Strong coupling coefficients are realized by placing the coupled elements on different sides of the suspended substrate. Weak coupling coefficients can be achieved by placing the elements on the same side [4]. The optimized response of the filter in Fig. 1(a), as obtained from the commercial software package Sonnet, is shown in Fig. 2. In order to optimize this filter, we preferably require a simple and sparse coupling topology. The presence of the transmission zero in the upper stopband suggests the presence of cross-couplings in the structure. For example, nonzero bypass coupling between the input and the second resonator, as well as between

B. Fourth-Order Filter With Three Transmission Zeros The second example is a fourth-order bandpass filter with three transmission zeros. It is obtained by cascading two secondorder building blocks of the type used in the previous example, as shown in Fig. 3. The response of this optimized filter, as obtained from Sonnet, is shown in Fig. 4. In this seemingly inline direct-coupled resonator filter, no transmission zeros are expected to be present. The appearance of three transmission zeros suggests the presence of cross-couplings within the language of coupling matrices. Unfortunately, it is not obvious where these additional coupling paths are in the circuit. In other words, the topology of an acceptable coupling matrix yielding three transmission zeros is not readily established. As in the previous example, one might consider an equivalent circuit in which the coupling coefficients are allowed to vary with frequency. A transmission zero is generated whenever a coupling coefficient in the purely inline configuration vanishes. Unfortunately, this model would fail to predict the appearance of three distinct transmission zeros in this symmetric filter. Indeed, from symmetry considerations, the coupling coefficient

422

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

between the first and second resonators should be identical to the one between the third and last resonators. This would mean that a second-order transmission zero, instead of two distinct transmission zeros, should be observed. This is not the case. These two examples demonstrate the limitations of using the standard coupling matrix, with a topology based on the physical arrangement of the resonators, as an equivalent circuit for both diagnosis and optimization purposes of compact microwave filters. To overcome this problem, we use a representation of the structure in which the resonances are not localized. By doing so, we eliminate the need to identify a topology since all filters will then have the same topology.

N

Fig. 5. Equivalent circuit of th-order SSL filter where nances and higher order resonances are included.

p

N

passband reso-

resonances are retained in (1). Under these conditions, the admittance matrix in (1) reduces to

III. GLOBAL EIGENRESONANCE REPRESENTATION OF SSL FILTERS In order to be able to efficiently optimize compact microwave filters, it is important to find a circuit model that accurately represents their physical behavior. The EM characteristics of cavity resonator filters are rigorously described by Maxwell’s equations. A convenient approach, especially for coupled resonator filters, is to expand an arbitrary EM field in the volume of the filter in terms of the normal resonances of the entire structure. The mathematical steps and details of this formulation were reported by a number of researchers. The first study along these lines seems to have been given by Slater who derived an expression for the input impedance of a cavity fed by a uniform waveguide [6]. Later work by Teichmann and Wigner showed that Slater failed to use a complete set of vector functions in his expansion [7]. A more detailed investigation of cavity resonators was given by Muller [8]. In a seminal paper, Kurokawa showed how the port parameters of oneand two-port cavities can be derived when complete sets of resonant solutions are used [9], [10]. Similar characteristics were discussed in connection with numerical techniques [11]–[13]. For our needs in this study, the main result is the expansion of the generalized admittance matrix of a cavity in terms of the short-circuit resonant modes. For a cavity fed by a uniform waveguide, the two-port admittance matrix takes the form [9], [10]

(2) The assumption of a quasi-TEM mode in the feeding lines implies a practically frequency-independent characteristic admittance . The inverters in (2) can be normalized by , for convenience, and remain fairly constant versus frequency. Note that, in actuality, the denormalized inverters depend only weakly on frequency since they are determined by coupling integrals, which are purely geometrical quantities for homogeneously filled structures. Although the SSL structure is not homogeneous, it is mainly the higher order modes that are significantly affected, especially for thin dielectric substrate. The SSL filters considered in this study are then described by the following admittance matrix:

.. .. .

.

.. .

.. .

(1)

(3)

and are the port numbers, , , Here, are real constants related to the coupling integrals of the dominant mode in the feeding waveguide or transmission line is the resonant to the th eigenresonance in the cavity, and angular frequency of the same resonance. In the case of SSL filters, we assume that the structure is fed by a 50- SSL, which support a quasi-TEM mode. Under these conditions, the terms in (1) are small enough to be neglected. For a filter of order , we further assume that only resonances significantly contribute to the power transport between the input and output over the frequency range of interest. If a more accurate account of the response of the filter is desired over a wider frequency band, additional higher order

Here, we again assume that passband resonance and higher order resonances are included. An exact circuit representation of the admittance matrix in (3) is given in Fig. 5. Each path is represented by a parallel LC circuit sandwiched between two admittance inverters. It is straightforward to show that the admittance parameters seen by the source and load in this circuit are identical to the expansion in (2). To illustrate the accuracy of the equivalent circuit, the response of the second-order filter with one transmission zero, shown in Fig. 1(a), is shown in Fig. 6. The solid lines represent the full-wave simulated response as obtained from Sonnet. The dotted lines are the best fit that was obtained when only two res, ). Although onances are included in the model ( good agreement is achieved between the two responses in the

BEKHEIT et al.: MODELING AND OPTIMIZATION OF COMPACT MICROWAVE BANDPASS FILTERS

Fig. 6. Response of second-order filter with one transmission zero [see Fig. 1(a)]. Solid lines: full-wave simulation from Sonnet, dotted lines: equiv= 2, = 0) and dashed alent circuit with two passband resonances ( lines: equivalent circuit with two passband resonances and one higher order resonance ( = 2, = 1).

N

N

p

p

passband, larger deviations appear below and above the passband. When a higher order resonance, whose resonant frequency is adjusted during the fitting process, is included, the response shown by the dashed lines is obtained. It agrees within plotting accuracy with the full-wave EM simulation. The improvement over the two-resonance circuit is evident. The circuit with one higher order resonance represents accurately the EM behavior of the filter. Similar results are obtained for the fourth-order filter with transmission zeros whose response is shown in Fig. 4. If only four resonances, whose resonant frequencies are in the passband , ), the reor its immediate vicinity, are included ( sponse of the corresponding equivalent circuit is shown as the dotted lines in Fig. 7. As in the previous example, good agreement is achieved in and close to the passband. The response of the equivalent circuit when four passband resonances and two , ) is shown higher order resonances are included ( as the dashed lines. It is evident that this sixth-order circuit represents accurately the EM behavior of the structure. In order to use the equivalent circuit to optimize these filters, it is important that detuned responses be accurately approximated. As an example, the response of a detuned fourth-order filter with a relative bandwidth of roughly 20% is shown in Fig. 8. The solid lines show the simulated results as obtained from Sonnet and the dashed lines show the response of the equivalent circuit and . Excellent agreement between the two with results is achieved. These results show that the response of these filters can be approximated sufficiently accurately in the passband and its immediate vicinity by the equivalent circuit in Fig. 5 with only those resonances in and close to the passband included. In all cases investigated thus far, this has been sufficient to optimize the filter. When an accurate description of the response away from the passband is required, higher order resonances must be included in Fig. 5. The required number of higher order resonances is determined by the extent of the frequency range over which the response is approximated, as well as the frequency separation

423

Fig. 7. Response of second-order filter with three transmission zeros (Fig. 3). Solid lines: full-wave simulation from Sonnet, dotted lines: equivalent circuit with four passband resonances ( = 4, = 0) and dashed lines: equivalent circuit with four passband resonances and two higher order resonances ( = 4, = 2).

N

p

p

N

Fig. 8. Response of detuned broadband fourth order filter with three transmission zeros (Fig. 3). Solid lines: full-wave simulation from Sonnet, and dashed lines: equivalent circuit with four passband resonances and two higher order resonances ( = 4, = 2).

N

p

between the edge of such a range and the next spurious band. Note that, had we insisted on using the expansion over resonant modes as a full-wave simulation tool, a much higher number of resonances would have been required to describe a lower order filter. For example, an accurate description of the response of a second-order dual-mode filter requires up to 48 modes [13, p. 195]. This number is significantly reduced by accepting not to use the expansion as a full-wave simulation tool and considering only those modes that account for the power transport, as described by the scattering parameters. In other words, we are not interested in calculating the admittance parameters in (1), as done in [13], where reference planes are placed relatively far from the first discontinuity to allow a simple extraction of the low-frequency behavior of these parameters. However, by extending the lengths of the uniform feeding lines, we introduce more modes at lower and lower resonant frequencies. On the other hand, the return loss and insertion loss of the filter are not

424

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

is the center frequency of the passband. Note that the where fractional bandwidth is absorbed in the normalized frequency to ease the algebra, and it can be restored at the end if needed. The transformation (5) is inverted to extract the physical frequency as (6) The negative values of are ignored since the passband is cen. In terms of , the frequencytered about a positive value dependent diagonal elements in (4) take the form

Fig. 9. Full-wave simulated response of dual-mode filter in [13] (solid lines) = 2 and = 1 (dashed lines). The and response of equivalent circuit with dimensions of the filter are given in [13, p. 196].

N

p

affected by the lengths of the uniform sections. It is arguably better to keep these short, ideally of zero length, thereby limiting the number of resonances in the passband and its vicinity only to those responsible for power transport. Indeed, the response of the dual-mode filter in [13] can be accurately approximated and resonances, as by an equivalent circuit with shown in Fig. 9. The EM simulation results, shown as solid lines, were obtained from the commercial software package Wave Wizard from Mician, Bremen, Germany. The response of the equivalent circuit, shown as the dashed lines, is in good agreement with the full-wave simulation. The slight deviation between the two results at the upper edge of the frequency range reflects the effect of other higher order resonances.

(7)

If we expand this expression in a Taylor series around and keep only the first two terms, we get

(8)

is small. This implies that This equation is valid as long as results obtained from it are narrowband and may not be valid over a wide frequency band. The relative error in the linear approximation (8) can be easily assessed by direct comparison , a 10% error results with (7). For example, for at . Upon substitution of (8) in (4), we get

IV. TRANSVERSAL COUPLING MATRIX The theory presented in this paper can be used to show that the coupling matrix concept in which the coupling coefficients are assumed frequency independent is inherently narrowband. To prove this, we show that the transversal circuit used in this paper reduces to the transversal coupling matrix introduced by Cameron [14] in the narrowband limit. We start from an transversal circuit whose nodal admittance matrix is of the form

.. .

.. .. .

.

.. .

(4)

.. .

.. .. .

Since all the finally get

.. .

.

(9)

’s defined in (8) are positive, we can scale (9) to

.. .

.. .. .

.

.. .

(10)

We define the usual normalized frequency, which describes the low-pass to bandpass transformation (5)

By comparing this nodal admittance matrix to that of the transversal network in [14], we can identify the transversal

BEKHEIT et al.: MODELING AND OPTIMIZATION OF COMPACT MICROWAVE BANDPASS FILTERS

425

coupling matrix as

.. .

..

.

.. .

.. .

..

.. .

.. .

.

(11)

Fig. 10. EM simulated response for second-order bandpass filter with very weak input and output coupling. Solid lines: initial dimensions, dashes lines: only the g dimension is perturbed by 0.1 mm.

0

This derivation shows that the concept of the transversal coupling matrix is inherently a narrowband concept. Similarity transformations can be applied to (11) to achieve sparse topologies that are, of course, valid only for narrowband filters. V. OPTIMIZATION EXAMPLES Here, the optimization of second- and fourth-order SSL filters is discussed. This class of filters have been reported in [15]. In this study, the operation of these filters will be modeled and explained using the global eigenmodes approach. For second-order filters, the zero-shifting property is also used to move the transmission zero from one side of the band to the other by interchanging the resonant frequencies of the even and odd modes. The layouts of the second- and fourth-order filters are shown in Figs. 1 and 3, respectively. The thickness of the dielectric mm and the height of the air gap above slab is mm. In some examples, and below the dielectric slab is the dielectric constant of the substrate is taken as , when a reduced sensitivity whereas in others, it is to manufacturing errors is required. The structures are assumed lossless. The commercial software package Sonnet, in which the conducting strips are assumed of zero thickness, is used to analyze them. The optimization is based on the equivalent circuit in Fig. 5 where no higher order resonances are used. It is, however, worth mentioning that the extraction of the elements of the circuit in Fig. 5 is carried out directly in the physical frequency and not in the normalized low-pass frequency. In fact, no low-pass prototype is used in this study. 1) Second-Order SSL Filter: The first example is a second-order SSL filter with a fractional bandwidth of 15% and one transmission zero above the passband. The structure is shown in Fig. 1(a). Since the structure is symmetric, the frequency response of the filter can be described by its even and odd modes where the symmetry plane is at half distance between the feeding lines at the input and output. The coupling of a given mode to the input and output are also equal in magnitude. The filter is, therefore, modeled by the admittance matrix

in (3) where only two resonances at

and

are retained, i.e.,

(12)

Note that the resonant frequencies and are not necessarily close and that the normalized inverters are assumed frequency independent, as discussed earlier. The response of the filter can be optimized by controlling the resonant frequencies of the two modes and their respective coupling to the feeding lines. In order to control the resonant frequencies of the two modes separately, regions of strong electric and magnetic fields of each mode must be identified. A field plot can be easily generated using a field solver if needed. For example, it is obvious that the width of the gap (see Fig. 1) impacts the resonant frequency of the mode with an electric wall along the plane of symmetry significantly more than the one with a magnetic wall. The effect of the different dimensions on the eigenmode frequencies can be investigated by weakening the coupling to the input and output (increasing in Fig. 1) and then examining the response when a given dimension is modified. For example, when the width of the gap is changed by 0.1 mm, the response shown in Fig. 10 is obtained. It is obvious from this figure that the width of the gap can be used to control the resonant frequency of one of the modes. Using this method, we can identify the set of dimensions that are to be perturbed in the optimization process. The elements of the admittance matrix in (12) that yield a specified response can be determined either analytically or by optimization. For example, for a second-order filter with a center frequency of 10 GHz, in-band return loss of 20 dB, a relative bandwidth of 15%, and a transmission zero at 14.0 GHz, we , , GHz, and obtain GHz.

426

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

The space-mapping optimization technique is used in this study where the global eigenmode model is used as a coarse model or equivalent circuit. The technique depends on establishing a relationship between the physical dimensions and the circuit parameters (coupling and eigenmode frequencies) that can be inverted in order to calculate the target physical dimensions at every optimization step. Since the technique is well established, only a brief summary is presented here, for more details see, for example, [16] and [17]. A crucial step in this optimization technique is the extraction of the elements of the equivalent circuit. In this study, this is achieved by minimizing the following cost function:

Fig. 11. Response of initial design of second-order filter. The transmission zero is not included in the design.

(13) are used as optimization variHere, the circuit parameters ables and are judiciously chosen frequency points. A linear approximation is assumed between the circuit parameters and the physical dimensions. For a canonical problem where the number of physical dimensions is equal to the number of coarse model parameters, the Jacobian can be calculated using finite differences. Each adjustable quantity is perturbed by a small, ideally infinitesimal, amount , and the corresponding circuit parameters extracted. After all the adjustable parameters are perturbed, we get an approximation of the Jacobian as follows:

(14) If the parameters of the equivalent circuit are grouped in a vector and the perturbations in a vector , we have

Fig. 12. Optimization progress for second-order filter starting from an extremely detuned response. Dotted line: initial response, solid line: optimized response, and dashed line: ideal response.

(15) is the vector of the initial circuit parameters (before where any perturbations). The target physical dimensions can be obtained by substitution in (15) as (16) is vector representing the dimensions prior to the where perturbations. The process is repeated until convergence is achieved. As an example, the second-order filter in Fig. 1(b) was designed . The initial deat 10 GHz using a dielectric substrate of sign starts by setting the arms of the resonator to a quarter wavelength. Taking advantage of the symmetry of the structure, the even and odd modes are analyzed separately by placing electric and magnetic walls along the symmetry plane. The group delay can be obtained by means of the derivative of the phase of the reflection coefficient of the resulting one-port, as in the theory of narrowband coupled resonator filters. The controlling dimensions and are adjusted in order to adjust the two resonant fre-

quencies to the required values and compensate for the loading. Initially, the dimension is determined such that the input coupling is equal to that required for an inline filter with the same return loss. Fig. 11 shows the EM simulated response of the initial design, as obtained from the above procedure. The dimensions mm, mm, mm, of this initial design are mm, mm, mm, and mm. Note that the presence of the transmission zero is not taken into account in the initial design. Its position is adjusted first manually and then during the optimization. To better test the optimization process, the initial design was purposely detuned further, as shown by the dotted lines in Fig. 12. The progress of the optimization is shown in Fig. 12. It took three iterations to reach the response shown as the solid lines. For comparative purposes, the ideal response is shown as the dashed lines. Excellent agreement between the two curves is achieved in the passband and its vicinity. The deviation between the simulated response of the optimized structure and the ideal response away from the passband is caused by the spurious higher order reso-

BEKHEIT et al.: MODELING AND OPTIMIZATION OF COMPACT MICROWAVE BANDPASS FILTERS

Fig. 13. Optimization progress for second-order SSL filter with transmission zero below the passband. Dotted line: initial response, solid line: optimized response (iteration 1), dotted line: ideal response.

nances that are not taken into account in the admittance matrix in (12) (see Fig. 6). The dimensions of the optimized filter are mm, mm, mm, mm, mm, mm, and mm. The negative value of the dimension indicates that the two metallic layers, on opposite sides of the substrate, are overlapping. A second-order filter with a transmission zero below the passband was designed based on the structure in Fig. 1(b). Compared to the filter in Fig. 1(a), the order of the resonant frequencies of the even and odd modes are reversed. This is achieved by changing the nature of the connection between the arms of the resonator and the wall of the metallic enclosure. The response of the optimized filter is shown in Fig. 13 as the solid lines. It took one iteration for the process to converge. For comparison, the ideal response is shown as the dashed lines. Good agreement between thetworesponsesisachievedinthepassbandanditsvicinity.Asin the previous example, deviations between the two results appear away from the passband. These can be accounted for by adding higher order resonances. The dimensions of the optimized filter mm, mm, mm, mm, are mm, mm, and mm. 2) Fourth-Order SSL Filter: The third example is a fourthorder filter with three transmission zeros, as shown in Fig. 3. As in the previous example, in the optimization process, we only resonances in the passband and its vicinity; all keep . The filter is higher order resonances are “ignored” then described by an admittance matrix of the form

(17)

427

Fig. 14. Response of initial design of fourth-order filter.

The filter is to have a passband of 1 GHz centered at 10 GHz with an in-band return loss of 20 dB. The response must have transmission zeros at 6, 11.2, and 12.22 GHz. These specifications are met by the following values of the parameters in (17), , , , , i.e., GHz, GHz, GHz, and GHz. The initial design exploits the symmetry of the filter and a similar procedure as for the second-order filter was used. First, the length of the arms of the resonators is fixed at a quarter wavelength. Electric and magnetic walls are inserted along the symmetry plane in order to be able to analyze the even and odd modes separately. The one-port group delay can be calculated, and in this case, two peaks are observed. These occur at the eigenmode frequencies of the two even or odd modes depending on the boundary condition set at the symmetry plane. The controlling dimensions , , , and in Fig. 3 are adjusted such the resonant frequencies are at the required values. It should be impacts the odd modes more than noted that the dimension the even ones; it can be fixed in the configuration with the electric wall along the symmetry plane. Fig. 14 shows the response , of the initial design. The corresponding dimensions are mm, mm, mm, mm, mm, mm, mm, mm, and mm. Note that the transmission zero are not included in the initial design. Their positions is first adjusted manually and then during the optimization process. The progress of the optimization process is shown in Fig. 15 along with the ideal response as obtained from the nodal admittance matrix in (17). One iteration based on a linear approximation [17] is sufficient to bring the in-band return loss from 11 to 20 dB and adjust the bandwidth and center frequency of the passband. A dielectric slab with is used where the input lines and the resonators are placed on opposite sides of the substrate. The six controlling dimensions that were perturbed and optimized are , , , , , and (see Fig. 3). mm, The dimensions of the optimized filter are mm, mm, mm, mm, mm, mm, mm, mm, and

428

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 15. Optimization progress for fourth-order SSL filter. Dotted lines: initial response, solid lines: optimized response (one iteration), dashed lines: ideal response.

Fig. 16. Fabricated fourth-order filter with opened metallic enclosure and feeding lines. The four resonators on the backside of the substrate are shown in the inset (not to scale) of this figure.

mm. Although a total of eight parameters are needed to adjust the structure to yield an arbitrary fourth-order response with three transmission zeros, the selected six parameters were sufficient to meet the specifications. For more general and arbitrary requirements, more adjustable parameters may be needed. In such a case, the concept of pseudoinverse or singular value decomposition can be used to obtained the best set of values of the parameters at each iteration. A minimization approach can also be used to determine the optimal values of the parameters at each iteration. VI. EXPERIMENTAL RESULTS A fourth-order filter with three transmission zeros was selected for fabrication. Given the sensitive nature of these filters, was chosen in order to rea lower dielectric constant of duce the effect of manufacturing errors. The other dimensions of the metallic enclosure remain unchanged from Fig. 1. The filter was optimized by following the same procedure as in the previous example.

Fig. 17. Measured (solid lines) and simulated (dashed lines) results of fourthorder filter.

Fig. 18. Measured (solid lines) and simulated (dashed lines) results of the structure that was actually fabricated.

A photograph of the fabricated filter is shown in Fig. 16. The measured and simulated results are shown in Fig. 17 as the solid and dashed lines, respectively. Acceptable agreement is achieved between the simulated and measured insertion loss of the filter. Deviations between the in-band return loss reflect the sensitivity of these types of filters to manufacturing errors and the mismatch caused by the SMA connectors at the input and output. Better agreement between the simulated and measured results is obtained when the small extension of the dielectric layer into grooves to suspend it is included in the simulation process. The fabricated substrate is placed into a 30-mm-long mount and soldered to coaxial connectors. Substrate edges were cut slightly to improve the return loss of the transitions. Measurements were done with an Agilent 8510 network analyzer using a coaxial two-port calibration. Accordingly, the measured results include some excess line length and the transitions to the coaxial measurement system. A comparison between the measured and simulated results with the modifications in place is shown in Fig. 18. Compared

BEKHEIT et al.: MODELING AND OPTIMIZATION OF COMPACT MICROWAVE BANDPASS FILTERS

Fig. 19. Sensitivity analysis of the fabricated structure. Only the dimensions s , g , and x are varied by 25 m each. Solid line: EM simulations and dotted line: measurements.

6

to Fig. 17, better agreement is achieved. Fig. 19 shows the sensitivity analysis of the fabricated structure when only three dimensions ( , , and ) were allowed to vary by 25 m each. It is obvious from the plot that the filter is quite sensitive to manufacturing tolerances, especially in the passband where the return loss falls to 11 dB when the three dimensions are perturbed simultaneously. In this analysis, metallic and dielectric losses were not taken into consideration. The remaining difference between the measured and simulated return loss (roughly 3 dB) may be due to losses and the SMA transitions, which are not taken into account in the simulation. VII. CONCLUSIONS A technique that exploits an equivalent circuit based on the global eigenmodes of the entire filter, instead of the individual resonators, as used in coupled-resonator filter theory, has been used to optimize compact bandpass microwave filters. The equivalent circuit overcomes the difficult issue of identifying a simple and sparse topology in compact structures where stray couplings are too strong to neglect. Within the presented approach, the form of the admittance (impedance) matrix representing the filter is always the same regardless of the characteristics of the response of the filter. The equivalent circuit has the advantage of handling narrowband, as well as broader bandwidths. Broadband filters can be modeled by including the global eigenmodes whose resonant frequencies fall within or close to the passband, as well as few higher order modes. The technique has been applied to the optimization of filters with bandwidths of up to 15%. Good results have been obtained. REFERENCES [1] A. E. Atia and A. E. Williams, “New types of bandpass filters for satellite transponders,” COMSAT Tech. Rev., vol. 1, pp. 21–43, Fall, 1971. [2] F. Seyfert, L. Baratchart, J. P. Marmorat, S. Bila, and J. Sombrin, “Extraction of coupling parameters for microwave filters: Determination of a stable rational model from scattering data,” in IEEE MTT-S Int. Microw. Symp Dig., Philadelphia, PA, Jun. 2003, pp. 24–28. [3] S. Amari and M. Bekheit, “Physical interpretation and implications of similarity transformations in coupled resonator filter design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1139–1153, Jun. 2007.

429

[4] W. Menzel and A. Belalem, “Quasi-lumped suspended substrate filters and diplexers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3230–3237, Oct. 2005. [5] W. Menzel and M. Berry, “Quasi-lumped suspended stripline filters with adjustable transmission zeroes,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, vol. 1, pp. 375–378. [6] J. C. Slater, Microwave Electronics. New York: Van Nostrand, 1950. [7] T. Teichmann and E. P. Wigner, “Electromagnetic field expansion in loss-free cavities excited through holes,” J. Appl. Phys., vol. 24, pp. 262–267, Mar. 1957. [8] R. Muller, “Theory of cavity resonators,” in Electromagnetic Waveguides and Cavities, G. Goubeau, Ed. New York: Pergamon, 1961, ch. 2.2. [9] K. Kurokawa, “The expansion of electromagnetic fields in cavities,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 178–187, Apr. 1958. [10] K. Kurokawa, An Introduction to the Theory of Microwave Circuits. New York: Academic, 1969. [11] T. Okoshi, Planar Circuits for Microwaves and Lightwaves. New York: Springer-Verlag, 1985. [12] M. Dohlus, R. Schuhmann, and T. Weiland, “Calculation of frequency domain parameters using 3-D eigensolutions,” Int. J. Numer. Modeling, vol. 12, pp. 41–68, 1999. [13] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis. New York: Wiley, 2000. [14] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 1–10, Jan. 2003. [15] A. Balalem, W. Menzel, and A. Omar, “Quasi-lumped-open loop suspended stripline bandpass filter,” in Eur. Microw. Conf., Manchester, U.K., Sep. 2006, pp. 568–571. [16] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Sondergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [17] S. Amari, C. LeDrew, and W. Menzel, “Space mapping optimization of planar coupled resonators filters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2153–2159, May 2006.

Maged Bekheit (M’03) received the B.Sc. degree from Ain Shams University, Cairo, Egypt, in 1999, the M.Sc. degree from Queen’s University, Kingston, ON, Canada, in 2005, and is currently working toward the Ph.D. degree at Queen’s University. Since 1999, he has been an RF Planning and Optimization Engineer with a number of cellular companies. His research is focused on the design and optimization techniques of microwave components. Mr. Bekheit was the recipient of the Natural Sciences and Engineering Council (NSERC) of Canada Graduate Scholarship (CGS) and an Ontario Graduate Scholarship (OGS) Award.

Smain Amari (M’98) received the DES degree in physics and electronics from Constantine University, Constantine, Algeria, in 1985, and the Masters degree in electrical engineering and Ph.D. degree in physics from Washington University, St. Louis, MO, in 1989 and 1994, respectively. From 1994 to 2000, he was with the Department of Electrical and Computer Engineering, University of Victoria, Victoria, BC, Canada. From 1997 to 1999, he was a Visiting Scientist with the Swiss Federal Institute of Technology, Zurich, Switzerland, and a Visiting Professor in Summer 2001. In 2006. he was a Visiting Professor with the University of Ulm, Ulm, Germany. Since November 2000, he has been with the Department of Electrical and Computer Engineering, Royal Military College of Canada, Kingston, ON, Canada, where he is currently a Professor. His research interests are numerical analysis, numerical techniques in electromagnetics, applied physics, applied mathematics, wireless and optical communications, computer-aided design (CAD) of microwave components, and application of quantum field theory in quantum many-particle systems.

430

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Wolfgang Menzel (F’01) received the Dipl.-Ing. degree in electrical engineering from the Technical University of Aachen, Aachen, Germany, in 1974, and the Dr.-Ing. degree from the University of Duisburg, Duisburg, Germany, in 1977. From 1979 to 1989, he was with the Millimeter-Wave Department, AEG, Ulm, Germany [now the European Aerospace, Defense, and Space Systems (EADS)]. From 1980 to 1985, he was Head of the Laboratory for Integrated Millimeter-Wave Circuits, AEG. From 1985 to 1989, he was Head of the entire Millimeter-Wave Department, AEG. During that time, his areas of interest included planar integrated circuits (mainly on the basis of

fin-line techniques), planar antennas, and systems in the millimeter-wave frequency range. In 1989, he became a Full Professor with the Institute of Microwave Techniques, University of Ulm, Ulm, Germany. His current areas of interest are multilayer planar circuits, waveguide filters and components, antennas, millimeter-wave and microwave interconnects and packaging, and millimeter-wave application and system aspects. Dr. Menzel was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (2003–2005). From 1997 to 1999, he was a Distinguished Microwave Lecturer for Microwave/Millimeter Wave Packaging. From 1997 to 2001, he chaired the German IEEE Microwave Theory and Techniques (MTT)/Antennas and Propagation (AP) Chapter. He was the recipient of the 2002 European Microwave Prize.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

431

A Compact Open-Loop Filter With Mixed Electric and Magnetic Coupling Qing-Xin Chu, Member, IEEE, and Huan Wang, Student Member, IEEE

Abstract—A novel cascaded microstrip open-loop resonator filter with controllable electric and magnetic mixed coupling is presented to have one or more transmission zeros. For two coupled resonators, the coupled sides with the maximum magnetic field are combined with their open gaps with the maximum electric field, creating the electric and magnetic mixed coupling. By adjusting the distances between resonators and the positions of open gaps in a mixed coupled filter, the coupling coefficients can be changed, and controllable transmission zeros can be produced in the lower stopband, upper stopband, or both. Design and fabrication of the proposed second- and fourth-order filters show the advantages: such as a smaller number of resonators, higher rejection level, as well as asymmetrical response; moreover, the location of the transmission zeros can be precisely controlled. Index Terms—Bandpass filter, electric and magnetic mixed coupling, transmission zero.

I. INTRODUCTION

A

DVANCEMENTS in wireless communication demand microwave filters with more compact size, higher performance, and lower cost. The elliptic filters, which provide symmetrical or asymmetrical finite transmission zeros at the real frequency axis, can reduce the number of resonating elements required to meet the more rigorous specifications, and this, in turn, reduces the insertion loss and manufacturing cost. Cross-coupling topologies are widely used to introduce additional transmission zeros for bandpass filter applications. Methods to design cross-coupling filters have been reported in numerous papers [1]–[6]. Based on coaxial cavity filters, the mechanism of a cross-coupling approach to generate transmission zeros is explained by using an approximate model of lump-element components [7]. Source–load coupling and bypass-coupling diagrams are also widely utilized to achieve elliptic filtering functions [8]–[11]. These approaches have the fact that multiple coupling diagrams are constructed and the relative phase shifts of multiple paths are observed to produce the transmission zeros in common. The cascade resonant circuits, which provide the transmission zeros in the elliptic function filter with lumped elements, has been detailed in an earlier study [12], but the problem of achieving physically realizable impedance values has been

Manuscript received July 11, 2007; revised November 5, 2007. This work was supported by the Science Fund of Guangdong, China under Grant U0635004. The authors are with the College of Electronic and Information Engineering, South China University of Technology, GuangZhou 510641, China (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914642

common to many cascade design procedures. Rhodes utilized the stepped digital lines network in his realization of a cascade odd-order elliptic filter for narrowband applications [13]. However, his study was based on coupled line theory, which may not be as popular as the well-known coupled resonator filter design method for other microwave realizations. The general coupling matrix is used to represent the coupling relationship for conventional narrowband coupled resonator filter synthesizing. Only one coupling parameter exists between any two adjacent resonators in the coupling matrix, and in a practical bandpass filter, this coupling parameter can be classified as inductive (magnetic) coupling and capacitive (electric) coupling, which correspond to the coupling coefficients with opposite signs. In fact, these two distinct types of coupling often coexist between adjacent resonators, e.g., in a short-circuited quarter-wavelength resonator filter [14] and a half-wavelength open-loop resonator filter [5], [6]. The coexistence of electric coupling and magnetic coupling can also build up dual-coupling paths to produce transmission zeros. For a planar filter application, a modified short-circuited quarter-wavelength filter with separate electric and magnetic coupling paths has been recently reported [15]. For coupled resonator filters, Hong and Lancaster have formulated these two types of coupling by lumped element models [5], [6]. However, their model for extracting electric and magnetic mixed coupling was based on fitting a specific mixed coupled structure, which has not been considered the transmission zero effect caused by the mixed coupling. In this paper, an alternative equivalent lumped circuit model of a second-order bandpass filter is constructed to analyze the impact of the electric and magnetic mixed coupling, and is used to further investigate the coupling mechanism of generating transmission zeros. The relationship between the electric coupling coefficient, magnetic coupling coefficient, total coupling coefficient, and transmission zero of the resonant loops are explored. A new method to extract the mixed coupling coefficient from some measurable frequencies of coupled resonators is presented. Based on theoretical analysis, an improved coupled half-wavelength open-loop resonator filter is proposed. Simulations and experiments show that an adjustable transmission zero can be produced at both sides of a passband by appropriately tuning the parameters of electric coupling and magnetic coupling. The distance between resonators, the position of the open capacitive gap, and the impedance ratio of the stepped-impedance resonator are measured to be three tuning elements for the electric and magnetic mixed coupling and directly determine the performance of a filter with this mixed coupling. The equivalent circuit and configuration of a

0018-9480/$25.00 © 2008 IEEE

432

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 1. Equivalent circuit of a second-order bandpass filter with coupling reactance M .

fourth-order bandpass filter with two transmission zeros, which are located both below and above the passband, are also given. The proposed design method and filter structure are desirable to narrowband applications, where the achievable fractional bandwidth will not exceed 5%. Compared with the filters in [15], the via ground configuration, which is used to realize magnetic coupling, is removed to facilitate the arts and crafts of fabrication and, consequently, provides more choices for the resonators’ alignment. Compared with conventional cascade filters, advantages such as high rejection level and asymmetrical response are obtained. A smaller resonator number is required for mixed coupled filters in comparison with the well-known cascade triplet-section filters. Moreover, by tuning the physical coupling parameters, the location of transmission zeros can be precisely controlled. The remainder of this paper is organized as follows. In Section II, the equivalent circuit of a second-order filter is illustrated. The coupling mechanism of transmission zero generation is analyzed. Odd and even modes are used to derive the relationship between some characteristic frequencies and the filter performance. The method to extract electric and magnetic coupling is introduced. In Section III, two second-order microstrip open-loop bandpass filters with electric and magnetic mixed coupling are characterized, designed, and verified by the experiment. In Section IV, a fourth-order bandpass filter with two sets of electric and magnetic mixed coupling is designed and fabricated. The conclusion is given in Section V.

Fig. 2. Transformed equivalent circuit of Fig. 1 obtained by open circuiting the plane A–A and B –B .

where

is a 2

2 impedance matrix,

(2) is the self-resonant frequency variable of each loop, and is , where is the actual frethe angular frequency ( quency). Actually, the total coupled energy may be composed of both electric and magnetic parts; hence, the coupling reacshould reflect the effects of both parts when one tance part is almost equal to the other. Define this frequency-dependent coupling reactance as

(3) where and represent the coupling inductance and coupling capacitance, respectively, which can be characterized by . It can be found that the nuthe frequency has the same transmission zero as for merator of the circuit shown in Fig. 1, wherein no cross-coupling exists. According to equivalent-circuit theory, if reference planes – and – in Fig. 1 are open circuited, an equivalent transformed circuit can be derived, as shown in Fig. 2, – is the symmetrical plane. For the circuit shown in Fig. 2, we have

(4)

II. ANALYSIS OF MIXED COUPLING CIRCUIT Fig. 1 shows the equivalent circuit of a second-order bandpass filter. Each resonator is composed of a series capacitance together with a loop inductance and is characterized by its , individual resonant frequency is the equivalent input (output) resistor, is the source voltage, and and are loop currents. A frequency-dependent reactance is used to represent the coupling between two resonators. Using Kirchhoff’s voltage law, the loop equation in Fig. 1 can be written as

By inserting an electric wall (odd mode) and a magnetic wall (even mode) at the symmetrical plane – , respectively, we have

(5) (6) The resultant circuits of the odd and even modes will have two resonant frequencies

(1) or

(7) (8)

CHU AND WANG: COMPACT OPEN-LOOP FILTER WITH MIXED ELECTRIC AND MAGNETIC COUPLING

433

Fig. 3. Impact of magnetic coupling M .

Fig. 4. Impact of electric coupling E .

The classical definition of coupling coefficient can be expressed by using the two mode frequencies given in (7) and (8) as follows:

Condition 2: , , the capacis still unitive coupling is dominant. As shown in Fig. 3, changed, as the inductive coupling is further reduced to , the total coupling coefficient becomes negative, , and the bandwidth is widened. The transmission zero shifts from the lower stopband to the upper stopband GHz. The absolute value of will be continuously enlarged , the bandwidth will go on broadif we continue to reduce ening, and the transmission zero will become infinite.

(9) It is obvious that must be a function of both and simultaneously. However, in order to reveal the relationship between the magnetic part and electric part in a total mixed coupling in and may be a more lucid form, some manipulations to necessary. By assuming for narrowband bandpass approximation, we can define a temporary coupling function as follows:

(10) represents the inductive coupling coefficient and where represents the capacitive coupling coefficient. Bringing (7) and (8) into (9) and (10) yields (11) It can be seen that for purely coupled structures , the total coupling coefficient will be equal to the pure cou. It should be noted that the exprespling coefficient sion of electric coupling is different from that given in [5] beis used in Fig. 2 in place cause a series coupling capacitance of the parallel coupling capacitance that was used in [5]. From and the capaci(11), we can see that the inductive coupling cancels each other under a fixed total coupling tive coupling coefficient . The simulation package Microwave Office is used to discuss the following behaviors of the electric and magnetic mixed coupling. A. Impact of Inductive Coupling , , the inducCondition 1: tive coupling is dominant. The impact of to the filter performance is shown in Fig. 3. The capacitive coupling is chosen to . When , the total coupling is calbe , a transmission zero at GHz, culated as which locates on the lower stopband is induced by the electric invariable and reand magnetic mixed coupling. Keeping , because the ducing the inductive coupling to , the bandwidth is nartotal coupling is reduced to rowed, and the transmission zero shifts to GHz.

B. Impact of Capacitive Coupling Condition 1: , , the capacitive coupling is to the filter is shown in Fig. 4. dominant. The impact of The inductive coupling is fixed as . When , the total coupling is calculated as , a transGHz, which is located on the upper mission zero at unstopband, is induced by the mixed coupling. Keeping changed and reducing the capacitive coupling to , , the bandthe absolute value of is reduced width is narrowed, and the transmission zero moves left to GHz. Condition 2: , , the inductive coupling is is still unchanged, as the dominant. As shown in Fig. 4, , the capacitive coupling is further reduced to , and total coupling coefficient becomes positive, the bandwidth is widened. The transmission zero moves from GHz. The the upper stopband to the lower stopband total coupling will be continuously enlarged if we continue , the bandwidth will go on broadening, and the to reduce transmission zero will tend to zero. By comparing Figs. 3 with 4, it is interesting to note that, for a second-order electrically and magnetically coupled filter, the response, such as the bandwidth and rejection level, can be completely controlled by choosing appropriate values of and , a transmission zero induced by the mixed coupling and moves right when moves left with the increase of increases. Not only will the transmission zero shift, but also the or because bandwidth will change if we singly tune of the canceling effect of them. Therefore, to obtain different transmission zeros without much disturbance in the passband, and should be tuned simultaneously to ensure that the absolute value of remains constant, as shown in Fig. 5. The subsequent problem will be how to extract the electric and magnetic coupling coefficients from the mixed coupling. For a mixed coupled structure, we can analyze the entire cou-

434

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 5. Frequency responses of second-order electrically and magnetically mixed coupled filters with the same bandwidth, but different transmission zeros.

Fig. 6. Magnitude of S and group delay of S with weak I/O coupling.

for a mixed coupled structure

pling structure and find the natural resonant frequencies of two , the transmission zero freresonant peaks and , and the self-resonant frequency from the -paquency rameter response between two ports that are very weakly coupled to the coupled structure, as shown in Fig. 6. The self-rescan be obtained by finding the frequency onant frequency takes point between and at which the group delay of an extreme value [16]. Considering , , and (7) and (8), we obtain the expressions of and in terms of those measurable frequencies as follows:

(12) (13) , there will be If and there will be

and

; if

,

.

III. SECOND-ORDER FILTER REALIZATION Fig. 7 illustrates the configuration of a second-order microstrip open-loop resonator filter with electric and magnetic mixed coupling. The total length of the resonator is around a half-wavelength and the structure is symmetrical about the vertical axis. By observing the fringe field of the resonators, it can be found that, at the resonance of the fundamental mode, each of the open-loop resonators has the maximum electric field density at the end with an open gap and that the maximum

Fig. 7. Configuration of the second-order electrically and magnetically mixed coupled microstrip open-loop filter.

fringe magnetic field density at both of the adjacent sides; and magnetic therefore, the coexisting electric coupling seem to be very sensitive to the sides with the coupling open gaps and the sides with the maximum magnetic field, and respectively. High-impedance lines with the length of the width of on the coupled sides of two resonators are adopted in order to obtain stronger coupling. The open gap and the gap width of may affect with the linewidth of the proportional electric and magnetic coupling very much, whose effects will be soon investigated by changing the gap position . Since the fringe field exhibits an exponentially decaying character outside the resonator region, the spacing between two coupled resonators will be a very important tuning parameter to the mixed coupling. The line with the width of and length of couples to the line with the width of and constructs the input (output). The width of the feed line is mm, where denotes the distance between fixed as the feed line and resonator. The dielectric substrate with relative permittivity of and thickness of 0.775 mm and commercial electromagnetic (EM) software IE3D are used in the following analysis and design. The study of the mixed coupled structure shown in Fig. 7 will be separated into two cases, one is for larger values, and the other is for smaller values. Except for the gap position and the spacing , all of the physical dimensions in both cases are fixed as follows: mm, mm, mm, mm, mm, and mm. It should be noted that the simulation or test results of a real coupled structure may not exactly hold equality between the last two items in (12), as well as (13). One possible reason of this discrepancy may be the errors in one’s observation. Therefore, in a simulation or test procedure, higher accuracy may be achieved by taking more frequency points in the same frequency span. In order to further minimize the test errors, two averaging equations can be utilized instead of (12) and (13) as follows:

(14) (15)

CHU AND WANG: COMPACT OPEN-LOOP FILTER WITH MIXED ELECTRIC AND MAGNETIC COUPLING

435

Fig. 9. Coupling results with smaller P values. Fig. 8. Coupling results with larger P values.

In order to prove the correctness of our coupling extraction represent the total coupling coefficient, which is method, let obtained by using (11), (14), and (15), whereas still represent the total coupling coefficient, which is obtained by using the classical formula (9). While the gap position takes a relatively large value, the magnetic coupling is expected to be stronger. Shown in Fig. 8 are the extracted coupling results, which involve the electric , the magnetic coupling coefficient , coupling coefficient and the total coupling coefficients and . One can see that, from Fig. 8, the magnetic coupling is always larger than , and it leads to a constantly positive the electric coupling and total coupling coefficient and . It is clear that both exhibit an exponentially decaying character with respect to the spacing between two resonators. Increasing will weaken the total coupling, whereas increasing will strengthen the total coupling. While the gap position takes a relatively small value, the electric coupling is expected to be stronger; the extracted coupling results are given in Fig. 9. It can be seen that the electric always dominates the total coupling; hence, that coupling it leads to a constantly negative total coupling coefficient and . The exponential declining curves of both and are found once more. The absolute values of total coupling coefficient and will decrease as the spacing increases, and the larger the gap position , the weaker the total coupling. Whether takes larger values or smaller values, good agreement between and can be observed. Compare with , which is extracted from the classical formula (9), the accuracy of can be achieved of better than 2.8%. The ratio plotted

Fig. 10. Ratio of M =E

versus S .

in Fig. 10 can further reveal the effect caused by changing . increases rapidly with It can be observed that the ratio the increase of , which implies the percentage of the magnetic in the total coupling will monolithically increase coupling with the increase of . The effect brought by changing is the . This phenomena attributes to change of transmission zero the relationship of , which can be easily obis closer to unity, tained from (12) and (13). If the ratio will be closer to the self-resonant frethe transmission zero quency , as shown in Fig. 11. Normally, the self-resonant frequency will be changed by the variation of ; however, the . The fluctuchange of is very slight in comparison with ation of is confined in a range of 0.5% at an average value GHz. of

436

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 13. Frequency responses of structure 1.

Fig. 11. Variation of transmission zero f

versus S .

Fig. 14. Frequency responses of structure 2.

Fig. 12. Frequency responses of the filters with the same transmission zero, but different bandwidths. Dimensions: W = 2:2 mm, W = 0:5 mm, W = 2 mm, S = 0:5 mm, and G = 3 mm. (a) D = 0:37 mm, P = 3 mm, W = 1:5 mm, L = 16 mm, L = 39 mm, and L = 39 mm. (b) D = 0:5 mm, = 0:5 mm, L = 15 mm, L = 35 mm, and L = 34 mm. P = 4 mm, W

Since the distance between resonators is not allowed to be too small due to the fabrication limitation, the intensity of mixed coupling is limited. With a close-to-passband transmission zero, the total coupling coefficient will be much less than each pure coupling coefficient. Thus, the achievable bandwidth of the mixed coupled open-loop filter will be much narrower than traditional ones with pure coupling. We find that by widening , the mixed coupling will increase a lot. The the linewidth of shift of resonant frequency arises from the change of impedance ratio of the resonator can be compensated by properly readjusting the impedance ratio or length ratio of the resonator [14]. Fig. 12 shows the responses of two second-order filters with different impedance ratios and length ratios. It can be seen that with the same transmission zero position, the 3-dB bandwidth mm is 52.5 MHz of the filter having a thick (fractional bandwidth: 4.8%), while the 3-dB bandwidth of mm is only 45 MHz the filter having a thin (fractional bandwidth: 4.1%). Here we have a brief conclusion for second-order mixed coupled open-loop filter, which is: a) changing either or will affect the coupling results between two mixed coupled resonators; b) all of the electric coupling coefficient, magnetic coupling coefficient, and the absolute value of total coupling coefficient dewill crease with the increase of ; and c) the ratio of

increase with the increase of , without reference to the spacing , so does the total coupling coefficient. With these experiences we can always find a specific combination of and to satisfy and , and further to prescribe the desired the prescribed filter performance. According to the above analysis, two second-order mixed coupled microstrip open-loop filter examples (structure 1 and structure 2) are designed and fabricated. From Figs. 8, 9, and 11, , , , and we can read that GHz for the first example (structure 1 with mm and mm) and , , , and GHz for the second example mm and mm). Using these (structure 2 with coupling results, we can predict the circuit responses for these two microstrip filters, as shown in Figs. 13 and 14. In order to verify the validity of circuit predictions, both the EM simulated and measured results are also given in Figs. 13 and 14. The measured specifications of structure 1 are: 1) operating frequency of 1.09 GHz; 2) 3-dB bandwidth of 44 MHz; 3) the insertion loss of 1.6 dB; and 4) the transmission zero is located at 928 MHz. The measured specifications of structure 2 are: 1) operating frequency of 1.09 GHz; 2) 3-dB bandwidth of 40 MHz; 3) the insertion loss of 1.75 dB; and 4) the transmission zero is found at 1.22 GHz. The discrepancy of approximately 10 MHz between measurement and simulation may come from the differences between the EM simulated and measured structure, which includes the fabrication errors. However, good agreement can be observed. The occupied areas (without considering the I/O ( is feed lines) of both structures are only the free-space wavelength at operating frequency). A fabricated

CHU AND WANG: COMPACT OPEN-LOOP FILTER WITH MIXED ELECTRIC AND MAGNETIC COUPLING

437

Fig. 16. Four-pole cascade mixed coupled resonator filtering network. Fig. 15. Fabricated second-order microstrip filter.

as photograph of the second-order electrically and magnetically mixed coupled filter is illustrated in Fig. 15. For each two-pole filter example, the discrepancy of the responses obtained from circuit simulation and EM simulation (or measurement) at the far stopband is attributed to the spurious passband, which, of course, does not exist in the simple circuit model (refer to Fig. 2). Other than that, the EM simulated curves in both Figs. 13 and 14 are obtained by simulating the filters with relatively strong input and output coupling configurations, while the information for the circuit simulation is obtained by simulating (EM) the same filter structures with very weak input and output coupling. The different I/O coupling structures produce the frequency shifts of the circuit simulated curves from the EM simulated responses in Figs. 13 and 14. For the two-pole filter samples (structure 1 and structure 2), the EM simulated center frequencies are 1.082 and 1.074 GHz, while the circuit simulated center frequencies are 1.088 and 1.084 GHz, the circuit simulated accuracies are 0.55% and 0.93%, respectively. Con, the circuit sidering the unloaded quality factor of simulated insertion losses for the both two-pole filter samples are as the same as those obtained from the EM simulation, and they are 1.6 dB for structure 1 and 1.7 dB for structure 2, respectively.

(17) and From (17), it can be seen that the mixed coupling have two respective zeros in the numerator of . Since the , there must numerator has the same transmission zeros as be two transmission zeros induced by the electric and magnetic and , whereas in nonmixed coupled mixed coupling filters, no finite transmission zeros will be generated because all will tend toward infinity. of the zeros of the numerator of In a conventional filter designing procedure, only pure electric or magnetic coupling exists, but in the design of an electrically and magnetically mixed coupled filter, the electric and magnetic coupling coexists between some adjacent resonators should be considered for a same total coupling simultaneously. To design a cascade bandpass filter centered at 1.05 GHz with a fractional bandwidth of 4.2%, two transmission zeros are prescribed at 0.956 and 1.1505 GHz to increase the rejection level. value and the general coupling matrix can be The loaded given as

(18)

IV. FOURTH-ORDER FILTER REALIZATION A fourth-order electrically and magnetically mixed coupled filter can be obtained by cascading two second-order mixed coupled filters; its coupled topology is given in Fig. 16. The dashed boxes FL and FR represent two second-order mixed coupled filter units where resonators 1 and 2 are mixed coupled by the and resonators 3 and 4 are mixed coucoupling reactance . FL and FR are purely coupled by the coupling reactance . By choosing appled by an inductive coupling reactance and , two controlpropriate mixed coupling values for lable transmission zeros can be introduced by FL and FR, respectively. The voltage loop equation for the fourth-order mixed coupled filtering network in Fig. 16 is given in matrix form

(16) where is defined in (2). Consider (16), where the open-circuit between Ports 1 and 2 can be written impedance parameter

In (18), both and represent the mixed coupling, thus considering the locations of two prescribed transmission zeros, the mixed coupling between and resonators 1 and 2 can be prescribed as , and the mixed coupling between resonators 3 and . and 4 can be determined as In the realization of the fourth-order filter, these coupling pa, , and can be determined from an extraction rameters procedure of two pairs of mixed coupled resonators, as introcan duced in Sections II and III. The external quality factor of a singly fed resbe extracted from the phase response of onator [6]. The filter response obtained from circuit simulation is shown in Fig. 18, where it can be seen that a prescribed transmission zero at a lower stopband is generated by FL and another transmission zero at upper stopband is generated by FR. Four half-wavelength microstrip open-loop resonators are adopted to create the circuit shown in Fig. 16; the filter topology is shown in Fig. 17. The impedance of the tapped line is chosen to be 50 . Two resonators on the left compose the filter element FL,

438

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 17. Topology of the fourth-order microstrip mixed coupled filter. Fig. 19. Fourth-order microstrip filter.

Fig. 18. Frequency responses of the fourth-order microstrip filter.

the other two on the right compose the element FR. The complete filter design is separated into two steps—first design FL and FR, respectively, then cascade them together. The values , , , and can be extracted from EM simulation. The filter dimensions are given as follows: mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, mm. The results of EM simulation and experiand ment of the filter in Fig. 17 are shown in Fig. 18; a deviation of 18 MHz is caused by the fabrication errors. Two transmission zeros at both the lower side (982.5 MHz) and the upper side (1.1665 GHz) of the passband are observed, the cutoff slope is very sharp. Around the center frequency of 1.056 GHz, the measured return losses are approximately 25 dB, and the insertion losses are approximately 3.4 dB; the insertion losses would be attributed mainly to the conductor and dielectric losses. Besides, the narrow coupled line with higher impedance level will also deteriorate the passband performance. Shown in Fig. 19 is the photograph of the fabricated filter; the occupied area (without ( is considering the I/O feed lines) is only the free-space wavelength at operating frequency). It should be noted that the EM simulated center frequency and two transmission zeros are 1.046, 0.96, and 1.16 GHz, respectively. As mentioned at the conclusion of Section III, the shift of the circuit simulated response from the EM simulated result is caused by the different I/O port structures in these two procedures. It can

be seen that the EM simulated rejection level is higher than that of the circuit simulated result at upper stopband. This is because that the first (last) resonator is excited by the tapped feeder at the fundamental half-wavelength resonance, but at upper stopband, the feed point is at a voltage zero so that the resonator is not coupled near this frequency. Obviously, this frequency-dependent behavior, which is not involved in circuit simulation, should be considered for the tap fed filters. The unload quality is considered in the circuit simulation. factor In the realization of the fourth-order microstrip filter, it can be is quite different found that the dimension of the linewidth from both of the two second-order filter examples in Section III (refer to Figs. 15 and 19). This is because that in the fourth-order filter example two transmission zeros are located closer to the and are required for mixed passband, therefore, larger coupling to maintain relatively larger total coupling coefficients, which may be achieved by reducing the size of the spacing and . However, if the distances between resonators are as small as 0.2 mm, the fabricating tolerances will become relatively greater and, in turn, will increase the errors on realizing the prescribed coupling coefficients and transmission zeros. As leads to stronger mentioned in Section III, a wider linewidth coupling between resonators, and thus, can compensate the fabrication limitations. Therefore, using resonators with wider lines is preferred over reducing whose linewidth are denoted by the distances between resonators to achieve desired mixed coupling intensities. V. CONCLUSION In this paper, a novel open-loop filter using electric and magnetic mixed coupling to introduce transmission zeros without and , any cross-coupling has been proposed. By tuning symmetrical or asymmetrical transmission zeros can be prescribed arbitrarily to obtain desired filter performance. However, due to the contradiction between the total coupling intensity and the proportions of pure coupling in it, with close-topassband transmission zeros, the achievable bandwidth of this type of mixed coupled filter will not exceed 5%. By comparing a cascaded mixed coupled filter with a conventional cascaded filter, the proposed filter has advantages such as a high rejection level and asymmetrical responses. It should be noted that, although the proposed idea is implemented by microstrip loops,

CHU AND WANG: COMPACT OPEN-LOOP FILTER WITH MIXED ELECTRIC AND MAGNETIC COUPLING

it may be applicable to other structures such as -mode di-mode dielectric reselectric resonators. For example, in a can be largely realized by irises and can onator filter, be largely realized by probes. ACKNOWLEDGMENT The authors thank the reviewers for their thoughtful comments and suggestions, and especially thank the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Associate Editor Richard V. Snyder, for his helpful discussions. REFERENCES [1] A. E. Atia, A. E. Williams, and R. W. Newcomb, “Narrow-band multiple-coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CAS-21, no. 5, pp. 649–655, Sep. 1974. [2] R. Levy, “Filters with single transmission zeros at real or imaginary frequencies,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 4, pp. 172–181, Apr. 1976. [3] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [4] J.-F. Liang and W. D. Blair, “High- TE01 mode DR filters for PCS wireless base stations,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2493–2500, Dec. 1998. [5] J.-S. Hong and M. J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 12, pp. 2099–2109, Dec. 1996. [6] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [7] J. B. Thomas, “Cross-coupling in coaxial cavity filters—A tutorial overview,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1368–1376, Apr. 2003. [8] U. Rosenberg and S. Amari, “Novel coupling schemes for microwave resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2896–2902, Dec. 2002. [9] R. J. Cameron, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [10] S. Amari and M. Bekheit, “New dual mode dielectric resonator filters,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 3, pp. 162–164, Mar. 2005. [11] C.-K. Liao, P.-L. Chi, and C.-Y. Chang, “Microstrip realization of generalized Chebyshev filters with box-like coupling schemes,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 147–153, Jan. 2007. [12] H. J. Orchard and G. C. Temes, “Filter design using transformed variables,” IEEE Trans. Circuits Theory, vol. CT-15, no. 4, pp. 385–408, Dec. 1968.

Q

439

[13] J. D. Rhodes, “The stepped digital elliptic filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 4, pp. 178–184, Apr. 1969. [14] M. Sagawa, M. Makimoto, and S. Yamashita, “Geometrical structure and fundamental characteristics of microwave stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1078–1085, Jul. 1997. [15] K. Ma, J.-G. Ma, K. S. Yeo, and M. A. Do, “A compact coupling controllable filter with separate electric and magnetic coupling paths,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1113–1119, Mar. 2006. [16] J. B. Ness, “A unified approach to the design, measurement, and tuning of coupled-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 4, pp. 343–351, Apr. 1998. Qing-Xin Chu (M’99) received the B.S., M.E., and Ph.D. degrees in electronic engineering from Xidian University, Xi’an, China, in 1982, 1987, and 1994, respectively. He is currently a Full Professor with the College of Electronic and Information Engineering, South China University of Technology, Guangzhou, China. He is also the Head of the Research Institute of RF and Wireless Techniques, South China University of Technology. From 1997 to 2003, he was the Vice-Dean of the South China University of Technology. From 1995 to 1998, he performed research with the Department of Electronic Engineering, Chinese University of Hong Kong, as a Research Associate. In 2005, he visited the Department of Electrical and Electronic Engineering, Okayama University, Okayama, Japan. From 1982 to 2003, he was with the School of Electronic Engineering, Xidian University. His current research interests include analytical and numerical techniques in electromagnetics, microwave integrated circuits, active integrated antennas, and spatial power combining array. Prof. Chu is a Senior Member of the China Electronic Institute (CEI). He was the recipient of a Fellowship awarded by the Japan Society for Promotion of Science (JSPS). He was the recipient of the 2002 tip-class Science Award presented by the Education Ministry of China. He was also the recipient of the 1995 Second-Class Award of Science and Technology Advance presented by the Electronic Industry Ministry of China.

Huan Wang (S’06) was born in Lanzhou, Gansu, China, on October 27, 1981. He received the B.S. degree in electronic engineering from Xidian University, Xi’an, China, in 2004, and he is currently working toward the Ph.D. degree in electronic and information engineering at the South China University of Technology, Guangzhou, China. His research interests include the design of microwave filters and associated RF modules for microwave and millimeter-wave applications.

440

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Miniaturized Hexagonal Stepped-Impedance Resonators and Their Applications to Filters Rui-Jie Mao, Student Member, IEEE, Xiao-Hong Tang, Ling Wang, and Guo-Hong Du

Abstract—Miniaturized hexagonal stepped-impedance resonators and their applications to bandpass filters are presented. Based on the odd- and even-mode equivalent circuits, the resonance characteristics of these resonators are investigated. A series of circuit configurations, including the third-order Chebyshev, trisection, and fifth-order cascaded trisection filters, are constructed by the proposed resonators. These filters are very compact and have good stopband responses. Measured results validate the analysis and theoretical prediction with good agreement. Index Terms—Bandpass filter, hexagonal stepped-impedance resonator, miniaturization.

I. INTRODUCTION

M

INIATURIZED planar resonators and filters for wireless communication systems are a highly active area of research. Among various circuit configurations, the parallel-coupled, half-wavelength resonator filter [1], and hairpin-line filter [2] are commonly used. However, the sizes of these filters seem too large and they suffer from the first spurious response twice the center frequency. To reduce the circuit size and shift the first spurious response to a higher frequency band, the stepped-impedance resonator was developed to design filters [3]. After that, numerous configurations such as the miniaturized hairpin resonator filter [4] and slow-wave open-loop resonator filter [5] were proposed. Recently, the hexagonal loop resonators and their applications to dual-mode filters were reported [6]. These filters allow the realization of different responses within one resonator. Nevertheless, the research on the hexagonal resonator filter has been confined to the second-order case. Besides selecting resonator types, the filter topology deserves careful consideration. By cascading resonators in series, only Chebyshev and Butterworth responses can be realized. To improve the stopband selectivity, a pair of transmission zeros on both sides of the passband can be generated by using the quadruplet [7]. In certain applications where a higher selectivity on either side of the passband is required, a trisection filter with an asymmetric frequency response is desirable [8]. Furthermore, higher order filters can be realized by cascading quadruplet and trisection modules.

Manuscript received July 18, 2007; revised October 30, 2007. The authors are with the School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu, Sichuan Province 610054, China (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914622

Fig. 1. (a) Configurations of a Resonator I (left) and a Resonator II (right). (b) Their odd-mode equivalent circuit. (c) Their even-mode equivalent circuit.

In this paper, the miniaturized hexagonal stepped-impedance resonators and their applications to filters are proposed. Since any of the six arms of a hexagonal resonator can be utilized for coupling, the filter configuration is very flexible. The third-order Chebyshev, trisection, and fifth-order cascaded trisection (CT) filters are constructed by the proposed resonators. These filters not only have small sizes, but also have deep rejection and high selectivity at the stopband. II. HEXAGONAL STEPPED-IMPEDANCE RESONATORS AND THEIR CHARACTERISTICS Fig. 1(a) shows two configurations of the hexagonal steppedimpedance resonator. The hexagonal open-loop resonators are miniaturized by the loading effects of the low-impedance sections and the gap. Depending on the position of the gap, these resonators are herein referred to as Resonator I and II, respectively. When an electric wall, i.e., a short circuit, is applied along , their odd-mode equivalent circuit the symmetry axis is obtained, as is shown in Fig. 1(b). Similarly, the even-mode equivalent circuit is obtained by applying a magnetic wall (open , which is illustrated in Fig. 1(c). The charcircuit) along acteristic impedances of the high- and low-impedance sections and , respectively. Their electrical lengths are denoted by are represented by and . Moreover, the gap is equivalent to and . the shunt and series capacitances By letting the odd- and even-mode input impedances seen and in Fig. 1(b) and (c), be equal from point , i.e.,

0018-9480/$25.00 © 2008 IEEE

MAO et al.: MINIATURIZED HEXAGONAL STEPPED-IMPEDANCE RESONATORS AND THEIR APPLICATIONS TO FILTERS

441

Fig. 3. Configurations of the third-order Chebyshev bandpass filters. Geometrical dimensions of the resonators are given in Fig. 1(a). (a) Filter A. (b) Filter B.

Fig. 2. (a) Normalized fundamental- and first spurious-mode resonance frequencies of a hexagonal stepped-impedance resonator with different impedance ratio against the length ratio where a = 5 mm, S = 0:5 mm, and Z = 50

according to the equivalent circuits. (b) Fundamental- and first spurious-mode resonance frequencies of Resonator I against the gap size where a = 5 mm, R = 0:5, Z = 50 , and a 1.



to zero and infinity, respectively, the equations for all odd- and even-mode resonance are derived as

(1) (2) where is the impedance ratio and is the length ratio. Note the fundamental-mode of a resonator corresponds to the first odd-mode of (1), whereas the first spurious mode is related to the first even-mode of (2). Based on (1) and (2), the fundamental- and first spurious-mode resonance frequencies can be calculated using numerical methods in MATLAB. A CER-10 Teflon substrate with a thickness of 0.635 mm and a relative dielectric constant of 9.5 was used for all theoretical analyses and simulations. The results are shown in Fig. 2(a). These frequencies are normalized with respect to the fundamental-mode resonance

frequency of a hexagonal uniform impedance resonator. It is shown that the smaller the , the lower the fundamental-mode resonance frequency. The normalized fundamental-mode resois smaller nance frequency is below or higher than one when ’s less than one, the or larger than one, respectively. For lowest fundamental-mode resonance frequency is obtained by should be as small as letting be equal to unity. Therefore, possible, while should be unity when the size of a resonator is to be miniaturized. Moreover, it is seen that the first spurious-mode resonance . The normalfrequency increases with the decrement of is larger ized frequency is lower or higher than two when ’s, the exor less than one, respectively. For any value of treme point of the first spurious-mode resonance frequency is achieved when is approximately three. In general, the structural parameters should be properly chosen to reduce the size of the resonator and shift the first spurious-mode to a higher frequency band. The impact of the gap on the resonance frequencies has also been studied. Fig. 2(b) shows the simulated fundamental- and first spurious-mode resonance frequencies of a Resonator I using Ansoft’s High Frequency Structure Simulator (HFSS) [9]. It is seen that both resonance frequencies increase linearly with the increment of the gap size. The fractional variation of the resonance frequencies with respect to those of the resonator mm are within 11% for the fundamental-mode with and 4% for the first spurious-mode, respectively. Therefore, the resonance frequencies of Resonator I can be fine tuned by adjusting the gap. A similar conclusion can be drawn for Resonator II, and it is not repeated here. III. THIRD-ORDER CHEBYSHEV BANDPASS FILTERS Since any of the six arms of a hexagonal resonator are available for coupling, the filter configuration is very flexible. Fig. 3 shows two third-order Chebyshev bandpass filters, which

442

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I GEOMETRICAL DIMENSIONS OF FILTERS A AND B

are suitable for wide and narrow passband applications, respectively. They are called Filters A and B for ease of expatiation. The coupling between resonators is through fringe fields. At resonance of the fundamental-mode, each resonator has the maximum electric field density at the arm(s) with the gap and the maximum magnetic field density at the opposite arm(s). Thus, the electric and magnetic couplings are obtained if the arms with the maximum electric and magnetic field density of two coupled resonators are proximately placed, respectively. If two resonators are coupled with each other through the fringe fields of other arms, such as the cases in Fig. 3, both electric and magnetic couplings occur. The coupling is referred to as the mixed coupling [10]. In addition, these filters are fed by the tapped line and coupled line structures, respectively. The low-impedance sections near the feeding structure of the input and output resonators are slightly shortened by mm to improve the passband flatness and return loss. Two filters were designed and fabricated on the CER-10 substrate. They have the same center frequency of 1.8 GHz, but different fractional bandwidth, namely, 8% for Filter A and 5% for Filter B. A third-order Chebyshev low-pass prototype filter with a 0.1-dB ripple level was applied to the designs. Geometrical dimensions of the resonators are summarized in Table I. According to (2), these resonators have the first spurious-mode resonance frequencies of 4435 MHz for Resonator I and 4498 MHz for Resonator II, respectively. The design followed the procedure described in [10]. The calculated inter-stage coupling coefficients and external quality factor according to the low-pass prototype filter turn out that

(3) where subscripts and refer to the corresponding filters, , , and are the element values of the low-pass prototype filter, is the fractional bandwidth. and The full-wave simulator HFSS has been used to extract the above parameters. The coupling coefficient can be evaluated and of from the two dominant resonance frequencies the synchronously tuned coupled resonators as

(4)

Fig. 4. Coupling coefficients versus the distances between resonators.

Fig. 4 depicts the extracted coupling coefficients versus the distances between resonators. It is seen that the coupling coefficients decrease with the distance because the fringe field exhibits an exponentially decaying character against the distance. The external quality factor is characterized by (5) where

is the resonance frequency of the resonator and is the bandwidth at which the phase of the response shifts 90 with respect to the absolute phase at . The extracted external quality factors are shown in Fig. 5. For the tapped line structure, the external quality factor increases with the decrement of . For the coupled line structure, a smaller gap and narrower line result in a smaller external quality factor. The impact of the coupling linewidth on the external quality factor is higher that of the coupling gap. Moreover, the external quality factor increases with the increment of the coupling line length. Geometrical dimensions of Filters A and B are listed in Table I. Fig. 6 shows a photograph of the fabricated filters. Their sizes for Filter A and amount to for Filter B, respectively, where is the guided wavelength on this substrate at the center frequency. The measured frequency responses, which were obtained using an Agilent E8363B network analyzer, along with the simulated results using HFSS are illustrated in Fig. 7. Good agreement between the simulation and measurement is observed. The measured response of

MAO et al.: MINIATURIZED HEXAGONAL STEPPED-IMPEDANCE RESONATORS AND THEIR APPLICATIONS TO FILTERS

443

Fig. 5. External quality factors of the tapped and coupled line structures.

Fig. 6. Fabricated Filters A (left) and B (right).

Filter A has a bandwidth of 7.77% at the center frequency of 1860 MHz, whereas Filter B has a bandwidth of 4.83% at the center frequency of 1820 MHz. The frequency shifts are due to the fabrication tolerance and error between the actual and nominal values of the relative dielectric constant. The minimum passband insertion loss of Filter A is 1.69 dB and that of Filter B is 2.37 dB, mainly caused by the conductor and dielectric losses. The unloaded quality factor of the resonators is estimated to be 110, which is comparable to the value of a 50- uniform impedance open-loop resonator [11]. The measured in-band return loss is greater than 16.5 dB for Filter A and 15.4 dB for Filter B. The first spurious response of these filters is at approximately 4.4 GHz, which is 2.5 times away from the center frequency. Besides, the stopband rejection on the upper and lower sides of the passband is higher than that on the opposite sides for Filters A and B, respectively. The reason is that the cross coupling between nonadjacent resonators, i.e., resonators 1 and 3, introduces additional phase shift, which is out of phase with respect to the phase shift introduced by the directly coupled resonators. IV. THIRD-ORDER TRISECTION BANDPASS FILTERS Trisection bandpass filters with asymmetric frequency responses are highly desirable in certain applications such as

Fig. 7. (a) Measured and simulated frequency responses of Filter A. (b) Measured and simulated frequency responses of Filter B. Shown in the insets of these figures are their wideband jS j responses.

diplexers and multiplexers. A trisection filter is composed of three directly coupled resonators with a cross coupling between the first and third resonators. By introducing and controlling the cross coupling, an asymmetric frequency response with higher selectivity on either side of the passband can be realized. Fig. 8 shows two trisection filters using the proposed resonators. Both filters are composed of two Resonator I’s and one Resonator II. They are referred to as Filters C and D, respectively. The cross coupling of Filter C is realized by the electric coupling, which results in higher selectivity on the upper side of the passband. In contrast, the magnetic coupling is utilized to perform the cross coupling of Filter D, which has higher selectivity on the lower side of the passband. These filters are fed by the tapped line and coupled line structures, respectively. Although a specific value of the external quality factor can be realized by a shorter coupling line length with a wider gap and broader coupling line width for Filter D, the coupling lines with considerable length are chosen. The purpose is to improve the stopband rejection by introducing an additional coupling between the feed lines, which generates two additional transmission zeros on both sides of the passband. In addition, the low-

444

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 8. Configurations of the third-order trisection bandpass filters. (a) Filter C with higher selectivity on the upper side of the passband. (b) Filter D with higher selectivity on the lower side of the passband.

impedance sections near the input/output coupling structures of resonators 1 and 3 are also shortened by mm to improve the passband performances. The coupling and routing schemes of these filters are shown in the inset of Fig. 9(a) and (b). The dark circles represent the resonators, and the empty ones represent the source and load. The direct couplings are denoted by the solid lines, whereas the cross coupling is denoted by the dash line. Two trisection filters with a center frequency of 1.8 GHz and a fractional bandwidth of 5% were designed and fabricated on the CER-10 substrate. The prescribed transmission zeros are located at 1.95 GHz for Filter C and 1.55, 1.65, and 2.24 GHz for Filter D, respectively. By using the synthesis method in [12], the coupling matrix and external quality factor for Filter C are computed as

Fig. 9. (a) Theoretical frequency responses of the coupling matrix in (6). (b) Theoretical frequency responses of the coupling matrix in (8).

(6) has the opposite sign Note the cross coupling coefficient with respect to that of the direct coupling coefficients to realize the transmission zero on the upper side of the passband. The resonance frequency of the th resonator is determined as

Fig. 10. Coupling coefficients versus the distances between the resonators. The M ’s and M ’s are extracted for the cases of d = 0:63 and 1:35 mm for Filters C and D, respectively.

(7)

where is the center frequency of the filter and is the th nonzero diagonal entry of the coupling matrix. The resonance

MAO et al.: MINIATURIZED HEXAGONAL STEPPED-IMPEDANCE RESONATORS AND THEIR APPLICATIONS TO FILTERS

445

TABLE II GEOMETRICAL DIMENSIONS OF FILTERS C AND D

frequencies of resonators 1 and 3 are found to be 1796.2 MHz, whereas the resonance frequency of resonator 2 is 1814.9 MHz. The frequency offsets are 0.2% and 0.79%, respectively, which can be realized by tuning the gaps of the resonators. The theoretical frequency responses of the coupling matrix in (6) are shown in Fig. 9(a). By using the synthesis method in [13], the coupling matrix for Filter D is obtained as

Fig. 11. Fabricated Filters C (left) and E (right).

(8) refer Note the indices of the matrix elements to the source, resonators 1–3, and load, respectively. Both the cross and direct coupling coefficients between resonators are positive to realize a transmission zero on the lower side of the passband. The resonance frequencies of resonators 1 and 3 are 1804.1 MHz, whereas the resonance frequency of resonator 2 is 1784.2 MHz. The corresponding frequency offsets are 0.23% corresponds and 0.88%, respectively. The nonzero entry to the cross coupling between the feed lines. Although the value is small, it is sufficient to affect the responses of the filter. The theoretical frequency responses of the coupling matrix in (8) are shown in Fig. 9(b). Since the coupling between resonator pairs 1 and 2 and 2 and 3 are asynchronously tuned; the coupling coefficients should be evaluated as

Fig. 12. Measured and simulated frequency responses of Filter C. The wideband jS j responses are shown in the inset.

(9) and are the resonance frequencies of the th and where th resonators when they are not coupled, and and are the two dominant resonance frequencies of the asynchronously tuned coupled resonators [14]. The extracted coupling coefficients versus the distances between the resonators are shown in Fig. 10. It is worth mentioning that the distance between resonators 1 and 3 should be chosen before other distances are determined. The external quality factors are extracted by the same method in Section III. Geometrical dimensions of Filters C and D are listed in Table II.

Fig. 11 shows a photograph of Filter C and a conventional trisection filter, which is referred to as Filter E. The latter is composed of three square open-loop resonators and has the same specifications as the former. The outlines of these filters amount to for Filter C and for Filter E, respectively. It is seen that a size reduction of 32% is achieved by using the hexagonal stepped-impedance resonators. The measured frequency responses of Filter C, along with the simulated results using HFSS, are shown in Fig. 12. The measured fractional bandwidth is 5.3% at the center frequency of 1878 MHz. The minimum passband insertion loss is 1.92 dB and the in-band return loss is greater than 16.8 dB. The transmission zero is located at approximately 2 GHz with 31.4-dB attenuation, which

446

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 13. Effects of the frequency-dependent electric coupling on responses.

Fig. 16. Configuration of the fifth-order CT filter.

Fig. 14. Fabricated Filter D.

Fig. 17. (a) Coupling and routing scheme of the fifth-order CT filter. (b) Coupling and routing scheme of the sixth-order CT filter. (c) Theoretical frequency responses of the coupling matrix in (10) and its submatrices corresponding to the two trisection modules.

Fig. 15. Measured and simulated frequency responses of Filter D. The wideband jS j responses are shown in the inset.

leads to higher selectivity on the upper side of the passband. The first spurious response is at 4380 MHz, which is 2.32 times away from the center frequency. It is interesting to notice that the first spurious response is below 20 dB. The reason is that the first spurious-mode resonance frequencies of Resonators I and II are different. The resultant suppressing effect leads to a higher spurious response rejection [15]. By comparing the measured and simulated responses of Filter C in Fig. 12, an obvious frequency shift is observed. Besides

fabrication tolerance and simulation precision, the effects of frequency dependent electric coupling cannot be ignored. The electric field exhibits a weaker distribution at the higher frequency. It in (6) varies against is assumed that the coupling coefficient , where denotes the center frequency and the exponent is a fitting coefficient. As is seen from Fig. 13, when rises from 0 to 3, the transmission zero is shifted to a higher fre, quency and the upper stopband rejection deteriorates. For the position of transmission zero coincides well with that of the measured results. By adding a tuning element upon the gap between resonators 1 and 3, the responses can be adjusted. Fig. 14 shows a photograph of Filter D. The size of the filter . The measured and simulated freamounts to quency responses are shown in Fig. 15. The fractional bandwidth is 5.5% and the center frequency is 1820 MHz. The minimum passband insertion loss is 2.14 dB and the in-band return loss is greater than 15.2 dB. The first spurious response is at

MAO et al.: MINIATURIZED HEXAGONAL STEPPED-IMPEDANCE RESONATORS AND THEIR APPLICATIONS TO FILTERS

447

TABLE III GEOMETRICAL DIMENSIONS OF FILTER F

Fig. 18. Fabricated Filter F.

4.5 GHz, which is 2.47 times away from the center frequency. The transmission zero at 1.68 GHz with 42.3-dB attenuation improves the selectivity on the lower side of the passband. There exist two additional transmission zeros on both sides of the passband, which result from the extra coupling between the feed lines. They are located at 1.6 GHz with 62-dB attenuation and 2.28 GHz with 54-dB attenuation, respectively. V. FIFTH-ORDER CT BANDPASS FILTER To further improve the stopband rejection and selectivity, higher order filters can be constructed by cascading the trisection modules. Fig. 16 shows a fifth-order CT filter, which is referred to as Filter F. The first trisection module (resonators 1–3) generates a transmission zero on the upper side of the passband, whereas the second trisection module (resonators 3–5) generates another transmission zero on the lower side. To avoid unwanted coupling, resonator 4 is arranged on the opposite side of resonator 3. The coupling and routing scheme of the filter is shown in Fig. 17(a). Although the sixth-order structure in Fig. 17(b) is also available to realize a CT filter, the proposed filter is more compact. A CT filter with a center frequency of 1.8 GHz and a fractional bandwidth of 5% was designed. The prescribed transmission zeros are at 1721 and 1883 MHz, which are symmetrical . with respect to each other in the complex -plane The coupling matrix and external quality factor are given as

(10) The theoretical responses of the coupling matrix are shown in Fig. 17(c) with the solid lines. The responses of the two trisection modules, which correspond to the 3 3 submatrices at the

Fig. 19. (a) Measured and simulated narrowband frequency responses of Filter F. (b) Measured and simulated wideband frequency responses.

left upper and right lower corner of the matrix in (10), are also plotted in Fig. 17(c) via the dashed and dotted lines, respectively. It is shown that the transmission zeros are generated and controlled by the two trisection modules separately. This provides the possibility for modular design of CT filters. The coupling coefficients and external quality factor are extracted by the same methods in previous sections. Geometrical dimensions of Filter F are summarized in Table III. Since resonators 1, 3, and 5 have the same structural parameters with those of Resonator I in Table I, they are not repeated here. Fig. 18 shows a photograph of the filter. The measured and simulated responses are shown in Fig. 19. The measured band-

448

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

width is 4.57% at the center frequency of 1837 MHz. The minimum passband insertion loss is 3.69 dB and the in-band return loss is greater than 11 dB. The two transmission zeros near the passband are at 1.75 GHz with 38-dB attenuation and 1.92 GHz with 46-dB attenuation, respectively. Note there exist two additional transmission zeros on the upper side of the passband. They are located at 2.1 and 2.4 GHz with approximately 80-dB attenuation. These transmission zeros result from the relative position of the tapped feed lines and should be useful for the rejection of the interference in the stopband [16]. VI. CONCLUSION The hexagonal stepped-impedance resonators have been presented to build up a series of bandpass filters in this paper. It is shown that the proposed resonators can be miniaturized with a higher first spurious-mode resonance frequency by proper selection of the structural parameters. Two third-order Chebyshev bandpass filters with a fractional bandwidth of 8% and 5% at the center frequency of 1.8 GHz were designed and fabricated. Their sizes are very compact, and the first spurious responses are 2.5 times away from the center frequency. Two third-order trisection bandpass filters with a fractional bandwidth of 5% were also designed. Their sizes are reduced by 32% in comparison with a trisection square open-loop resonator filter. The selectivity of these filters is improved by introducing the transmission zeros near the passband. Besides, the stopband rejection of Filter D is enhanced by an additional coupling between the feed lines, which has been proven by both theoretical and experimental results. Based on the trisection modules, a fifth-order CT filter is developed. The stopband rejection and selectivity are significantly improved. It is expected that the proposed filters will find applications in compact size and high-performance circuit design. REFERENCES [1] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [2] E. G. Cristal and S. Frankel, “Hairpin-line and hybrid hairpin- line/halfwave parallel-coupled-line filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-20, no. 11, pp. 719–728, Nov. 1972. [3] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [4] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [5] J.-S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [6] R.-J. Mao and X.-H. Tang, “Novel dual-mode bandpass filters using hexagonal loop resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3526–3533, Sep. 2006. [7] J.-S. Hong and M. J. Lancaster, “Design of highly selective microstrip bandpass filters with a single pair of attenuation poles at finite frequencies,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1098–1106, Jul. 2000. [8] J.-S. Hong and M. J. Lancaster, “Microstrip cross-coupled trisection bandpass filters with asymmetric frequency characteristics,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 146, no. 1, pp. 84–90, Feb. 1999. [9] HFSS. ver. 8.0, Ansoft Softw. Inc., Pittsburgh, PA, 2001. [10] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001.

[11] K. Chang, Microwave Ring Circuits and Antennas. New York: Wiley, 1996. [12] S. Amari, “Synthesis of cross-coupled resonator filters using an analytical gradient-based optimization technique,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1359–1569, Sep. 2000. [13] S. Amari, U. Rosenberg, and J. Bornermann, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1977, Aug. 2002. [14] J.-S. Hong, “Couplings of asynchronously tuned coupled microwave resonators,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 147, no. 5, pp. 354–358, Oct. 2000. [15] C.-F. Chen, T.-Y. Huang, and R.-B. Wu, “Design of microstrip bandpass filters with multiorder spurious-mode suppression,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3788–3793, Dec. 2005. [16] C. M. Tsai, S. Y. Lee, and C. C. Tsai, “Performance of a planar filter using a 0 feed structure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2362–2367, Oct. 2002. Rui-Jie Mao (S’06) was born in Sichuan Province, China, in 1978. He received the B.S. degree in physical electronics and M.S. degree in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2001 and 2005, respectively, and is currently working toward the Ph.D. degree in electronic engineering at UESTC. His research interests include microwave planar filters and millimeter-wave circuits and systems design.

Xiao-Hong Tang was born in Chongqing, China, in 1962. He received the M.S. and Ph.D. degrees in electromagnetism and microwave technology from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1983 and 1990, respectively. In 1990, he joined the School of Electronic Engineering, UESTC, as an Associate Professor, and became a Professor in 1998. He has authored or coauthored over 80 technical papers. His current research interests are microwave and millimeterwave circuits and systems, microwave integrated circuits, and computational electromagnetism.

Ling Wang was born in Sichuan Province, China, in 1962. She received the B.S. degree in semiconductor physics from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1984. In 1985, she joined the School of Electronic Engineering, UESTC, and became a Senior Engineer in 1997. She has authored or coauthored approximately ten technical papers. Her current research interests are microwave and millimeter circuits and systems, microwave integrated circuits, and filters.

Guo-Hong Du was born in Hebei Province, China, in 1977. She received the B.S. degree in microelectronics and M.S. degree in radio physics from the Sichuan University (SCU), Chengdu, China, in 2000 and 2004, respectively, and is currently working toward the Ph.D. degree in electronic engineering at the University of Electronic Science and Technology of China (UESTC), Chengdu, China. In 2005, she joined the Chengdu University of Information Technology (CUIT), Chengdu, China, as a Teaching Assistant. Her research interests include left-handed metamaterials and microwave circuits and systems design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

449

RF Amplitude and Phase-Noise Reduction of an Optical Link and an Opto-Electronic Oscillator Danny Eliyahu, David Seidel, and Lute Maleki, Fellow, IEEE

Abstract—In this paper we examine the optical sources of noise that degrade high-performance microwave photonic links. In particular, we study the residual phase noise due to laser frequency fluctuations and the detector nonlinearity on microwave signals transmitted on an optical fiber, or generated in the opto-electronic oscillator (OEO). Based on experimental findings, we identify a significant reduction of the relative intensity noise of the laser if the received optical power saturates the photodiode. Furthermore, we suggest the use of a semiconductor optical amplifier in saturation as yet another means to reduce the phase noise induced by laser intensity fluctuations. We also identify the use of multiple photodetectors to reduce the influence of associated 1 noise, which adds to the phase noise of a transmitted microwave signal, and is the ultimate limitation to the phase noise of the high-performance OEO. Reduction of noise that is due to optical interferences is also addressed. Index Terms—AM noise, optical communication, oscillators, phase noise.

I. INTRODUCTION OR NEARLY two decades, microwave photonics has been regarded as a technology with the potential to significantly improve the performance of communications and radar systems. The promise of these links has been hampered by the unavailability of optical components that support the need for maintaining a high linearity in transmission of microwave signals. But in recent years, several technological challenges in the field have been successfully met, and microwave photonics has found important applications in several commercial and military systems. One of the main applications of these links is transmission of RF or microwave signals to remotely located antennas. A higher functionality is obtained when the microwave photonic link is used as a feedback loop to generate highly spectrally pure signals with the architecture known as the opto-electronic oscillator (OEO). The advent of new schemes to realize highly linear links, in particular, promises to further expand the range of applications of the technology [1]–[4]. These schemes basically rely on high-power lasers, highly efficient modulators, and high-power photodetectors (PDs). This combination reduces the reliance on front-end amplifiers that increase the system noise temperature.

F

Manuscript received August 1, 2007; revised October 31, 2007. This work was supported by the Defense Advanced Research Projects Agency (DARPA) under aPROPOS Program Contract DAAD17-03-C-0116. The authors are with OEwaves Inc., Pasadena, CA 91106 USA (e-mail: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914640

Fig. 1. Generic optical link configuration for the transmission of analog and digital information over fiber.

The increased power on the PD also reduces the shot noise, further improving the overall noise figure of the system by reducing the need for post-detection gain. The approach, however, leaves the noise induced by the laser as the outstanding source of system performance degradation. This is especially true as most high-power sources such as solid-state and fiber lasers, though having narrow linewidth, exhibit a higher level of relative intensity noise (RIN) as compared with semiconductor lasers. The higher powers also exacerbate noise produced due to residual light reflection in the photonic system. In this paper, we discuss approaches that can be used to mitigate the residual PD and laser-induced noise in microwave photonic systems. Our study is aimed at the linear contribution of laser induced noise; nonlinear sources of noise associated with laser light including Brillouin, Raman, wave mixing, etc. will not be addressed, as they have been thoroughly discussed in the literature, and schemes for reducing them have been previously identified [5]. II. OPTICAL LINK AND OEO CONFIGURATIONS A basic optical link configuration is illustrated in Fig. 1. It consists of a laser, serving as the light source. The microwave signal is modulated on light as a sideband with a high-speed modulator. The detected light at the PD is then converting back to the microwave signal by mixing the carrier and sideband light fields. The detected signal also includes any amplitude and/or phase noise that is added by the link, and this could affect the performance of the system and severely distort the electronic signal at the input of the link. Closing the loop between the input and output of such an optical link, combined with microwave amplification to compensate for losses and filtering to select the desired microwave frequency, results in the generation of stable and high spectral purity reference signals. This configuration is illustrated in Fig. 2, and is commonly known as the OEO [6]–[10]. As in the optical link, the OEO performance (mainly the phase noise is of interest) is affected by the noise of the microwave and optical

0018-9480/$25.00 © 2008 IEEE

450

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. OEO configuration. A closed loop with microwave amplification and filtering around an optical link.

Fig. 3. Measured RIN level of 1550-nm commercial lasers.

components in the loop. Amplitude and phase noise generated in the loop will affect the noise of the output signal. Moreover, due to nonlinearities, amplitude and phase noise could be interchanged and even up-converted from dc or baseband to higher frequencies (the input microwave frequency of the optical link or the generated signal of the OEO). It is important to select low noise components in order to improve the link or the OEO performance. It is also as important to identify the processes that convert the AM to PM noise and vise versa, and identify ways to maximize the desired performance parameters. In the following sections, we carefully examine some of the optically induced noise sources in the optical link, and identify methods to efficiently reduce them. III. LASER RIN Laser light intensity noise is one of the limiting factors in the transmission of analog or digital signals over fiber, and has been extensively studied in the literature. The power fluctuations corresponding to this noise increases the overall link noise and reduces the signal-to-noise ratio, thus degrading the system performance. A common parameter that describes the laser amplitude noise is the spectral density of the RIN, normalized with , usually measured the total optical intensity. The RIN in units of dB/Hz, is given by [11]

(1) where is the laser average optical power and is the spectral density of the laser optical power fluctuations at frequency . We measured the RIN level of a few commercial lasers. In this study, the RIN was measured via a PD (Discovery DSC30) followed by a signal analyzer (Agilent 89441A). The measurement results are illustrated in Fig. 3 for various 1550-nm distributed feedback (DFB) and fiber lasers, while Fig. 4 illustrates the RIN for a 100-mW Lightwave’s 1319-nm YAG laser with an internal intensity noise cancellation circuit in the ON and OFF modes. RIN at low frequencies is also sensitive to environmental effects such as thermal and acoustic fluctuations, and back reflection into the laser. At higher frequencies, RIN also includes

Fig. 4. Measured RIN level of 1319-nm commercial YAG laser with internal RIN cancellation circuit ON and OFF.

the characteristic relaxation oscillations peaks that for fiber and YAG lasers appear below 10 MHz. Note that when the “RIN reduction” circuit is ON, the YAG laser’s RIN is efficiently reduced to 140 dB/Hz above 10 kHz. DFB lasers usually have their relaxation oscillation peaks at a few gigahertz to over 10 GHz, which is outside of the range of our measurement frequency. They are also characterized by a lower RIN as compared with fiber or YAG lasers. However, they usually have a much wider linewidth compared with those lasers. A wider linewidth could also impact the link noise through light reflections or scattering, as considered below. At high frequencies (above a few MHz), the laser’s RIN level drops, and is usually limited by shot noise. IV. CONVERSION OF LASER RIN TO RF AMPLITUDE AND PHASE NOISE The baseband laser RIN can be up-converted to microwave amplitude and phase noise via nonlinearities in the optical link. The main element that is responsible for the RIN-to-RF AM or PM conversion is the PD, located at the end of the optical link. Other components including the Mach–Zehnder (MZ) modulator and the optical fiber usually have much smaller nonlinearities that become significant at much higher optical power level compared with that of the PD. For low optical power levels

ELIYAHU et al.: RF AMPLITUDE AND PHASE-NOISE REDUCTION OF OPTICAL LINK AND OEO

451

below the PD saturation power, the number of carriers (electron hole) in the PD increases with the increase of light power. This results in a “square law” increase of the RF power at the PD input since [11] (2) where is the RF (microwave) signal power level, and is the average optical power at the PD input. It also produces a change in the RF phase since the propagation speed of the RF signal (or the PD microwave refractive index) depends on the number of carriers in the semiconductor. In this range, the RF amplitude and phase sensitivities of the PD result in a significant increase of the RF amplitude and phase noise in the optical link due to the presence of baseband laser RIN. For small laser intensity fluctuations (low RIN level), the conversion of RIN-to-RF phase noise is given by

Fig. 5. OEO RF spectrum with the YAG laser RIN cancellation circuit turned ON and OFF.

(3) is the phase-noise spectral density rad Hz at where is the offset frequency from the RF carrier, and RF phase-to-optical power slope. Note that the single-sideband (dBc/Hz) is given by (SSB) phase noise (4) The conversion of RIN-to-RF amplitude noise (at low RIN level) is approximated by (5) is the spectral density (dBc/Hz) of the normalized where RF amplitude fluctuations given by (6) is the normalized RF amplitude-to-optical power and slope. In our 10-GHz OEO setup, the effect of the RIN was clearly observed with the YAG laser RIN reduction circuit. This is illustrated in Figs. 5 and 6 with the measurements of the RF spectrum (using an Agilent 8564 spectrum analyzer) and the RF phase noise (in this study, the phase noise was measured via a cross-correlation photonic delay line setup [12], [13]). By turning the circuit OFF, the laser baseband RIN is dramatically increased (see Fig. 5) with a strong peak at the relaxation oscillations frequency, which is imprinted on the OEO phase noise (Fig. 6). The thick black curves illustrate the lower noise level of each of the measurements, exhibiting similarities with the laser RIN. V. REDUCTION OF LASER RIN VIA AMPLITUDE LIMITING DEVICES The laser RIN could be reduced by various methods. One method is with a feedback loop, as used in the YAG laser. In

Fig. 6. OEO phase noise L(f ) measured with the YAG laser RIN cancellation circuit turned ON and OFF (higher noise level above 10 kHz).

this scheme, the laser power is detected and the electrical control signal is fed back to the laser bias current or to an external power control such as an optical modulator. Another method, which we explored in this study, is to transmit the laser light through a power limiter. An optical amplitude or power limiter is characterized by an output power that is not sensitive to changes in the input power, thus variations of the laser intensity will be reduced dramatically at the limiter output. Passive devices working on this principle are available and are mostly based on material nonlinearities, but active devices, such as optical amplifiers, could also serve as optical limiters if operated in saturation. When the input signal to the optical amplifier and the gain are sufficiently large to saturate the amplifier, then the output power will have a significantly reduced sensitivity to any fluctuations at the input. To verify this, we performed RIN measurements of the laser followed by a semiconductor optical amplifier (SOA), and observed a RIN reduction of approximately 10 dB, as illustrated in Figs. 7 and 8. The optical amplifier also provides amplification of the signal before coupling to the PD, which could result in a stronger RF signal, as well as in saturating the PD (see Section VI). On the

452

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 7. Reduction of Koheras laser RIN with an SOA serving as optical amplitude limiter.

Fig. 10. Discovery PD RF output power versus input optical power.

VI. REDUCTION OF RF AMPLITUDE AND RF PHASE NOISE DUE TO PD NONLINEARITY

Fig. 8. Reduction of YAG laser RIN with an SOA.

Fig. 9. OEO phase noise with and without an SOA. The setup consists of 1319-nm YAG laser.

other hand, since the SOA is an active device, it could increase the noise figure in the link. We have verified that, in our OEO setup, adding the SOA at the end of the fiber link (just before the PD) did not result in an increase of the RF phase noise. This measurement is illustrated in Fig. 9.

In this study, we also studied the effect of PD saturation on the conversion of laser baseband RIN into amplitude and phase noise. Previously, a study was made to measure the RIN-to-RF phase conversion in the case of short optical pulses at the PD input [14]. It was found that the variation of the RF phase with optical power exhibited only positive slopes of “non-monotonic with deep minima” with a strong dependence on the harmonics number of the pulse repetition rate. It was also found [14] that the optical power level where the “deep minima” were observed for the RF phase slope did not coincide with the maximum RF power at the PD output. The maximum achievable RF power level is an important parameter for the reduction of thermal and shot noise. Here we show that for a 10-GHz sinusoidal modulation of the 1319-nm light, the PD slope for both the RF amplitude and phase is a linear monotonic decreasing function with zero slopes at certain optical power. Moreover, at the zero slope point, the RIN-to-RF phase and amplitude noise conversions could approximately vanish for both amplitude and phase noise since both conditions occur for similar input optical power at the PD. Similar measurements were performed for an electroabsorption modulator (reverse biased to operate as a PD at 1550 nm) with results that are discussed below. Our investigations began with the measurements of the power and the relative phase of an RF signal at the output of the PD as a function of the RF modulated optical power at the input of the PD. In the first measurement, our optical link consisted of a DSC30 Discovery PD, and a 1319-nm Lightwave YAG laser modulated at 10 GHz with the help of a high-speed MZ modulator. The measured RF power at the PD output is illustrated in Fig. 10. At low input optical power ( 5.6 mW), the square law behavior (1) is approximately obeyed, and the RF power is approximately four times higher when the optical input power is doubled. As the input optical power approaches the PD saturation power, the slope of the RF power versus input optical power decreases and reaches zero at optical power of 8–9 mW. This is due to the fact that at saturation, the generated electron–hole carriers screen the external electric field of the reversed dc bias at the PD junction [15] so that an increase in the optical power does not result in generation of additional dc and RF currents.

ELIYAHU et al.: RF AMPLITUDE AND PHASE-NOISE REDUCTION OF OPTICAL LINK AND OEO

Fig. 11. Measured input to output relative phase of the PD. The measured data is fitted with a parabola.

Above saturation, due to the increase of carrier transit time and recombination of electron and holes, the RF power is actually reduced with additional increase of the optical signal level [15]. The relative RF phase between that of the signal carried by the light at the input to the PD and that of the converted RF signal at the PD output was measured as well (via a mixed signal of the RF reference and the PD output). Fig. 11 illustrates the measured phase as a function of the input optical power (blue squares in online version). As expected, at low input power, the RF phase increases with the optical power. However, due to the screening effect, this phase slope is zero at the PD saturation power, and the RF phase to optical power has a negative slope above saturation. A good fit to the measurement data was obtained with a parabola (black curve). The slope is a monotonic decreasing function with of this curve zero slope around to 10 mW. The fact that both the RF amplitude and RF relative phase of the PD have zero slopes at similar PD input optical power levels is very important for the laser RIN-to-RF amplitude and phase-noise conversion of a link. As can be seen from (3)–(6), a zero slope implies that the contribution of RIN-to-RF amplitude and phase noise is small or zero at the PD. More explicitly, (3) together with the derivative of the parabolic fit of the phase behavior of the Discovery PD (Fig. 11) were used to predict the optical link RIN-to-phase-noise conversion level (open-loop RIN-to-phase-noise conversion of the OEO). This is given by factor (7) The result of the calculation of (7) is illustrated in Fig. 12 (for optical power in the range of the measurement). It is evident that a reduction by 30–40 dB (or higher) of RIN to phase-noise conversion is achievable when the PD is in high saturation. In other words, a laser RIN level of 140 dB/Hz will be converted to phase noise that is below 170 dBc/Hz. This level of phase noise is usually below the shot and thermal noise in most applications. Note that the units of (7) were calculated in decibels in order to illustrate the conversion of RIN (units of dB/Hz) to RF (units of dBc/Hz). SSB phase noise

453

Fig. 12. Optical link (open-loop OEO) RIN-to-SSB phase-noise conversion ) versus optical power of the discovery PD parabolic behavior factor F (P (Fig. 11).

Fig. 13. Phase noise of an OEO L(f ) at different optical power levels at the PD input. The lowest phase noise is measured when the PD is at saturation ( 10 mW).



In order to confirm the generality of our observations and predictions, a measurement of the phase noise of a low-noise at OEO was performed with different optical power levels the PD. The 10-GHz OEO consists of the Lightwave YAG laser and the Discovery DSC30 PD, together with low-noise amplifiers. The phase-noise measurements are illustrated in Fig. 13. Indeed, we obtained the lowest phase-noise level (approaching 160 dBc/Hz at a few kilohertzs of offset frequency from the 10-GHz carrier) at the PD saturation power, and this value was most probably limited by shot noise. While at higher or lower power levels from the saturation power, the noise increased dramatically. For example, at 3 mW away from 10 mW ( 7 and 13 mW), the phase noise increased by 25–30 dB or more at high offset frequencies. It should be noted that similar measurements of RF phase and amplitude dependence on optical power were performed at 1550 nm with the same PD. In this case, the zero slopes of RF power and RF phase did not appear at the same optical power level (though, the RF phase did have the characteristic of zero slope). These results will be published elsewhere. Similar measurements were performed with a CyOptics electroabsorption modulator. The electroabsorption modulator was

454

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 14. CyOptics electroabsorption modulator as PD RF output power versus input optical power.

Fig. 15. Measured input to output relative phase of the EAM as PD (blue squares in online version). The measured data is fitted with a seven-order polynomial (red curve in online version).

reversed biased at relatively higher voltage, serving as a highpower PD. The setup consisted of a Koheras laser followed by an Erbium-doped fiber amplifier before the electroabsorption modulator. Fig. 14 illustrates the measured RF signal as a function of the optical power at the input to the electroabsorption modulator, while Fig. 15 illustrates the relative RF phase. Note that in the case of the electroabsorption modulator as PD, the zero slopes of the RF power and RF relative phase do not coincide at the same optical power. The former appears at approximately 100 mW of optical power at the electroabsorption modulator, while the later appears at only 38 mW. Again, (7) and the seventh-order polynomial fitting were used to calculate the RIN to SSB phase-noise conversion for the electroabsorption modulator as PD. This is illustrated in Fig. 16. As before, strong reduction (30–40 dB or higher) of the RIN to phase-noise conversion is achievable. VII. REDUCTION OF THE PD FLICKER PHASE NOISE WITH AN ARRAY The phase noise of the optical link is characterized by flicker type of noise at close-in offset frequencies, which is conor verted to flicker of frequency for a closed-loop OEO [6]. In

Fig. 16. Optical link (open loop OEO) RIN-to-SSB phase-noise conversion factor F (P ) (7) versus optical power of the EAM as PD seventh-order polynomial behavior (Fig. 15).

Fig. 17. Close-in phase-noise measurements of single DSC30 discovery PD and that of a dual PD configuration.

the OEO, the major sources of flicker phase noise have been the RF/microwave amplifiers. The amplifier flicker noise level could vary over a wide range, depending on the material and type of transistor used, but much research has been performed with amplifier flicker noise over the years, and improvements have been applied recently so that this noise has been dramatically reduced. Thus, other components could now be the limiting elements at close-in frequencies due to their flicker noise [16]. We studied the notion of a PD array for the reduction of PD flicker noise based on the model that an array of PDs in pardB relative to allel will reduce the flicker noise by the carrier level. To test this idea, the phase noise of a single PD was measured and was compared with that of a dual PD array configuration. In these measurements, we used the DSC30 Discovery PDs. Fig. 17 illustrates the single (blue curve in online version) and dual PDs (red curve in online version) phase noise (the measurement setup is similar to those in [16] and [17]). At offset frequencies above 70 Hz (pink shaded area in online version), the measured phase noise is limited by the PD’s flicker. In this range, the flicker noise is improved by 3 dB with the array, as expected. At offset frequencies below 70 Hz (yellow

ELIYAHU et al.: RF AMPLITUDE AND PHASE-NOISE REDUCTION OF OPTICAL LINK AND OEO

455

from the carrier. Overall, the RF phase noise is not limited by optical interference anymore. The noise above 80 Hz becomes RF amplifier limited, and we believe that below 80 Hz, it is limited by environmental noise. IX. SUMMARY

Fig. 18. SSB phase-noise L(f ) measured for a low-noise OEO with (red bottom curve in online version) and without (blue top curve in online version) light frequency modulation. The modulation frequency is 5.7 kHz.

shaded area in online version), the noise is limited by the optical interference due to light reflections (see Section VIII). Indeed, this noise is higher for the dual PD configuration since a larger number of optical connectors were used. Note, however, that this noise could be significantly reduced with special attention to reducing reflections, and via optical isolation. Another important improvement that the PD array configuration could bring is a potential solution for the limited RF power generation due to PD saturation. In many cases, a highpower laser and optical amplifiers are used, resulting in excess available optical power over the needed saturation power of a single PD. In these systems, the laser power could be divided between several saturated PDs. Since the signals are coherent and in-phase in the array, they would potentially add up and the times that of a single PD (or generated RF power would be in decibel units). VIII. REDUCTION OF NOISE DUE TO LIGHT SCATTERING AND REFLECTION Another source of noise that might affect performance is the light scattering due to double Rayleigh scattering from imperfections in the optical fiber or due to reflection at optical connectors or fiber splices. The scattered light is converted to RF amplitude or phase noise due to light interference between the scattered and nonscattered light at the PD [17]. The interference exhibit themselves as RF noise at offset frequencies that are within the laser linewidth. An efficient method to reduce this type of noise is via frequency modulation of the laser light [18]. The light modulation results in the spreading of the RF noise over a wide range of frequencies around harmonics of the modulation frequency, moving the noise away from the RF carrier frequency. We have applied this method with our 10-GHz OEO consisting of low phase-noise components. The OEO SSB phase noise (with and without the light frequency modulation) is illustrated in Fig. 18. The modulation frequency in this case is 5.7 kHz. It is clear that efficient reduction of noise due to light interference is achievable with the laser modulation. Over 25 dB of noise reduction was observed at offsets between 70–100 Hz

We have reported on a number of studies aimed at identification and reduction of noise generated by optical components in a microwave photonics link. Our experiments confirm that, in high-performance links, such as those targeted for use in radar systems and other antenna remoting applications, the laser RIN noise and noise associated with the PD could produce a significant degradation in the amplitude and the phase noise of the system. We have demonstrated that several schemes could be devised to reduce the noise, including the use of an SOA as a limiter for the laser RIN, the operation of the PD in the saturation limit, and the use of a PD array to reduce the flicker noise. It was also shown that noise due to reflection in optical connectors or splices, and due to double Rayleigh scattering in fiber, could be efficiently reduced by laser frequency modulation. These approaches will allow demonstration of high-performance photonic links and ultra-low-noise OEOs at - and -band. ACKNOWLEDGMENT The authors would like to thank CyOptics, Breinigsvill, PA, for providing the electroabsorption modulator. REFERENCES [1] S. Pappert and B. Krantz, “RF photonics for radar front-ends,” in Proc. IEEE Radar Conf., May 2007, pp. 965–970. [2] R. Minasian, “Photonic signal processing of microwave signals,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 832–846, Feb. 2006. [3] J. Choe, “Defense RF systems: Future needs, requirements, and opportunities for photonics,” in IEEE Int. Microw. Photon. Top. Meeting Tech. Dig., Seoul, Korea, Oct. 2005, pp. 307–310. [4] E. Ackerman, G. Betts, W. Burns, J. Campbell, C. Cox, N. Duan, J. Prince, M. Regan, and H. Roussell, “Signal-to-noise performance of two analog photonic links using different noise reduction techniques,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 51–54. [5] G. P. Agrawal, Nonlinear Fiber Optics. New York: Academic, 2001. [6] X. S. Yao and L. Maleki, “Optoelectronic microwave oscillator,” J. Opt. Soc. Amer. B, Opt. Image Sci., vol. 13, pp. 1725–1735, 1996. [7] X. S. Yao and L. Maleki, “Multiloop optoelectronic oscillator,” IEEE J. Quantum Electron., vol. 36, no. 1, pp. 79–84, Jan. 2000. [8] D. Eliyahu, K. Sariri, M. Kamran, and M. Tokhmakhian, “Improving short and long term frequency stability of the opto-electronic oscillator,” in IEEE Int. Freq. Control Symp./PDA Exhibition, New Orleans, LA, 2002, pp. 580–583. [9] D. Eliyahu, K. Sariri, J. Taylor, and L. Maleki, “Opto-electronic oscillator with improved phase noise and frequency stability,” in Proc. SPIE, San Jose, CA, 2003, vol. 4998B, pp. 139–147. [10] D. Eliyahu and L. Maleki, “Modulation response (S 21) of the coupled opto-electronic oscillator,” in IEEE Int. Frequency Control Symp./PDA Exhibition, Vancouver, BC, Canada, 2005, pp. 850–856. [11] C. H. Cox, III, Analog Optical Links: Theory and Practice. Cambridge, U.K.: Cambridge Univ. Press, 2004. [12] E. Rubiola, E. Salik, S. Huang, N. Yu, and L. Maleki, “Photonic delay technique for phase noise measurement of microwave oscillators,” J. Opt. Soc. Amer. B, Opt. Image Sci., vol. 22, pp. 987–997, 2005. [13] E. Salik, N. Yu, L. Maleki, and E. Rubiola, “Dual photonic-delay line cross correlation method for phase noise measurement,” in IEEE Int. Freq. Control Symp./PDA Exhibition, 2004, pp. 303–306. [14] E. N. Ivanov, S. A. Diddams, and L. Hollberg, “Study of the excess noise associated with demodulation of ultra-short infrared pulses,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 52, no. 7, pp. 1068–1074, Jul. 2005.

456

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[15] D. A. Tulchinsky, K. J. Williams, X. Li, N. Li, and J. C. Campbell, “High power photodetectors,” IEEE LEOS Newslett., vol. 19, no. 2, pp. 16–17, 2005. [16] E. Rubiola, E. Salik, N. Yu, and L. Maleki, “Flicker noise in high-speed p-i-n photodiodes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 816–820, Feb. 2006. [17] W. Shieh and L. Maleki, “Phase noise of optical interference in photonic RF systems,” IEEE Photon. Technol. Lett., vol. 10, no. 11, pp. 1617–1619, Nov. 1998. [18] A. Yariv, H. Blauvelt, and S.-W. Wu, “A reduction of interferometric phase-to-intensity conversion noise in fiber links by large index phase modulation of the optical beam,” J. Lightw. Technol., vol. 10, no. 7, pp. 978–981, Jul. 1992.

Danny Eliyahu received the B.Sc., M.Sc., and Ph.D. (with distinction) degrees in physics from Bar-Ilan University, Ramat-Gan, Israel, in 1988, 1990, and 1994, respectively. From 1994 and 1997, he was a Research Fellow with the Applied Physics Department, California Institute of Technology, Pasadena. From 1997 to 2001, he was a Member of the Technical Staff with the Waveband Corporation, Torrance, CA. He then joined OEwaves Inc., Pasadena, CA, where he is currently a Senior Member of Technical Staff. His research interests include low phase-noise high-performance OEOs, mode-locked lasers, laser noise, high-frequency modulation, microwave devices and noise, microwave and millimeter-wave antennas, photonic band structures, and multiple scattering of optical and quantum waves.

David Seidel received the M.S. and Ph.D. degrees from the University of California at Riverside, in 1988 and 1993, respectively. He is currently the Vice President of Product Development and Engineering with OEwaves Inc., Pasadena, CA. Prior to joining OEwaves Inc., he was Project Manager for the Laser Cooling and Atomic Physics Experiments Office, Jet Propulsion Laboratory (JPL), where he was responsible for the development of several instruments to be deployed aboard the International Space Station. During his 18-year tenure with the JPL, he conducted basic research, performed system engineering functions, and served as a Project Technologist for the Laser Interferometer Space Antenna (LISA) project.

Lute Maleki (M’89–SM’96–F’00) received the B.S. degree in physics from the University of Alabama, Tuscaloosa, in 1969, the M.S. degree in physics from Louisiana State University (LSU), New Orleans, in 1971, and the Ph.D. degree in physics from the University of New Orleans, New Orleans, LA, in 1975. He is currently the President and CEO of OEwaves Inc., Pasadena, CA. Prior to joining OEwaves Inc., he was a Senior Research Scientist with the Jet Propulsion Laboratory (JPL), where he created and led the Quantum Sciences and Technologies Group for over 18 years. His research areas of interest at JPL included the development of atomic clocks based on ion traps and laser cooled trapped atoms, development of sensors based on atom wave interferometers, study and development of ultrastable photonic oscillators, the OEO, and photonic signal distribution systems, study and development of whispering-gallery-mode microresonators and their applications in RF photonic systems, and tests of fundamental physics with clocks. He has authored or coauthored over 100 refereed publications, and over 200 conference proceedings. He holds over 30 U.S. patents with applications pending. Dr. Maleki is a Fellow of the American Physical Society and the Optical Society of America (OSA). He serves on the Technical Committees of major international conferences devoted to frequency and timing, and optical sciences and engineering.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

457

A Series Solution for the Single-Mode Synthesis Problem Based on the Coupled-Mode Theory Israel Arnedo, Student Member, IEEE, Miguel A. G. Laso, Member, IEEE, Francisco Falcone, Member, IEEE, David Benito, and Txema Lopetegi, Member, IEEE

Abstract—In this paper, we present an exact analytical solution for the inverse scattering problem formulated by using the coupled-mode theory in the microwave range, assuming single-mode operation. The solution is a series that gives the required coupling coefficient for the microwave device as a function of the target frequency response. A clear physical meaning for the terms of the series is discussed, and several useful properties for the synthesis are given, thus providing a deep understanding of the synthesis mechanics. The method allows for the design of microwave devices with arbitrary frequency response only constrained by principles of causality, passivity, and stability. The resulting device is, in general, a nonuniform waveguide that features a continuously varying profile, e.g., a nonuniform microstrip line with continuously varying strip width. As an example of the potential of the synthesis method, a matched filter for ultra-wideband applications is finally designed in microstrip technology and successfully tested. Index Terms—Coupled-mode theory, filter synthesis, inverse scattering, matched filter, microstrip technology.

I. INTRODUCTION

T

HE FIELD of periodic structures has always been a subject of great interest for both the microwave and optical communities. Solid theoretical foundations have been established and very interesting practical applications have been proposed in the past. Over the last years, the introduction of the photonic/electromagnetic-bandgap concept has vigorously renewed the interest in the field and has given rise to a fruitful transfer of knowledge between the microwave and optical communities. The aim of these structures in planar microwave technology has been to improve the behavior of circuits and antennas by introducing stopbands to forbid the propagation of electromagnetic waves in the unwanted frequency bands and directions. These novel devices have found very promising applications including the implementation of filters and resonators, improvement of the efficiency and radiation pattern of antennas, harmonic tuning in power amplifiers, oscillators and mixers, and suppression of spurious bands in filters [1]. Although the frequency response of an electromagnetic-bandgap structure features a wide and deep rejected band, as intended, it also exhibits characteristics that may be Manuscript received August 19, 2007; revised October 18, 2007. This work was supported by the Spanish Centro de Investigación Cientifica y Tecnológica (CICYT) under Project TEC2005-06923-C03-01. The authors are with the Electrical Engineering Department, Public University of Navarre, 31006 Pamplona, Navarre, Spain (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914628

detrimental in many of the proposed applications. Fortunately, the coupled-mode theory has been successfully employed to model these structures, assuming single-mode operation, providing a valuable physical insight on the operation of the devices and an excellent link with the well-established topic of Bragg gratings in the optical regime [2]. A concept of special relevance within the context of structure optimization in single-mode operation is the relationship that can be established in terms of Fourier transformation between the frequency response and the coupling coefficient resulting from the perturbation of the device [3], [4]. This relationship allowed us to propose several techniques to substantially improve the behavior of electromagnetic-bandgap structures when used in microwave circuits. Specifically, windowing techniques were reported to eliminate the ripple in the passbands, while chirping techniques were used to increase the rejected bandwidth [5]. Moreover, the use of a sinusoidal perturbation was proposed to suppress the spurious harmonic stopbands, and the addition of multiple sine patterns achieved multiple-frequency tuned structures [6]. Nevertheless, the Fourier transform relationship used is only accurate for devices with very low reflectivity. Hence, the synthesis of a generic frequency response is only done in a first approximation. To surpass these difficulties, in this paper, we obtain an exact relationship between frequency response and coupling coefficient of a generic device valid for single-mode operation. The solution of this inverse-scattering problem is given as a series whose first term is just the classical Fourier transform relationship. The roots of the procedure employed to obtain this solution can be found in the methods developed in the optical field to design quasi-periodic structures of the kind of fiber Bragg gratings or corrugated waveguide filters. As will be explained in detail in Sections II and III, those methods have been successfully transferred to the microwave field by applying them to a coupled-mode theory formulation fully valid for the microwave region, and not restricted to a limited bandwidth around the Bragg frequency of the periodic structure, as happened in the optical case. By proceeding in this way, the devices obtained are no longer periodic or quasi-periodic, as usually happened with plain Fourier transform approximation or in the optical field, and a generalized synthesis technique for microwave devices results. The synthesis or inverse-scattering problem has been studied in the past in the microwave community and several different approaches have been proposed. Some authors have formulated the problem in terms of time-domain reflectometry using a discrete approach to build the synthesized circuit [7]–[10].

0018-9480/$25.00 © 2008 IEEE

458

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Other authors have managed to rewrite the synthesis problem as a system of Gel’fand–Levitan–Marchenko coupled integral equations [11]–[13] or as an inverse classical Sturm–Liouville problem [14], [15] using, afterwards, several complex numerical methods to obtain the solutions. Optimization methods have been also carefully designed and reported in the literature to solve the synthesis problem [16], [17]. Unlike all the previous techniques, the solution to the synthesis problem developed in this paper is analytical. This unique feature makes the synthesis method simple and straightforward and, at the same time, allows us to extract physical insight and important properties for the relationship between the frequency response and the coupling coefficient that characterizes the device through coupled-mode theory.

also depends on the continuous variable , and their field contribution is always expressed as an integral in that variable [20], [21]. By substituting the expansion of the fields in terms of modes [(see (1a) and (1b)] into the Maxwell equations, and performing some mathematical manipulations, the so-called coupled-mode equations can be obtained [18]–[20] as follows:

(2a)

II. COUPLED-MODE THEORY IN MICROWAVES

(2b)

A. General Theory In order to formulate an accurate coupled-mode theory suitable for microwave devices, the cross-section method will be employed. The basic idea of this method is that electromagnetic fields in an arbitrary nonuniform waveguide cross section can be represented as a superposition of the different modes (including their forward and backward traveling waves) corresponding to a uniform auxiliary waveguide that has the same cross section with identical distribution of and [18], [19]. Steady-state sinusoidal time dependence of the fields will be assumed and their phasor representation in the frequency domain will be used. If we are analyzing a closed waveguide, only classical discrete spectrum modes are necessary. However, if we are dealing with an open waveguide, the continuous spectrum modes must be also taken into account to have a complete orthogonal system [20], [21] as follows:

(1a)

where is the phase constant of the mode in the auxiliary uniform waveguide associated to the cross section of interest (it is the coupling coefficient between the varies with ) and and modes. Equation (2a) is valid for any , i.e., for any mode of the discrete spectrum, and (2b) is valid for any and , i.e., for any mode of the continuous spectrum. As can be seen, the com, satisfy a set of firstplex amplitudes of the modes order linear ordinary differential equations that turn into integro-differential equations for open waveguides. There is one of these equations for each mode. The modes propagate along the nonuniform waveguide transferring power to each other as indicated by the coupled-mode equations. The contribution of each mode amplitude to the variation of another mode amplicoefficient increases. tude increases as the corresponding -parameter is known as the coupling For this reason, the coefficient between the and modes. The exact expression to calculate the coupling coefficients depends on the kind of perturbation present in the nonuniform waveguide [18], [19]. For the case of nonuniform waveguides that include metals with variable cross section, which is of wide interest in microwave technologies, the coupling coefficient can be calculated as

(1b) with and being the total electric and magnetic field present in the structure, being the direction of propagation, and , being the vector mode patterns (i.e., the dependent part of the electric and magnetic fields) of the mode of the auxiliary uniform waveguide associated to the cross section of interest. It is important to note that these vector mode patterns finally also depend on due to the variation that the cross section (and, hence, the auxiliary uniform waveguide) suffers with . Finally, is the complex amplitude of the discrete specis trum mode along the nonuniform waveguide, and the complex amplitude of the continuous spectrum mode. It is interesting to note that unlike the well-known discrete spectrum modes, the vector mode pattern of continuous spectrum modes

(3) where is the surface of the cross section, is the unit vector is the Kronecker delta, and in the (propagation) direction, is the normalization factor of the mode (4) It is assumed that the forward eling waves associated to the same

and backward mode satisfy

trav(5a) (5b)

ARNEDO et al.: SERIES SOLUTION FOR SINGLE-MODE SYNTHESIS PROBLEM BASED ON COUPLED-MODE THEORY

For our case of interest of nonabsorbing waveguides (i.e., waveguides without dissipative losses) and propagating modes, and it is always possible to choose the vector mode patterns in such a way that the components in the transverse direc, , , and , are real, and the components tion, i.e., and , are imaginary. in the longitudinal direction, i.e., This choice is very convenient because it will allow us to calculate the power carried by the propagating mode simply as [18] (or as , where is the free-space wavenumber, if we are dealing with continuous spectrum modes), and it will be used throughout this study. Moreover, it is straightforward to demonstrate that, by using this convention, the coupling coefficient between propagating modes will always be real. It is important to , note that the expressions for all the coupling coefficients , , and have an identical form and can be represented in a generic way as in (3). Coupling coefficient expressions for other types of perturbations can be found in [18]. As can be seen, using the cross-section method, the general problem of field calculation in a nonuniform waveguide (i.e., the 3-D electromagnetic problem) is reduced to the 2-D problem of mode field calculation in a uniform waveguide, and to the 1-D problem of solution of the coupled-mode equations.

and

B. Single-Mode Operation

A. Formulating the Synthesis as a Gel’fand–Levitan–Marchenko Problem

The coupled-mode theory presented in Section II-A allows us to study electromagnetic wave propagation along a nonuniform waveguide in a rigorous manner and with no approximations involved. However, it is important to note that, in most of the cases in microwave design, the problem can be greatly simplified by introducing several reasonable approximations that will lead to single-mode operation. In the first place, we are going to neglect coupling of energy to modes of the continuous spectrum. This can be usually done because the energy of these modes is primarily radiated, and since the devices we are interested in have very little radiation losses in the frequency band of interest (we exclude the antennas from this study), the error involved in the approximation will be small. Regarding discrete spectrum modes, we will assume that for the frequency band of interest, either there is only one mode in propagation, while the rest are cutoff, or the geometry of the perturbation prevents the coupling between the working mode and the rest of propagating modes. These approximations lead us to assume single-mode operation in our study. Also advantage taking of the general property of the coupling coefficient (valid for ) [18], and using (5a) and (5b), the coupled-mode equations can be thoroughly simplified to obtain

459

(8)

(9) with and being the total electric and magnetic field present , , , and being the vector mode in the structure, and backward traveling waves patterns of the forward corresponding to the mode of operation in the auxiliary uniform and waveguide associated to the cross section of interest, being the normalizations taken for the fields of the mode, being the phase constant of the mode, being the coupling coefficient between the forward and backward traveling waves, being the direction of propagation, and and being the and backward travcomplex amplitudes of the forward eling waves along the nonuniform waveguide. III. SERIES SOLUTION FOR THE SYNTHESIS PROBLEM

In order to synthesize a physical device with a given causal, stable, and passive frequency response, we start from the simplified system of coupled-mode equations, assuming single-mode operation, as given in (6). is a real function in our forTaking into account that mulation, (6) can be rewritten as a Zakharov–Shabat system of quantum mechanics [11], hence, obtaining (10)

where denotes the complex conjugate. A solution for the Zakharov–Shabat system (one of the so-called Jost functions) can be written as [11] (11) A linear combination of the following type shown is also a solution for the Zakharov–Shabat system [11]: (12)

(6a) (6b)

where

where

(13) (7a) (7b)

and where the solution , as well as the variables

, ,

,

460

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

and correspond to the situation when the output port is matched. It is important to note that is the independent variable in the Zakharov–Shabat system, while the frequency is the independent variable in the coupled-mode equations. Therefore, it is necessary to assume that variables and frequency are univocally related in our device, and specifically that does not vary with for a given frequency. In order to solve the synthesis problem, it is assumed in this paper that the coupling region (i.e., the designed device) begins , and therefore, for . From this condiat tion, causality restrictions will be derived and applied. To proceed in that way, we are going to reformulate the problem in the time domain, using the following inverse Fourier transform: (14a)

(14b)

It is worth noting that, strictly speaking, variable has units of length and it is related with time through the phase velocity . . Actually, if remains constant with frequency, then By applying inverse Fourier transform to the solution of the Zakharov–Shabat system of (12), the following expression can be obtained [11]:

(15)

where (16)

Using (17) and (18), the integration range of (15) can be to . Additionally, using (15) in (19) and limited from (20), it is straightforward to obtain the so-called Gel’fand–Levitan–Marchenko coupled integral equations

(21a)

(21b) Now, we need to deduce the relation between functions and that appear in the Gel’fand–Lev, itan–Marchenko equations, and the coupling coefficient necessary to obtain the desired frequency response, given in (16). In order to do so, the problem is formuthrough lated in the time domain by taking the inverse Fourier transform [as defined in (14)] of the coupled-mode equations given in (6) (22a) (22b) It is important to note that to do the transformation, it is asdoes not depend on , or equivalently, on the sumed that frequency. and , deAs previously shown, functions fined in (12), are a solution of the Zakharov–Shabat system, and and therefore, their inverse Fourier transformation , defined in (15), must also be a solution of the coupled-mode equations in the time domain that we have just oband can be tained in (22). Rewriting (19), given as (23)

i.e., is the inverse Fourier transform of the -parammust satisfy [22] eter. Due to causality considerations,

and introducing the solutions as expressed in (23) into (22b), it follows that

(17)

(24)

Also, using causality considerations again, it follows that [11] (18) Additionally, if we define the function

Performing a coordinate transformation in (24), integrating on both sides of the equation, and using (20), it can be obtained that [11] (25)

(19)

from (19) and (15), and Getting an expression for taking into account (18), it follows from (25):

it can be seen that due once more to causality considerations [11] (20)

(26)

ARNEDO et al.: SERIES SOLUTION FOR SINGLE-MODE SYNTHESIS PROBLEM BASED ON COUPLED-MODE THEORY

The equation we have just obtained enables the calculation of necessary to get the desired frethe coupling coefficient as indicated in (16)] quency response [expressed through from the -parameter that appears in the Gel’fand–Levitan–Marchenko equations [see (21)]. It is worth noting that since we have restricted the coupling region (i.e., the designed , in order to solve (26), we need to know device) to in the region that is fully included within the limits of the Gel’fand–Levitan–Marchenko equations. If the integral term of (26) is neglected, the zeroth-order approximation for the coupling coefficient is obtained as follows:

461

If we proceed in an iterative manner introducing (30) into (28b), and then the result into (28a), we obtain a second-order that can be used in (26) giving rise approximation for to the second-order approximate solution for the coupling coefficient. Iterating this procedure and taking into account that will be real for a physical device, we finally arrive at the exact analytical series solution for the coupling coefficient given as follows:

(27) It is interesting to note that this result coincides with the classical Fourier transform approximation reported in [3] and [4], which is only valid for low reflectivity, and physically means that coupling is so weak that the amplitude of the incident mode can be approximated as constant along the structure. On the other hand, the integral term corresponds to the cases when multiple reflections occur in intermediate points of the structure, and is relevant only when reflectivity is not low. B. Iterative Solution The final step necessary to achieve the series solution for the synthesis problem is to solve for in the Gel’fand–Levitan–Marchenko system by using an iterative method [22]. In order to do so, we are going to rewrite the Gel’fand–Levitan–Marchenko system (21) as follows:

(28a)

(28b) Neglecting the integral term in (28b), the zeroth-order apis obtained as follows: proximation for

(32) for . where As can be seen, the analytical solution allows us to calcunecessary to design a device late the coupling coefficient through (16). with a desired frequency response, given by Although the frequency response is expressed in terms of the -parameter, the -parameter of the device arises univocally from it. Actually, for our case of interest of reciprocal and lossless networks, the magnitude can be calculated as [23] (33) and the phase can be retrieved using the Hilbert transform as [24]

(29) Introducing (29) into (28a), the first-order approximation for is obtained as follows: (30) Introducing that approximation (30) into (26), the first-order approximate solution for the coupling coefficient is achieved as follows:

(31)

(34) It is worth noting that the only approximations introduced to obtain the synthesis solution of (32) are to consider single-mode operation, as well as to assume that the phase constant does not vary with for a given frequency along the device, and that does not vary with frequency. These conditions can be fully satisfied, for example, by transmission lines, like stripline and coaxial, that support a pure TEM mode, and by waveguides, like the rectangular waveguide operating with its fundamental mode and featuring -plane perturbations. These conditions can be also approximately satisfied, for example, by planar transmission lines, like microstrip and coplanar, that support a quasi-TEM mode, and by waveguides, like the ridge waveguide mode and featuring operating with its fundamental quasi-plane perturbations.

462

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

IV. PROPERTIES OF THE RELATIONSHIP BETWEEN

7) Modulation:

AND

As stated above, in this paper, we consider a physical device , which satisfies with coupling coefficient for and frequency response . In Section III, the relationship between coupling coefficient and its frequency ] has been obtained as an anresponse [given through alytical series [see (32)]. We will denote this relationship as . As has been previously discussed, the first term of the series, which can be seen as a zeroth-order approximation, gives the relationship in terms of a plain Fourier transform. Consequently, in a first approach, all the powerful properties of the Fourier transform [25] are also applicable to pair and actually some of them remain the fully valid for the exact case when no approximations are taken. These properties, which are strictly satisfied by the pair, can be readily demonstrated by using (32) and are listed below. 1) Restrictions for a Physical Device: For a physical device, the frequency response satisfies , and will be real. Contherefore, its inverse Fourier transform will be always real for a physical device. sequently, 2) Sign Inversion: A sign inversion in one of the terms implies a sign inversion in the other term (35) 3) Scaling: (36) where is a real positive constant. 4) Space Shifting:

(39) and are real constants. where 8) Symmetry in the Coupling Coefficient: a) Antisymmetric coupling coefficient: If and only if , then , with being the device length. It is interesting to note that this case corresponds to a device with symmetric profile. b) Symmetric coupling coefficient: If and only if , then , with being the device length. is antisymmetric or Therefore, property 8 states that if , then the frequency response symmetric with respect to features a phase linear with . The symbol denotes that phase shifts of radians can occur throughout the frequency response. 9) Physical Rotation: (40) where is the device length. The physical rotation refers to rotation of the device around axis. the This set of properties for the relationship between coupling and its frequency response coefficient of the device is ultimately due to the analytical synthesis expression obtained in Section III [see (32)]. It constitutes a very useful set of tools to aid in the design of microwave devices and provides a deep understanding of the synthesis mechanics.

(37) V. APPLICATION: SYNTHESIS OF MATCHED FILTERS where is a real positive constant. 5) Series Terms Weighting: If the frequency response is mul, then the th term of the series tiplied by a constant is multiplied by . Consequently, if , the for contribution of the higher order terms of the series decreases very quickly, allowing us to neglect them. An important consequence of property 5 is that if (cases of low reflectivity), all higher order terms of the series can be safely neglected, and the plain Fourier transform in the first term constitutes a good approximation to the coupling coef. This confirms that the zeroth-order approximation ficient is accurate for low-reflectivity structures, as already stated in Section III. To finish this section, some useful properties, extracted from the Fourier transform relationship [25], that are accurate for low reflectivity, but are only valid as a first approach for the general case, are listed below. 6) Linearity: (38) where

and

are real constants and .

and

The series solution given to the synthesis problem in Section III allows us to calculate the necessary coupling coefficient for a device featuring an arbitrary target frequency response, limited only by causality, passivity, and stability. In order to verify this novel synthesis method, in this section we design a matched filter in microstrip technology intended for impulse-radio ultra-wideband (UWB) applications [26], [27]. As is well known, the matched filter can also be seen as a passive implementation of a correlator [28], and it is aimed to be used in the receiver of the system. The expression that relates the physical dimensions of the device and the coupling coefficient calculated as explained above depends on the technology used [18]. However, as has been explained in Section II, for the case of nonuniform waveguides that include metals with variable cross section, it can be calculated as indicated in (9). When the mode of operation is TEM or quasi-TEM (as it happens in microstrip technology and in most of the microwave planar circuit technologies), the expression for the coupling coefficient given in (9) can be reformulated with the introduction of the characteristic impedance of the mode . To do it, we are going to take advantage of the relation between the vector mode

ARNEDO et al.: SERIES SOLUTION FOR SINGLE-MODE SYNTHESIS PROBLEM BASED ON COUPLED-MODE THEORY

463

patterns of the forward and backward traveling waves associated to the operation mode

(41a)

(41b) Using (41), and taking a normalization factor , which does not vary with the cross section (i.e., constant with ), the coupling coefficient in (9) can be rewritten as

Fig. 1. Target (thin solid line), simulated (dotted line), and measured (thick solid line) impulse response of the synthesized matched filter.

(42) Expressing the vector mode patterns as a function of the characteristic impedance of the mode , (43a) (43b) and introducing (43) in the coupling coefficient expression (42), it follows that

(44) If the characteristic impedance of the mode adequately characterizes the variations of cross section present in the waveguide in terms of reflection of the forward traveling wave over the backward wave, the integral corresponding to the fields in (44) will be negligible and the coupling coefficient can be calculated simply as

(45) This can be usually achieved for all the TEM or quasi-TEM modes. For the case of the fundamental microstrip mode, the definition of that best characterizes the variation of cross section in the microstrip line is the following [29]:

(46) and the current i.e., the expression that uses the power associated to the mode. As is well known, a filter matched to a real signal has an impulse response , with being the time delay between the signal arrival and output peak where the signal-to-noise ratio is maximized [28]. For simplicity, an idealized model of a UWB signal has been chosen for

Fig. 2. (a) Magnitude and (b) phase of the frequency response for the synthesized matched filter. The target (thin solid line), simulated (dotted line), and measured (thick solid line) S -parameters are given.

our example. It is a sinusoidal burst, centred at 2.5 GHz, consisting of two cycles of a sinusoid windowed by a squared cosine function. The fractional bandwidth (at 10 dB) of the signal is around 120%. It is worth noting that although the selected signal is indeed UWB (the requirement is a fractional bandwidth greater than 25%), it has a relatively low central frequencythatdoes notcomplywiththeFederalCommunications Commission (FCC) spectrum regulations [30]. This has been done to ease the fabrication and measurement of the prototype

464

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 3. Coupling coefficient of the synthesized matched filter.

The filter has been simulated using Agilent’s Advanced Design System (ADS) Momentum and measured with an Agilent 8722 Vector Network Analyzer. Its impulse response (obtained -parameter) and its as the inverse Fourier transform of the frequency response ( -parameter) are shown in Figs. 1 and 2, respectively, for the simulated (dotted line) and measured (thick solid line) cases. The excellent agreement between the target and the simulated and measured responses confirms the accuracy of the proposed synthesis method. The slight differences found can be attributed to the assumptions made in the synthesis method, but not fully satisfied in microstrip technology (phase constant does not vary for a given frequency along the device, coupling coefficient does not vary with frequency), and to the losses of the device that are not taken into account in the design procedure, as well as to the fabrication tolerances. VI. CONCLUSION

Fig. 4. Characteristic impedance of the synthesized matched filter.

Fig. 5. Fabricated prototype.

at our facilities, but the synthesis method could be applied equally to any form of UWB signal. , is The impulse response of the matched filter, i.e., obtained by reversing and delaying in time the UWB signal, as previously explained, and is shown in Fig. 1 (thin solid line). The frequency response of the filter (obtained as the Fourier transform of its impulse response) is shown in Fig. 2 (thin solid line). From the target impulse response (or equivalently from the target frequency response), the necessary coupling coefficient can be obtained by using the series solution in (32). The convergence of the series is fast and the higher order terms tend to be negligible quickly. In our case, a sixth-order (seven-term) approximation has been used. The coupling coefficient obtained is shown in Fig. 3. The required characteristic impedance of the microstrip line is finally calculated using (45) and is shown in Fig. 4. The resulting filter layout is depicted in Fig. 5 (a Rogers RO3010 substrate has been used with thickness mm, relative dielectric constant , and 50input and output ports). The total length of the prototype is 115 mm.

An exact analytical series solution for the synthesis problem that allows us to calculate the required coupling coefficient from the target frequency response has been reported for microwave devices. The first term of the series coincides with the classical Fourier transform approximation that is shown to be a first approach to the synthesis of a generic device, and is accurate for low-reflectivity structures. The only assumptions introduced are to consider single-mode operation, as well as to assume that the phase constant does not vary for a given frequency along the device, and that the coupling coefficient does not vary with frequency. These conditions can be fully or approximately satisfied by all the planar and nonplanar transmission lines and by many waveguides. The resulting device features a continuously varying profile, e.g., a microstrip line with continuously varying strip width. The frequency response achievable in the method is completely general, only constrained by causality, passivity, and stability, and even nonrational functions are implementable. Several properties for the relationship between the coupling coefficient and frequency response have been obtained, providing a very useful set of tools to aid in the design of microwave devices and shedding light on the synthesis mechanics. To test the synthesis method proposed, a matched filter in microstrip technology for UWB applications has been synthesized as an example, obtaining excellent results. REFERENCES [1] F. R. Yang, R. Coccioli, Y. Qian, and T. Itoh, “Planar PBG structures: Basic properties and applications,” IEICE Trans. Electron., vol. E83-C, no. 5, pp. 687–696, May 2000. [2] T. Lopetegi, M. A. G. Laso, M. J. Erro, M. Sorolla, and M. Thumm, “Analysis and design of periodic structures for microstrip lines by using the coupled-mode theory,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 11, pp. 441–443, Nov. 2002. [3] E. F. Bolinder, “The relationship of physical applications of Fourier transforms in various fields of wave theory and circuitry,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 153–158, Apr. 1957. [4] K. A. Winick and J. E. Roman, “Design of corrugated waveguide filters by Fourier transform techniques,” IEEE J. Quantum Electron., vol. 26, no. 11, pp. 1918–1929, Nov. 1990. [5] T. Lopetegi, M. A. G. Laso, R. Gonzalo, M. J. Erro, F. Falcone, D. Benito, M. J. Garde, P. de Maagt, and M. Sorolla, “Electromagnetic crystals in microstrip technology,” Opt. Quantum Electron., vol. 34, no. 1–3, pp. 279–295, Jan.–Mar. 2002.

ARNEDO et al.: SERIES SOLUTION FOR SINGLE-MODE SYNTHESIS PROBLEM BASED ON COUPLED-MODE THEORY

[6] M. A. G. Laso, T. Lopetegi, M. J. Erro, D. Benito, M. J. Garde, and M. Sorolla, “Multiple-frequency-tuned photonic bandgap microstrip structures,” IEEE Microw. Guided Wave Lett., vol. 10, no. 6, pp. 220–222, Jun. 2000. [7] S. C. Burkhart and R. B. Wilcox, “Arbitrary pulse shape synthesis via nonuniform transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 10, pp. 1514–1518, Oct. 1990. [8] F. Huang, “Quasi-transversal synthesis of microwave chirped filters,” Electron. Lett., vol. 28, no. 11, pp. 1062–1064, May 1992. [9] C. W. Hsue and T. W. Pan, “Reconstruction of nonuniform transmission lines from time-domain reflectometry,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 32–38, Jan. 1997. [10] R. P. Moreira and L. R. A. X. Menezes, “Direct synthesis of microwave filters using inverse scattering transmission-line matrix method,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2271–2276, Dec. 2000. [11] G. H. Song and S. Y. Shin, “Design of corrugated waveguide filters by the Gel’fand–Levitan–Marchenko inverse-scattering method,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 2, no. 11, pp. 1905–1915, Nov. 1985. [12] P. P. Roberts and G. E. Town, “Design of microwave filters by inverse scattering,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 4, pp. 739–743, Apr. 1995. [13] G. Xiao and K. Yashiro, “An efficient algorithm for solving Zakharov–Shabat inverse scattering problem,” IEEE Trans. Antennas Propag., vol. 50, no. 6, pp. 807–811, Jun. 2002. [14] M. R. Wohlers, “A realizability theory for smooth lossless transmission lines,” IEEE Trans. Circuit Theory, vol. CT-13, no. 4, pp. 356–363, Dec. 1966. [15] G. Xiao, K. Yashiro, N. Guan, and S. Ohkawa, “A new numerical method for synthesis of arbitrarily terminated lossless nonuniform transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 369–376, Feb. 2001. [16] M. Norgren and S. He, “An optimization approach to the frequencydomain inverse problem for a nonuniform LCRG transmission line,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 8, pp. 1503–1507, Aug. 1996. [17] M. Le Roy, A. Pérennec, S. Toutain, and L. C. Calvez, “The continuously varying transmission-line technique—Application to filter design,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1680–1687, Sep. 1999. [18] B. Z. Katsenelenbaum, L. Mercader, M. Pereyaslavets, M. Sorolla, and M. Thumm, Theory of Nonuniform Waveguides—The Cross-Section Method, ser. Electromagn. Waves. London, U.K.: IEE Press, 1998, vol. 44. [19] F. Sporleder and H. G. Unger, Waveguide Tapers, Transitions and Couplers. London, U.K.: Peregrinus, 1979. [20] V. V. Shevchenko, Continuous Transitions in Open Waveguides—Introduction to the Theory. Boulder, CO: Golem Press, 1971. [21] T. Rozzi and M. Mongiardo, Open Electromagnetic Waveguides, ser. Electromagn. Waves. London, U.K.: IEE Press, 1997, vol. 43. [22] E. Peral, J. Capmany, and J. Marti, “Iterative solution to the Gel’fand–Levitan–Marchenko coupled equations and application to synthesis of fiber gratings,” IEEE J. Quantum Electron., vol. 32, no. 12, pp. 2078–2084, Dec. 1996. [23] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1992. [24] M. J. Erro, I. Arnedo, M. A. G. Laso, T. Lopetegui, and M. A. Muriel, “Phase-reconstruction in photonic crystals from S -parameter magnitude in microstrip technology,” Opt. Quantum Electron. Jun. 2007. [25] A. Papoulis, The Fourier Integral and Its Applications, ser. Electron. Sci.. New York: McGraw-Hill, 1962. [26] R. J. Fontana, “Recent system applications of short-pulse Ultra-wideband (UWB) technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2087–2104, Sep. 2004. [27] M. Z. Win and R. A. Scholtz, “Ultra-wide bandwidth time-hopping spread-spectrum impulse radio for wireless multiple-access communications,” IEEE Trans. Commun., vol. 48, no. 4, pp. 679–691, Apr. 2000. [28] A. B. Carlson, Communication Systems, ser. Elect. Electron. Eng., 3rd ed. New York: McGraw-Hill, 1986. [29] W. J. Getsinger, “Measurement and modeling of the apparent characteristic impedance on microstrip,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 8, pp. 624–632, Aug. 1983.

465

[30] FCC, Washington, DC, “Part 15 rules for unlicensed RF devices,” Sep. 2007. [Online]. Available: http://www.fcc.gov/oet/info/rules

Israel Arnedo (S’05) was born in Tudela (Navarre), Spain in 1980. He received the Telecommunication Engineering degree and M.Sc. degree from the Public University of Navarre, Navarre, Spain, in 2004 and 2007, respectively, and is currently working toward the Ph.D. degree in electrical and electronic engineering at the Public University of Navarre. He is currently an Assistant Professor with the Electrical and Electronic Engineering Department, Public University of Navarre. He has collaborated in research projects supported by the Spanish Government and the European Commission. His research interests include periodic structure devices, coupled-mode theory, inverse-scattering synthesis, and UWB systems. Mr. Arnedo was the recipient of a University Fellowship Programme (FPU) grant from the Spanish Ministry of Education and Science to support his doctoral research.

Miguel A. G. Laso (S’99–M’03) received the M.Sc. and Ph.D. degrees in electrical engineering from the Public University of Navarre, Navarre, Spain, in 1997 and 2002, respectively. From 1998 to 2001, he was with the Electrical and Electronic Engineering Department, Public University of Navarre, as an Assistant Professor (since 2001) and since 2006 as an Associate Professor involved with optical communications and microwave engineering. From 2002 to 2003, he was also a Research Fellow with the Payload Systems Division, European Space Research and Technology Centre, European Space Agency, Noordwijk, The Netherlands. His current research interests comprise photonic/electromagnetic bandgap structures and metamaterials, especially planar microwave technology, and their applications. Dr. Laso is a member of several professional and scientific international associations including the Optical Society of America (OSA), the International Society for Optical Engineering (SPIE), and the American Society for Engineering Education (ASEE). He was the recipient of a grant from the Spanish Ministry of Education and Science to support his doctoral research at the Public University of Navarre. He was the recipient of several prizes including the Spanish National Prize to the Best Doctoral Dissertation in Telecommunications (2002) awarded by the Spanish Telecommunications Engineers Association and the Junior Research Award of the Public University of Navarre (2003). He was also the recipient of a 2005 Spanish National Prize for the Best Project in Innovation in Higher Education awarded by the Spanish Ministry of Education and Science.

Francisco Falcone (M’05) was born in Caracas, Venezuela, in 1974. He received the M.Sc. degree in telecommunication engineering from the Public University of Navarre, Navarre, Spain, in 1999, and is currently working toward the Ph.D. degree in telecommunication engineering at the Public University of Navarre. From 1999 to 2000, he was with the Microwave Implementation Department, Siemens-Italtel, where he was involved with the layout of the Amena mobile operator. Since 2000, he has been a Radio Network Engineer with Telefónica Móviles España, Pamplona, Spain. Since the beginning of 2003, he has also been an Associate Lecturer with the Electrical and Electronic Engineering Department, Public University of Navarre. His main research interests include passive microwave circuits, electromagnetic-bandgap devices, periodic structures, and metamaterials.

466

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

David Benito was born in Huesca, Spain, in 1965. He received the M.Sc. and Ph.D. degrees in electrical engineering from the Polytechnic University, Madrid, Spain, in 1992 and 1999, respectively. Since 1992, he has been an Associate Professor with the Electrical Engineering Department, Public University of Navarre, Navarre, Spain. His main research interests include the analysis/synthesis techniques of photonic/electromagnetic-bandgap structures, and microwave and photonic metamaterials, and their applications to microwave photonics and radio-over-fiber systems. He has authored or coauthored over 70 international papers and conference contributions. He has coordinated several research projects supported by the Spanish Government and European Union concerning fiber-optic communications and microwave engineering.

Txema Lopetegi (S’99–M’03) was born in Pamplona, Navarre, in 1973. He received the M.Sc. and Ph.D. degrees in telecommunication engineering from the Public University of Navarre, Navarre, Spain, in 1997 and 2002, respectively. Since 1997, he has been with the Electrical and Electronic Engineering Department, Public University of Navarre, initially as an Assistant Professor, and since 2006, as an Associate Professor. During 2002 and 2003, he was a Post-Doctoral Researcher with the Payload Systems Division, European Space Research and Technology Center (ESTEC), European Space Agency (ESA), Noordwijk, The Netherlands. His current research interests include metamaterials and their applications in microwave and millimeter-wave technologies (electromagnetic-bandgap structures, left-handed media, and split-ring resonators), as well as coupled-mode theory and synthesis techniques using inverse scattering applied to planar microwave circuits and waveguide technology. Dr. Lopetegi was the recipient of a 1999 and 2000 grant awarded by the Spanish Ministry of Education to support his doctoral thesis research.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

467

Design Considerations of Miniaturized Least Dispersive Periodic Slow-Wave Structures Chengzhi Zhou, Student Member, IEEE, and H. Y. David Yang, Fellow, IEEE

Abstract—Slow-wave structures using distributed periodic inductive and capacitive loadings have found many microwave circuit applications as left-handed (bandpass) or right-handed (lowpass) transmission lines. A large slow-wave factor (SWF) could result in a much smaller passive component, but also a much lower bandgap (cutoff) frequency and a larger dispersion. This paper addresses the issues and the design tradeoff between the SWF, group delay (dispersion), and the cutoff frequency of a right-handed (lowpass) quasi-lumped transmission line. A new two-layer transmission line structure using 3-D substrate metallization with an SWF of 5.8 is designed. A prototype of a 3-GHz branch-line coupler with a 70% size reduction using such a transmission line structure is fabricated and tested. Index Terms—Branch-line coupler, dispersion, electromagnetic bandgap (EBG), periodic structures, slow wave.

I. INTRODUCTION HE DEMANDS for device miniaturization together with the advances of microelectronics manufacturing technology lead to system-on-chip; this is a process by which an entire communication system can be built on a small size (less than 1 cm 1 cm) semiconductor wafer packaged as a chip. As the radio and digital chip size becomes smaller and smaller, RF passives remain a bottleneck for device miniaturization on circuit boards and on chips. There has been much less attention to the antennas and passive components, as compared to the semiconductor process technology. The size of RF passives (distributed circuits) including antennas, baluns, and transmission-line components is usually proportional to their electrical lengths (physical length to wave length ratio). Size reduction can be achieved by enhancing the transmission-line slow-wave factor (SWF), defined as the wavelength ratio of the free-space wave to the guided wave. The SWF can be enhanced by embedding a transmission line in high dielectric-constant materials (smaller wavelength), such as doped semiconductors and ferromagnetic materials, in the form of a microstrip line or coplanar waveguides [1]–[8]. The use of distributed inductive or capacitive periodic loadings to a transmission line to form a slow-wave structure has

T

Manuscript received May 7, 2007; revised August 28, 2007. C. Zhou was with the Department of Electrical and Computer Engineering, University of Illinois at Chicago, Chicago, IL 60607 USA. He is now with MaxLinear Inc., Carlsbad, CA 92011 USA (e-mail: [email protected]). H. Y. D. Yang is with the Department of Electrical and Computer Engineering, University of Illinois at Chicago, Chicago, IL 60607 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914633

been known for a long time [9]. Depending on the loadings, the slow-wave structure could be used for low-pass, bandstop, or bandpass filters. In different contents, the periodic slow-wave structures are called either right-handed (low-pass) or left-handed (high-pass or bandpass) metamaterial transmission lines or electromagnetic bandgap (EBG) structures [9]–[16]. Tailoring the EBG and the slope of the dispersion curves (double-negative metamaterials) has found many useful applications. This paper discusses the use of high-density 3-D substrate metallization for passive component miniaturization. The periodic loadings are wound into multiple layers to form distributed capacitors and inductors (quasi-lumped circuits) within each unit cell. The emphasis is on the right-handed slow-wave structures due to less dispersion and more stable SWF over a broad-frequency range. A goal of this paper is to provide the design criteria of the maximum SWF under the constraint of the fundamental mode cutoff frequency, line impedance, group delay, and available space. Analytic formulas are provided to illustrate the design tradeoff. A new three-layer slow-wave structure is proposed to demonstrate the design methodology. Finally, a branch-line coupler, evolved from this slow-wave structure, is designed and tested. The results show significant size reduction as compared to the use of a normal microstrip line structure. II. CHARACTERISTICS OF PERIODIC SLOW-WAVE STRUCTURES A basic left-handed transmission line structure (also called a metamaterial transmission line) [17]–[23] is a periodically loaded shunt inductance and series capacitance with high-pass characteristics (dc isolation). In the first transmission band, (group velocity) is positive, while (phase velocity) is negative. A left-handed transmission line is usually dispersive (group velocity varies with frequency) for guided-wave applications. On the other hand, the cascade of series inductance and shunt capacitance in a periodic transmission line is a right-handed structure, where phase and group velocities are in the same direction. As an example, the equivalent circuit of a right-handed structure and its dispersion diagram are shown in Fig. 1. Common transmission line structures, such as a microstrip line and a coplanar waveguide, are right-handed transmission lines with continuously distributed L–C components. The fundamental mode is inherently low pass and a slow-wave mode. The transmission line dispersion curves can be tailored through the periodic (distributed) loading of inductive and capacitive elements. The distributed L and C are frequency dependent. In the second transmission band, the equivalent circuit could

0018-9480/$25.00 © 2008 IEEE

468

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

The group delay of the unit cell below the cutoff frequency can be derived as (5)

Fig. 1. Unit cell equivalent circuit of a periodic slow-wave structure and its dispersion diagram.

The primary parameters for the periodic slow-wave line design are the SWF, group delay, and characteristic impedance (assuming lossless). They can be expressed in terms of the cutoff frequency as (6)

effectively become a series capacitor with a shunt inductor. In such a case, the right-handed line becomes a left-handed line in the second transmission band, as shown in Fig. 1(b). Although a microstrip-line periodically loaded with shunt capacitors or serial inductors can increase the SWF, the wave length reduction is limited by its long transmission line sections and is space inefficient. In this paper, the investigation focuses on the use of distributed inductance and capacitance to form the slow-wave transmission lines, as indicated in Fig. 1. This setup is also referred to as quasi-lumped setup and is distinct from the inductance and capacitance loading on a microstrip line. The inductance and capacitance shown in Fig. 1 are distributed three-dimensionally over a unit cell. For circuit and antenna applications, sections of such a slow-wave line are used in the frequency below the first bandgap (below the cutoff frequency ). In the first passband below the cutoff, the SWF usually increases with frequency. A useful SWF for evaluation is likely at half of the cutoff frequency where it is larger than the dc case and is not very dispersive yet. For a linear transmission system, group delay is another important factor for evaluating the transmission-line quality. For a slow-wave unit cell (shown in Fig. 1), within the passband, a larger value of group delay corresponds to larger dispersion. It is also referred to as “envelope delay,” which indicates the delays experienced by the envelope of the transmission packets [18]. For a matched transmission line system with the physical length and the propagation constant , the group delay is given as (1) Using the Bloch–Floquet theorem for a slow-wave structure with a unit cell, shown in Fig. 1, its propagation constant and characteristic impedance are found as follows (assuming lossless) [24]: (2) and (3)

to

The cutoff frequency 1 as follows:

can be predicted by setting (2) equals (4)

(7)

and (8) . where Equations (6)–(8) reveal the design criteria of a periodic slowwave structure. The unit cell length should be as small as possible; the inductance and capacitance (per unit cell) should be as large as possible, but not to lower the cutoff (bandgap) frequency too much. A smaller cutoff frequency corresponds to larger frequency-sensitive group delay, a larger SWF, and a less usable frequency range. A small unit cell with large inductance and capacitance requires a transmission line with a high-density metallization within a small area. Small distributed inductors and capacitors present a design challenge; 3-D substrate metallization, though, presents a possible unique opportunity and solution to this challenge. Fig. 2 shows the SWF as a function of frequency (based on the geometry in Fig. 1) for various cutoff frequencies within the first passband zone. It is observed that the SWF is almost a constant at low frequencies (dispersionless) and slowly increases until the first Brillouin zone boundary. At the first Brillouin zone and the maximum SWF is given as boundary, (9) The group delay versus frequency for various cutoff frequencies is shown in Fig. 3. The lower the cutoff frequency, the more dispersive the group delay is. There is a significant increase in group delay when the frequency approaches the cutoff. Due to this, a careful design of the cutoff frequency is necessary to maximize the SWF. There is relatively more flexibility in the design of characteristic impedance. In order to maintain a certain impedance level, both inductance and capacitance should increase simultaneously in increasing the SWF. A too inductive or capacitive slow-wave line will have either a very high or very low impedance level. In practice, the equivalent circuit of a unit cell of a right-handed slow-wave line is slightly more complicated than the basic model shown in Fig. 1. The series inductance

ZHOU AND YANG: DESIGN CONSIDERATIONS OF MINIATURIZED LEAST DISPERSIVE PERIODIC SLOW-WAVE STRUCTURES

469

Fig. 4. More accurate right-handed slow-wave unit cell model and its equivalence to the basic model shown in Fig. 1.

Fig. 2. Dispersive SWF versus frequency for various cutoff frequencies based on the geometry in Fig. 1.

III. DESIGN EXAMPLES OF RIGHT-HANDED SLOW-WAVE STRUCTURES A. Design Criteria Using the Basic Quasi-Lumped Setup In Section II, it was found that the basic quasi-lumped setup could offer low dispersion and a high SWF. The design rules for passive circuits using the basic setup are outlined here. Three main parameters (serial inductance , shunting capacitance , and unit cell length ) are involved in the design of the cutoff frequency , SWF, characteristic impedance , numbers of unit cells , and the group delay . It is shown in Fig. 2 that is, the larger the SWF will be; cannot be too the lower small, however, considering the requirement of stable and low dispersion (group delay) passband. should be above the reIn general, the cutoff frequency . Moreover, if a regulation for quired operating frequency group delay is designed such as for each EBG cell, where is a constant number, based on (4)–(8), should satisfy the condition

Fig. 3. Group delays in one unit cell with different cutoff frequencies for the basic slow-wave EBG setup in Fig. 1.

(12)

usually comes with a parallel parasitic capacitance; the shunt capacitance also comes with a series inductance, as shown in Fig. 4. The analysis of the SWF, group delay, cutoff frequency, and characteristic impedance could follow a similar approach to what is described above, except that the equivalent inductance and capacitance are defined as

In addition, for many circuit applications of the transmission line, the periodic unit length should be no greater than the quarter wavelength (90 phase shift) of the guided slow wave, i.e., . Consequently, from (6),

(10)

A careful design for a passive circuit application using slowwave EBG basic quasi-lumped setup, i.e., (12) and (13), should, therefore, be considered simultaneously. The second issue is to determine the unit cell numbers and the unit cell length . For a given required phase shift , the two parameters have to comply with the following condition:

and (11) Additional series parasitic capacitance and series inductance to the ground increase the effective inductance and capacitance; this results in a lower cutoff frequency, larger SWF, and more dispersive group delay.

(13)

(14) Equation (14) ensures that the total phase shift by the actual of the EBG transmission line will not exceed the length of

470

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 5. Unit cell for a three-layer slow-wave EBG structure using a basic quasilump EBG setup. (a) Top view. (b) 3-D view. (c) Side view.

phase requirement. This phase constraint is particularly necessary in the design of 90 hybrids. B. Design Examples as a Branch-Line Coupler Based on the Quasi-Lumped Setup A miniaturized right-handed slow-wave transmission, using a slow-wave EBG basic quasi-lumped setup, is designed to illustrate the criteria given in (12)–(14). This transmission line is further used to design a miniaturized branch-line coupler. The design example is a three-layer unit cell structure shown in Fig. 5. This structure uses a thin straight wire as a serial inductor with an extended patch at both sides as shunting capacitors to the ground. The ports of the unit cell are marked 1 and 2 in Fig. 5, at the end of a center strip with width (0.16 mm here). The extension of the strip outside the patch is . The gap between the strip and the extended patch (also ) determines both the series inductance and capacitance in Fig. 6(d). is the depth of the extended patch (the same width as the mushroom underneath) and affects mostly the shunt capacitance. The entire idea is to increase both series L and shunt C to get the higher SWF, but more enhancements on C are necessary to bring down the characteristic impedance according to (3). The L enhancement is achieved by decreasing the strip width. is the unit cell length and all the circuit parameters are almost linear to this length. A mushroom structure [25] below the metal surface is used to effectively move up the ground plane, thus increasing the required shunting capacitance at a given substrate thickness. It further provides the design flexibility by adjusting the size of the rectangular patch on a mushroom. By changing the value of – , the serial inductance and shunting capacitance can be adjusted. For the branch-line coupler, a set of design requirements are given as follows. GHz. • Central frequency is at 3 GHz, i.e., • Group delay for one unit cell is less than 0.2 ns, i.e., ns Using the above operating frequency and group delay, the GHz cutoff frequency should satisfy the condition

Fig. 6. Slow-wave EBG unit cells designed for branch-line coupler. (a) 50-

unit cell (unit: millimeters). (b) Equivalent circuit of a 50- unit cell. (c) 35-

unit cell (unit: millimeters). (d) Equivalent circuit of a 35- unit cell.

according to (12). The insertion phase for the branch-line coupler should be less than 90 (a quarter-wave long) to take into account the phase delay at the interconnecting junctions. Based on the design criteria, two slow-wave EBG unit cells are designed as shown in Fig. 6(a) and (c) for 50- and 35- characteristic impedances, respectively. The designs use a Rogers4003 substrate with the thickness mm and the mushroom structures has a height mm. The equivalent circuit is based on the quasi-lumped setup described in Fig. 4. The circuit parameters of the unit cell are extracted from AWR Microwave Office through the fitting of the two-port -parameters obtained from full-wave simulations. The extracted values are given in Fig. 6(b) and (d). It is noted that the 50- unit cell has a larger series inductance and smaller shunt capacitance than the 35case. It is due to a longer unit cell length and a smaller patch area for the 50- case. The two-port scattering parameters of the designed 50- and 35- EBG slow-wave transmission lines are shown in Figs. 7 and 8, respectively, with five unit cells. The simulations are based on IE3D: a method of moments (MOM) full-wave solver from Zeland Software, Freemont, CA. The -parameters based on equivalent-circuit model (Fig. 6) and the measurement results are also given in these figures. The frequency where drop quickly from near 0 dB to 30 dB corresponds to the cutoff frequency. EBG beyond the cutoff frequency is observed. It is seen that the full-wave simulation, the calculation using the equivalent circuit, and the measurement results agree well. Additionally, they have similar cutoff frequencies of around 4.25 and 5.00 GHz, for the 50- and 35- cases, respectively, and they are very close to the designed values (4.24 and 5 GHz, respectively). The normalized attenuation constant and phase constant (or SWF) for the two designed slow-wave structures are shown in Figs. 9 and 10. The simulation (solid line) and measurement (triangle) values are derived by the method of -matrix extraction

ZHOU AND YANG: DESIGN CONSIDERATIONS OF MINIATURIZED LEAST DISPERSIVE PERIODIC SLOW-WAVE STRUCTURES

471

Fig. 7. Scattering parameters of the designed 50- EBG structure with five unit cells.

Fig. 10. Normalized attenuation constant and phase constant of the designed 35- EBG structure.

Fig. 8. Scattering parameters of the designed 35- EBG structure with five unit cells.

Fig. 11. Simulated and calculated Zc of the designed 50- EBG structure.

Fig. 9. Normalized attenuation constant and phase constant of the designed 50- EBG structure.

based on the formulas (given in [10])

(15) The dashed-line curves are based on the circuit model and (2). It is observed that the comparison between the simulations

and measurements is good for both cases. From the full-wave simulation, the SWFs for the two EBG structures are 3.820 (50 ) and 5.809 (35 ), respectively at 3 GHz, which are much greater than the SWF by normal transmission line on Rogers4003 (1.64). Larger SWF for the 35- case is due to its smaller unit cell length (3.1 mm shown in Fig. 6). It is also noted that the 35- -line unit cell is smaller than the 50- line. This is designed in order to bring down the inductance per unit cell of the 35- line more to drop the impedance level from 50 to 35 . The group delay per unit cell is calculated using -parameters of IE3D simulations. Group delays at 3 GHz are 0.106 ns (50 ) and 0.070 ns (35 ) based on (7), which are both less than the designed upper limit of 0.2 ns. It is observed from Fig. 3 that the two five-cell slow-wave lines are not very dispersive at the design frequency (3 GHz). Figs. 11 and 12 show the characteristic impedance versus frequency by both a direct IE3D transmission line simulation and (3) with extracted circuit parameters for the 50- and 35cases, respectively. In the two cases, the impedance is designed at 3 GHz. The simulated and measured impedance data is based on the two-port -matrix extractions ([10]) given as (16)

472

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 12. Simulated and calculated Zc of the designed 35- EBG structure.

Fig. 14. Comparison between a microstrip coupler and a slow-wave EBG coupler at 3 GHz. (a) Microstrip branch-line coupler. (b) Slow-wave EBG branchline coupler. Fig. 13. Branch-line coupler design using the proposed slow-wave EBG structure. (a) General equivalent circuit. (b) Design using two EBG slow-wave structures (unit: millimeters).

Good agreement between theory and measurement are observed even for only one unit cell, although the cutoff frequency is a little bit smaller as compared to the five-cell case for the phase constant extraction. Using the two designed (50 and 35 ) unit cells, a branch-line coupler was designed at 3 GHz with the dimensions shown in Fig. 13. The left and right quarter-wave arms are 50 , the upper and lower quarter-wave arms are 35 . Based on the SWFs (3.82 and 5.809) of the two lines and the phase-shift requirement, it can be found from (14) that only one unit can be used. Furthermore, based on the SWF, the phase shift through the 50- and 35- arms (one-unit cell length) is 89.4 and 64.9 , respectively. Additional segments of 35- microstrip line is used to make up the 25.1 phase shift and also serve as the interconnecting lines for the bend junctions, as indicated in Fig. 13. The overall size of the miniaturized coupler is 7.5 mm 13.1 mm. This is more than a 70% reduction in size when compared to the branch-line coupler using microstrip lines with the same substrate setup. The overall size reduction is comparable to the branch-line couplers using composite right/left-handed (CRLH) metamaterial transmission lines [27], [28]. The full-wave simulation results of a microstrip branch-line coupler and a miniaturized EBG-based coupler are shown in Fig. 14(a) and (b),

respectively. For both cases, 3-dB coupling occurs at 3 GHz. The normal microstrip coupler, though, repeats its behavior at every odd harmonic frequency. In comparison, for the slowwave EBG coupler, 3-dB coupling only occurs at its baseband of 3 GHz. After 4.25 GHz, the EBG transmission line enters into the stopband. Consequently, the input powers are reflected back to the source. Prototypes of both normal and miniaturized branch-line couplers were fabricated using Rogers4003 multilayer technology and are shown in Fig. 15. A clear reduction can be seen using an EBG slow-wave line compared to a microstrip branch-line coupler. The simulations and measurements for reflection, through, coupling, and isolation are presented in Figs. 16 and 17. Very good agreement was found. At the design frequency (3 GHz), more than 20-dB return loss and isolation are observed. The phase differences between the through port and coupled port are also shown in Fig. 18 with both the simulations and measured data. At 3 GHz, 90.85 and 92.20 are observed for simulation and measurement, respectively. The result is close to the designed 90 hybrid. Although the designed EBG branch-line coupler has reduced the component size by 70%, further size reduction is still possible. As observed from (5)–(8), at a given certain cutoff frequency, the SWF can increase further with a smaller unit cell length. An efficient way to increase the SWF and reduce the

ZHOU AND YANG: DESIGN CONSIDERATIONS OF MINIATURIZED LEAST DISPERSIVE PERIODIC SLOW-WAVE STRUCTURES

473

Fig. 15. Prototypes of a microstrip branch-line coupler and the designed EBG branch-line coupler.

Fig. 18. Phases in degrees of S 21 (coupling) and S 31 (through) of designed EBG branch-line coupler.

Fig. 16. coupler.

S 11 (reflection) and S 21 (through) of the designed EBG branch-line

be greatly reduced. The limitation would be the available layers and the resolution of the minimum linewidth and spacing. The examples and discussions in this paper concerned a right-handed structure intended to use slow-wave EBG unit cells (Fig. 5) to build miniaturized passive circuits with the least dispersion. A similar approach also applies to the left-handed transmission lines [27], [28], which is usually in the form of a CRLH line. The CRLH line is inherently high pass, where the propagation is prohibited below a cutoff frequency. CRLH circuits are usually more dispersive than the right-handed circuits with a similar SWF.

IV. CONCLUSION

Fig. 17. pler.

S 31 (coupling) and S 41 (isolation) of designed EBG branch-line cou-

size is to achieve higher serial inductance and shunting capacitance per unit length. For PCB designs, the increase of the serial inductance and shunting capacitance per unit length was limited by the available space. In multilayer low-temperature co-fired ceramic (LTCC) or integrated circuit (IC) designs [26], through the use of multiple layers to distribute the inductors and capacitors into the vertical direction, the printed circuit board (PCB) surface area can

In this paper, basic EBG unit cell setups, using lumped or quasi-lumped elements incorporated without distributed transmission lines, have been proposed and investigated. The transmission line parameters include SWF, characteristic impedance, group delay, and cutoff frequency. These have been analyzed with an emphasis on SWF enhancement for device miniaturization. The cutoff frequency, which relates directly to the inductance and capacitance per unit cell length, is found to play a major role in the slow-wave periodic transmission line design. The investigation found that a lower cutoff frequency may offer larger SWF with higher dispersion or group delay. Tradeoff should be made in a specific slow-wave component design. Design criteria using a basic quasi-lump EBG setup have been introduced under the specification of phase shifting, operating frequency, and group delay. The purpose is to facilitate the slow-wave structure designs in passive circuit packaging. Optimizations and accurate controls of cutoff frequency, number of unit cells, and characteristic impedance have been discussed. A design example of over a 70% size reduced branch-line coupler at 3 GHz has been fabricated and tested as a prototype. More passive circuit size reduction is possible using LTCC or IC multilayer technology. The design criteria for right-handed structures proposed in this paper could be useful for passive circuit miniaturization.

474

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

REFERENCES [1] H. Hasegawa, M. Furukawa, and H. Yanai, “Properties of microstrip line on Si–SiO system,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 11, pp. 869–881, Nov. 1971. [2] D. Jager, “Slow-wave propagation along variable Schottky-contact microstrip line,” IEEE Trans. Microw. Theory Tech., vol. MTT-24, no. 9, pp. 566–573, Sep. 1976. [3] H. Hasegawa and H. Olizaki, “MIS and Schottky slow wave coplanar striplines on GaAs substrates,” Electron. Lett., vol. 13, no. 22, pp. 663–664, Oct. 1977. [4] Y. Fukuoka, Y. Shih, and T. Itoh, “Analysis of slow-wave coplanar waveguide for monolithic integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 7, pp. 567–573, Jul. 1983. [5] R. Sorrentino, G. Leuzzi, and A. Silbermann, “Characteristics of metal–insulator–semiconductor coplanar waveguides for monolithic microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 410–415, Apr. 1984. [6] H. Ogawa and T. Itoh, “Slow-wave characteristics of ferromagnetic semiconductor microstrip line,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 12, pp. 1478–1482, Dec. 1986. [7] K. Wu and R. Vahldieck, “Hybrid-mode analysis of homogeneously and inhomogeneously doped low-loss slow-wave coplanar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 8, pp. 1348–1360, Aug. 1991. [8] S. Seki and H. Hasegawa, “Cross-tie slow-wave coplanar waveguide on semi-insulating GaAs substrates,” Electron. Lett., vol. 17, pp. 940–941, 1981. [9] F.-R. Yang, K.-P. Ma, Y. Qian, and T. Itoh, “A uniplanar compact photonic-bandgap (UC-PBG) structure and its applications for microwave circuit,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [10] C. Wu, H. Wu, and C. Tzuang, “Electric-magnetic-electric slow-wave microstrip line and bandpass filter of compressed size,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1996–2004, Aug. 2002. [11] Q. Xue, K. M. Shum, and C. H. Chan, “Novel 1-D microstrip PBG cells,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 403–405, Oct. 2000. [12] L. Zhu, “Guided-wave characteristics of periodic coplanar waveguides with inductive loading unit-length transmission parameters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2133–2138, Oct. 2003. [13] F. Martin, F. Falcone, J. Bonache, T. Lopetegi, M. A. G. Laso, and M. Sorolla, “Dual electromagnetic bandgap CPW structures for filter applications,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 393–395, Sep. 2003. [14] S.-G. Mao and M.-Y. Chen, “Propagation characteristics of finite-width conductor-backed coplanar waveguides with periodic electromagnetic bandgap cells,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2624–2628, Nov. 2002. [15] J. Sor, Y. Qian, and T. Itoh, “Miniature low-loss CPW periodic structures for filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2336–2341, Dec. 2001. [16] J.-S. Lim, Y.-T. Lee, C.-S. Kim, D. Ahn, and S. Nam, “A vertically periodic defected ground structure and its application in reducing the size of microwave circuits,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 12, pp. 479–481, Dec. 2002. [17] Lai, T. Itoh, and C. Caloz, “Composite right/left-handed transmission line metamaterials,” IEEE Micro, vol. 5, no. 3, pp. 34–50, Sep. 2004. [18] Sanada, A. C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004. [19] J. Gao and L. Zhu, “Characterization of infinite- and finite-extent coplanar waveguide metamaterials with varied left- and right-handed passbands,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 805–807, Nov. 2005. [20] C. M. Krowne, “Electromagnetic-field theory and numerically generated results for propagation in left-handed guided-wave single-microstrip structures,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2269–2283, Dec. 2003.

[21] S. Xiao, L. Shen, and S. He, “A novel directional coupler utilizing a left-handed material,” IEEE Photon. Technol. Lett., vol. 16, no. 1, pp. 171–173, Jan. 2004. [22] A. C. Caloz and T. Itoh, “Transmission line approach of left-handed (LH) materials and microstrip implementation of an artificial LH transmission line,” IEEE Trans. Antennas Propag., vol. 52, no. 5, pp. 1159–1166, May 2004. [23] Siddiqui, M. Mojahedi, and G. V. Eleftheriades, “Periodically loaded transmission line with effective negative refractive index and negative group velocity,” IEEE Trans. Antennas Propag., vol. 51, no. 10, pp. 2619–2625, Oct. 2003. [24] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998, pp. 422–430. [25] D. Sievenpiper, L. Zhang, R. F. Broas, N. G. Alexopoulos, and E. Yablonovitch, “High-impedance electromagnetic surfaces with a forbidden frequency band,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2059–2074, Nov. 1999. [26] Y.-S. Lin, C.-C. Liu, K.-M. Li, and C. H. Chen, “Design of an LTCC triband transceiver module for GPRS mobile applications,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 12, pp. 2718–2724, Dec. 2004. [27] R. Islam and G. V. Eleftheriades, “Phase-agile branch-line couplers using metamaterial lines,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 7, pp. 340–342, Jul. 2004. [28] C. Caloz, A. Sanada, and T. Itoh, “A novel composite right-/left-handed coupled-line directional coupler with arbitrary coupling level and broad bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 980–992, Mar. 2004. Chengzhi Zhou (S’05) was born in Wuhan, China, in 1976. He received the B.S. and M.S. degrees in electrical engineering from Tsinghua University, Beijing, China, in 1999 and 2002, respectively, and the Ph.D. degree in electrical engineering from the University of Illinois at Chicago, in 2007. From 2002 to 2003, he was an Electrical Engineer with Shanghai Microelectronics Co. Ltd., where he was involved with smart card test system development. From 2004 to 2007, he was a Research Assistant with the Andrew Electromagnetic Laboratory, University of Illinois at Chicago. His research interests include novel RF applications of slow-wave structures, miniaturized integrated antennas, photonic bandgap (PBG)/EBG structures, and metamaterials. In November, 2007, he joined MaxLinear Inc., Carlsbad, CA, where he is currently an RF Engineer.

H. Y. David Yang (M’88–SM’93–F’00) received the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 1988. Since 1992, he has been a faculty member and is currently a Professor with the Electrical and Computer Engineering Department, University of Illinois at Chicago. In 2001, he took a sabbatical leave to work within the wireless industry, during which time he was involved with passive components of RF ICs (RFICs) including integrated antennas, filters, baluns, and other passives. He has authored or coauthored over 120 journal and conference papers on applied electromagnetics. He holds six U.S. patents in high-frequency devices. His current research interests include miniaturized integrated antennas and passives for wireless communications, theory of 3-D substrate metallization including EBG and metamaterials, and computational electromagnetics. He has been Editor-in-Chief of Electromagnetics since 1997. He is also a member of Editorial Boards of various professional journals. Dr. Yang is a member of URSI Commission B and Sigma Xi. He has been a Technical Program Committee member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) since 1994. He was an associate editor of the IEEE TRANSACTION ON ANTENNAS AND PROPAGATION from 1995 to 1998.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

475

Vertical Topologies of Miniature Multispiral Stacked Inductors Wen-Yan Yin, Senior Member, IEEE, Jian-Yong Xie, Kai Kang, Jinglin Shi, Jun-Fa Mao, Senior Member, IEEE, and Xiao-Wei Sun, Member, IEEE

Abstract—Vertical topologies of on-chip silicon miniature multispiral stacked inductors are addressed, which have been fabricated by a 0.18- m CMOS process. A generalized topological circuit model is first developed with mutual capacitive and inductive couplings treated appropriately. A set of analytical equations is given for calculating all mutual frequency-independent (dc) inductances among different spirals. The partial-element equivalent-circuit method is implemented for capturing frequencyand temperature-dependent resistances and inductances (ac) of arbitrary spiral-stacked geometries by which mutual inductive coupling between different spirals are investigated. According to the fabricated four- to six-spiral stacked inductors and the measured two-port -parameters, modeling and experimental studies are carried out so as to verify applicability and scalability of the proposed topological model. Excellent agreement between them are achieved in the characterization of inductances and factors of all samples beyond their self-resonant frequencies. Index Terms—Generalized topological circuit model, inductance, multispiral stacked inductors, mutual coupling, partialelement equivalent-circuit (PEEC) method, factor, vertical topology.

I. INTRODUCTION PIRAL inductors have been widely used in the design of silicon radio frequency integrated circuits (RFICs). However, the main disadvantage of an on-chip inductor is its large area consumption. In order to achieve a large inductance, but with a small on-chip area, miniature multispiral stacked inductors may be a better choice for the development of low-cost RF functional blocks with high integration density. Zolfaghari et al. [1] have firstly studied capacitive coupling effects in traditional multispiral stacked inductors and transformers with single-via central interconnect. Further, Tang et al. [2] have successfully devel-

S

Manuscript received June 4, 2007. This work was supported by the Shanghai Jiao Tong University under the National Natural Science Fund for Creative Research Groups under Grant 60521002, by the National Natural Science Fund under Grant 90607011, by the Ministry of Education under Doctoral Research Fund Grant 20050248051, and under Shanghai Pujang Talent Project Grant 05PJ14064. W.-Y. Yin, J.-Y. Xie, and J.-F. Mao are with the Center for Microwave and RF Technologies, School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University, Shanghai 200240, China (e-mail: wyyin@sjtu. edu.cn). K. Kang and J. Shi are with the Institute of Microelectronics, Singapore 117685. X.-W. Sun is with the Institute of Microsystems and Information Technologies, Chinese Academy of Sciences, Shanghai 200240, China. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914624

oped a miniature four-spiral stacked inductor, and its self-resonance is 34% higher than that of the traditional inductor with the single-via central interconnect [1]. Park et al. [3] have realized variable active multispiral stacked inductors with a MOSFET switch control. In [3], the inductance of a three-spiral case can be varied from 8 to 23 nH at 2.4 GHz, and for two-spiral stacked geometry, its inductance can be swept from 3.7 to 15 nH. In addition, Yin et al. [4] have also performed comparative studies on the performance parameters of some circular two-spiral stacked inductors, but still with a single-via central interconnect. At present, there are still some challenging difficulties in the development of multispiral stacked inductors with high performances. In particular, tradeoffs exist between a large inductance and a large factor, and between a large inductance and a high self-resonant frequency. The reasons are mainly because: 1) serious conductive losses occur at high frequencies, and it further degrades the factor, due to an increase in the total metal track length of stacked inductor and 2) due to an increase in parasitic capacitive and inductive couplings among different spirals and between bottom spiral and silicon substrate, the self-resonant frequency will be reduced significantly. Compared with studies on single-spiral inductors [5]–[15], no accurately scalable model has been developed yet for fast predicting frequencyand temperature-dependent performance parameters of on-chip multispiral stacked inductors. With the rapid development of CMOS fabrication technologies, the thickness of silicon–oxide layer has been increased and copper interconnects have been widely implemented. Therefore, we have more freedoms to develop miniature inductors that can have high performance. This is the academic motivation behind the present study. In this paper, the vertically topological issue is first addressed in the realization of silicon on-chip multispiral stacked inductors. Effective methodologies to characterize their frequencyand temperature-dependent parameters are introduced and investigated. These inductors, from four- to six-spiral stacked cases, were fabricated using a 0.18- m CMOS process; while inductors with a spiral number exceeding six can only be fabricated using a more advanced process such as a 90-nm CMOS process. This paper is organized as follows. In Section II, geometries of square, octagon, and circular six-spiral stacked inductors are presented, and their layouts are given as they were fabricated using standard CMOS processes. In Section III, a generalized topological distributed circuit model of an arbitrary multispiral stacked inductor is developed first in which all capacitive and inductive couplings are taken into account. In Section IV, effective methodologies for extracting all distributed model pa-

0018-9480/$25.00 © 2008 IEEE

476

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

spiral to be

, the on-chip area occupied will be . In Fig. 1(a), the metal track length of the top

layer is (2a) and the track length of the fifth layer is (2b) For two-, three-, four-, five-, and six-spiral stacked square geometries, their overall metal track lengths are given by (3a) (3b) (3c)

Fig. 1. (a) Vertical topology of a square six-spiral stacked inductor. (b) Its on-chip top view.

(3d) (3e)

rameters are proposed, and extended closed-form equations and the partial-element equivalent-circuit (PEEC) method are implemented for capturing: 1) frequency- and temperature-dependent series resistances (ac) and 2) frequency-dependent self-inductance and mutual inductance (ac) of spirals. In Section V, modeling and experimental results are compared so as to verify the model accuracy. Some conclusions of this study are finally drawn in Section VI. We would like to state that by using our developed model, both the inductance and factor of a multispiral stacked inductor can be captured with extremely high precision over the frequency beyond its self-resonant frequency. II. GEOMETRIES OF MULTISPIRAL STACKED INDUCTORS Different from the traditional multispiral stacked inductors with single-via central interconnect proposed in [1], but similar to that given in [2], Fig. 1(a) shows the 3-D view of the vertical topology of a square six-spiral stacked inductor. Its die photograph is shown in Fig. 1(b). This inductor was fabricated using the 0.18- m CMOS process. Its inner dimension was designed m, metal track width of m, and track to be m. The metal track thicknesses of each spacing of layer, represented by , respectively, is determined by the fabrication process itself. The vertical via-heights be, , , , tween different metal layers are denoted by , respectively. The electrical conductivity of all metal and tracks and vias is given by , and is the operating temperature. As indicated in [16], over the temperature range from of aluminum, copper, gold, etc. can be 200 to 900 K, the described by (1) where all coefficients are given in [16]. It is evident that the on-chip area occupied by this square six-spiral stacked inductor is m , and the spirals at different metal layers embedded , and , respectively, in silicon oxide, represented by occupy the same area. If we increase the turn number of the top

As the topology is extended vertically to seven-, eight-, nine-, or even ten-spiral geometries, the overall metal track length can be easily predicted based on the extended form of (2a) and (2b) and (3a)–(3e). For example, for a ten-spiral stacked case, we have (4) Also, such a square inductor can be designed as the vertical topology of octagon and circular geometries, as shown in Figs. 2(a) and (b), respectively. means the inner turn In Figs. 1 and 2, the turn name rotates down to at metal layer , while means the outer turn at rotates up . The on-chip area, occupied by the circular spirals to and is given by , and the at overall metal track length can be obtained by (2a) and (2b) and (3a)–(3e) by letting (5) For an octagon geometry in Fig. 2(a), we have (6) As the metal layer or spiral number further increases to seven-, eight-, nine-, or ten-spiral cases, a more advanced CMOS process will be used, such as 90-nm technology. For the purpose of comparison, Fig. 3(a) and (b) shows the cross-sectional views of metal layers in the standard 0.18- m and 90-nm processes with aluminum and copper interconnects implemented, respectively. III. TOPOLOGICAL DISTRIBUTED CIRCUIT MODEL The above miniature inductors can be regarded as the vertical topology of single spiral, and their distributed circuit model must reflect such a topological feature. Having captured this key point, a generalized -layer topological distributed circuit

YIN et al.: VERTICAL TOPOLOGIES OF MINIATURE MULTISPIRAL STACKED INDUCTORS

477

Fig. 2. Vertical topologies of: (a) octagon and (b) circular six-spiral stacked inductors.

model for these miniature inductors, fabricated using a 0.18- m, as well as 90-nm CMOS process, is shown in Fig. 4. The following should be emphasized. represent the capacitances 1) The elements between adjacent turns in the same layer, respectively; and are for 0.18- m and 90-nm CMOS processes, respectively. and 2) The elements account for the capacitive couplings between neighboring is the turns at adjacent metal layers, respectively, and port-to-port capacitance. 3) Due to the self-shielding property in the multispiral stacked geometry, the electric field generated by the spirals at upper layers will be effectively shielded by the spirals at adjacent lower layers. Therefore, only capacitive coupling between spirals at neighboring metal layers are considered. 4) In order to obtain a dc distributed circuit model as for the single-spiral case [6], each block of and consists of a frequency-indepen, , , dent ladder circuit formed by and , , , , respectively, by which parasitic effects such as skin and

Fig. 3. Cross-sectional views of metal layers in the: (a) 0.18-m and (b) 90-nm CMOS processes.

proximity effects can be treated appropriately [6]. These elements can be calculated by a certain asymptotic approach as given by [17]

(7a)

(7b)

(7c)

478

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 4. Generalized topological distributed circuit model of a miniature -spiral stacked inductor.

N

Fig. 5. Distributed circuit model of a square two-spiral stacked inductor, which is different from that of single-via central interconnect case [4].

(7d) , , 5) There are multiple inductive couplings among , and ; and both in the horizontal and vertical directions. , , and ( and ) are 6) The capacitances similar as in the circuit model of the single-spiral inductor, which account for capacitive coupling and loss effects in the silicon oxide and silicon substrate, respectively. can be determined by (8) where is the separation between the metal layer and the top surface of the silicon substrate (Fig. 3). The can be evaluated by [18] capacitances

(9) and, therefore,

(10)

where cm is the silicon resistivity, is . Compared to the permittivity of silicon, and octagon and circular geometries with the same paramechosen, the above square inductor has the ters longest metal track length and occupies the largest on-chip area. Therefore, it has the largest values of silicon–oxide and silicon capacitances , but capacitances . with the smallest silicon resistance The above compact circuit model can be easily modified in the vertical and horizontal directions if the spiral and turn numbers further increase. For the simplest two-spiral stacked geometry [see Fig. 5(a)], its distributed circuit model is obtained and shown in Fig. 5(b), where the top and bottom spirals are located at metal layer M6 and M5, respectively. IV. DISTRIBUTED PARAMETER EXTRACTION Since there are multiple capacitive and inductive couplings among different spirals, as well as between spirals and the silicon substrate, it is much more difficult to capture all parasitic parameters accurately than that of single-spiral geometry. We know that in [1] and [2], the main studies have been focused on the capacitance calculations in multispiral stacked inductors; hence, our attention will be focused on conductive losses and inductive couplings. Thus, frequency- and temperature-dependent resistances and inductances must be characterized in the above geometries. 1) DC Inductance: The total dc inductance of a miniature -layer inductor consists of its dc self-inductance and mutual inductance among all spirals, which are denoted by

YIN et al.: VERTICAL TOPOLOGIES OF MINIATURE MULTISPIRAL STACKED INDUCTORS

479

and , respectively. is the summation of dc self-inductances of all spirals, and it can be calculated using some extended closed-form equations for single-spiral, such as proposed more recently by Asgaran [19] and Jenei et of six-, , and ten-spiral al. [20]. In our case, the stacked inductors is calculated by Fig. 6. Mutual inductive coupling between two parallel metal tracks.

. For a polygonal or octagon spiral-stacked inductor in Fig. 3(b), we have [19],

(20) (11) (21) (12) .. .

.. . (13)

(14)

, and where of equations as follows [19]:

(22)

is further calculated by a set

and for the octagon case. The total temperature-dependent dc resistance of the multispiral stacked inductors above can be calculated by the wellknown resistance formula where

(15)

(16) (23) (17) (18)

represents the metal track cross-sectional area of where the th-spiral, and is the temperature-dependent dc resistance of all metal vias [21]–[23]. The mutual inductance between two parallel metal tracks (Fig. 6) can be determined by

(24a) and (19)

(24b)

where is the integer part of the turn number of the th-layer spirals, , and

where and represent the lengths of two metal tracks, respectively. Based on (24a) and (24b), of a square multispiral stacked geometry can be calculated numerically.

480

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

N

Fig. 7. DC inductance as a function of metal layer or spiral number ( ) of the square miniature inductors.

2

Fig. 8. R = L =L ( 100%) as a function of metal layer or spiral number (N ) for different metal track spacings.

Therefore, and of some miniature inductors are calculated and compared, as shown in Figs. 7–10. to ) In Fig. 7, the measured inductances ( are obtained from the four-, five-, and six-spiral inductors fabricated using the 0.18- m CMOS process [see Fig. 3(a)] with m, m, and m. It is evident that good agreement is obtained between the measured and calculated dc inductances. The contribution of mutual inductive couamong different square spirals to the total dc pling is plotted in Fig. 8 for inductance and m cases, respectively. The increase in spacing between two neighboring metal tracks will reduce their mutual inductive coupling and, therefore, is reduced with spacing increasing at a given value of . Fig. 9(a) and (b) shows the calculated total dc resistances of two inductors as a function of the spiral number, respectively. As we expected, both resistances increase linearly with the increasing spiral number. Since the electrical conductivities of all metals decreases with temperature increasing, increases with temperature as is given.

Fig. 9. DC resistance as a function of spiral number (N ) of two square inductors made of: (a) aluminum and (b) copper at different temperatures, respectively.

Fig. 10. DC inductances of square and circular inductors made of copper as a function of metal layers or spiral number (N ).

Fig. 10 shows the dc inductances of square and circular miniature geometries with the same geometrical parameters used above. It is known that at the given values of , , and , the total track length of the square geometry is longer than that of its circular counterpart and, therefore, with the same is large slightly. value of , its

YIN et al.: VERTICAL TOPOLOGIES OF MINIATURE MULTISPIRAL STACKED INDUCTORS

2) AC Inductances and Resistances: There is no analytical equation for predicting ac inductances and resistances of singlespiral or multispiral stacked inductors. We must look for an appropriate numerical solution for ac inductance and resistance in which mutual inductive couplings among all spirals must be carefully considered. Therefore, the PEEC method will be used to handle such complex geometries. The PEEC method is a numerical approach that meshes metallic structures into small segments, and then solves them in a discrete manner. Some key points in its application are summarized briefly as follows. seg1) Each turn of the metal spiral is discretized into , and for a six-spiral square stacked ments and case [see Fig. 1(a)], we have segments in the sixth, fifth, , first metal layer, respectively; for the octagon case in Fig. 2(a) the segments are and ; while for the circular case in Fig. 2(b), each circular spiral can be treated as a -side regular polygon approximately, and under such cir. The total segcumstances, . As an example, the segments is ments and discretization of a square multispiral stacked geometry is shown in Fig. 11(a). filaments, and 2) Each segment is further divided into depends on the operating frequency and accuracy required; the higher the frequency, the larger the number will be. As the thicknesses of each metal layer of could be different, could be different from , or , etc. 3) The current flowing through each segment of all spirals is assumed to be a constant with an equivalent PEEC and model plotted in Fig. 11(b), in which ( ; ; ) in each branch of series interconnect represent the self-inductance and resistance of the filament, respectively. 4) The mutual inductances among different filaments in , but they are supFig. 11(b) are represented by pressed here. 5) In order to reduce the complexity in mathematical treat, and it is noted ment, we define , , and for square, octagon, and cirby cular geometries, respectively. According to Ohm’s law, the voltages and currents of all segments in Fig. 11(a) can be expressed by

481

Fig. 11. (a) Segmentation and discretization of a square miniature inductor. (b) Its PEEC model in which eddy-current loss effects in silicon substrate are excluded.

where and represents the dc resistance and inductance of the th filament in the th segment, respectively; is the mutual inductance between the th filament of the th segment and the th filament of the th segment. For each branch in Fig. 11(b), we have and

(27a) (27b)

.. .

.. .

.. .

..

.

.. .

.. .

(25) where and represent the voltage and current matrices segment, and they are a -dimenof the th is a impedance matrix of , sional vector, respectively; and is given by

else

(26)

where and are the voltage and current of each segment, respectively. Inverting (25) and after some mathematical treat-matrix, and the ments, we can obtain each element in the series resistance and inductance are computed by (28a)

(28b)

482

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 12. (a) AC inductance and (b) resistances of four-layer spirals made of aluminum as a function of frequency.

It should be emphasized that the impacts of eddy currents in silicon substrate on both resistance and inductance are all excluded, and they only represent the ac resistance and inductance of the spiral part. V. NUMERICAL AND EXPERIMENTAL RESULTS We should proceed with numerical computation by using the PEEC method above for computing both dc and ac inductances and resistances of some typical miniature inductors, through which skin and proximity effects will be taken into account. A. Numerical Results and The convergence in using the PEEC to compute has been checked by comparing different discrete manand of a square four-layer spirals ners. Fig. 12 shows made of aluminum as a function of frequency, respectively, with m, m, and m. In the implementation of the PEEC method, the cross section of the above inductor filaments. The discretization numbers is divided into and are determined mainly based on the skin depth, and are defined by (29)

Fig. 13. Mutual inductances between two spirals in the miniature two- to sixlayer spirals made of aluminum as a function of frequency. (a) Square and (b) circular.

where is the track width, is the track thickness, is the represents the integer part of the variskin depth, and able. The cross section of such miniature inductor is divided into , 7 2 , 8 2 , and 8 3 filaments, , respectively. It is shown that as we choose both inductance and resistance are convergent and their frequency-dependent characteristics are captured accurately. If the metal track width is increased from 5 to 10 m, we need to as the operating frequency is inchoose creased up to 10 GHz, but the corresponding results are suppressed here. Fig. 13(a) and (b) shows the computed mutual inductances between two spirals designed using a standard 0.18- m denotes that the top and CMOS process, respectively. bottom spirals are located at the metal layer and ( ), respectively. In our computation, the cross filaments, section of all spirals is divided into with a 20-side regular polygon chosen to replace the circular among different spirals can geometry. It is shown that the be considered as approximately frequency independent. At a decreases gradually with increasing sepgiven frequency, . aration between the top and bottom spirals, and

YIN et al.: VERTICAL TOPOLOGIES OF MINIATURE MULTISPIRAL STACKED INDUCTORS

Fig. 14. Total ac resistances of the square three- to six-layer spirals made of aluminum as a function of frequency.

483

Fig. 16. Total ac inductances of five- to ten-layer square spirals made of copper as a function of frequency.

sitic effects in the silicon substrate are all excluded in our computation, no self-resonant is shown up to 10 GHz in Fig. 16. However, in real silicon-based multispiral stacked inductors, their self-resonant frequencies are usually lower than that of their single-spiral counterpart due to hybrid high-order parasitic effects in the multilayer spirals and in the silicon substrate. Using (8)–(10), the overall capacitance in silicon oxide , the capacitance in silicon substrate , and the have been evaluated for silicon substrate resistance square four-, five-, and six-spiral inductors, respectively, with and fF; fF, and obtained. B. Experimental Results Fig. 15. Relative increase of resistance as a function of temperature for threeand six-layer spiral-stacked inductors at 5 and 10 GHz, respectively.

Since the overall metal track length of a two-square spiral is longer than that of its circular counterpart with the same inner is larger dimension, track width, and track spacing, . than Fig. 14 shows the computed ac resistances of three- to six-layer square and circular spirals. The three-layer geometry , means that three spirals are located at the metal layers , and , respectively, and the six-layer geometry is the same as shown in Fig. 1(a). It is obvious that the total resistance increases significantly with increasing frequency. , owing to skin and The relative increase in resistance proximity effects, is defined by

On-wafer two-port -parameters of the fabricated fourto six-layer miniature inductors were measured using an HP-8510C network analyzer, and Cascade Microtech ground–signal–ground (G–S–G) probes with a temperature-controlled chuck. The chuck temperature can be increased from 223 K to as high as 473 K. In order to reduce the effect of increasing temperature on the probe station during our measurement, two-time calibrations at room- and higher temperatures have been done, respectively. The standard deembedding procedure has been employed so as to remove on-chip factor of the pad parasitic effects, and the inductance and miniature inductors are extracted by (31) and

(30)

(32)

The relative increase in resistance of three- and six-layer inductors at 5 and 10 GHz at different temperatures is shown in Fig. 15. Fig. 16 shows the computed total ac inductances of five- to ten-layer square spirals designed using a 90-nm CMOS process with the same values of , , and , as above. Since the para-

where is obtained from the measured -parameters. The simulated inductance and factor are obtained according to our proposed topological distributed circuit model in Fig. 4. Fig. 17 shows the full comparisons among the PEEC, modeled, and measured ac inductances of three miniature inducK, respectively. Our modeling tors up to 20 GHz at

484

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 17. Measured and modeled inductances of three miniature inductors as a function of frequency.

Fig. 19.

Q

as a function of temperature for six-spiral stacked inductor.

The relative decrease in

is defined by K K

In Fig. 19, .

K

and

(33) K

VI. CONCLUSIONS

Fig. 18. Measured and modeled function of frequency.

Q factors of three miniature inductors as a

process was performed with the help of Agilent’s commercial Advanced Design System (ADS) software, and we would like to say that the present model is able to provide high-precision performance prediction of these multispiral stacked inductors over a wide frequency range exceeding the first self-resonant frequency, while the PEEC method is only applicable for the low-frequency range. Fig. 18 shows the comparison between the modeled and meafactor for three miniature inductors at K, sured and it is further demonstrated that the present model can be exploited for capturing the performance parameters of these inductors with high precision. factor Finally, Fig. 19 shows the captured maximum of the six-spiral stacked inductor with temperature increasing from 300 to 333 and 373 K, respectively. It is decreases almost linearly with temperature shown that increasing. It can be understood that: 1) over the narrow temperature range from 300 to 373 K, the electrical conductivity of aluminum decreases with temperature linearly and 2) the rise in temperature results in increasing the conductive loss of multispiral stacked inductor; which further causes the decrease in the factor.

In this paper, a generalized topological distributed circuit model has been developed for accurately capturing the performance parameters of on-chip silicon miniature multispiral stacked inductors, which can be fabricated by the 0.18- m or advanced 90-nm CMOS process. The PEEC method combined with some extended analytical equations has been implemented in characterizing frequency- and temperature-dependent resistances and inductances. In our approach, mutual inductive couplings among all spirals have been treated appropriately. It is experimentally demonstrated that, according to the measured two-port -parameters of the fabricated four- to six-spiral stacked inductors using the 0.18- m CMOS process, excellent agreement is achieved between the modeled and measured factors over a wide frequency range beinductances and yond self-resonant frequencies. Therefore, our distributed circuit model shows excellent scalability, and it is applicable for modeling various multispiral stacked geometries used in the development of RFICs with a much smaller on-chip area occupied. REFERENCES [1] A. Zolfaghari, A. Chan, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620–628, Apr. 2001. [2] C. C. Tang, C. H. Wu, and S. I. Liu, “Miniature 3-D inductors in standard CMOS process,” IEEE J. Solid-State Circuits, vol. 37, no. 4, pp. 471–480, Apr. 2002. [3] P. Park, C. S. Kim, M. Y. Park, S. D. Kim, and H. K. Yu, “Variable inductance multilayer inductor with MOSFET switch control,” IEEE Electron Devices Lett., vol. 25, no. 3, pp. 144–146, Mar. 2004. [4] W. Y. Yin, S. J. Pan, L. W. Li, and Y. B. Gang, “Modeling of on-chip circular double-spiral stacked inductors for RFICs,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 150, no. 6, pp. 463–469, 2003. [5] M. Danesh and J. R. Long, “Differentially driven symmetrical microstrip inductors,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 332–341, Jan. 2002.

YIN et al.: VERTICAL TOPOLOGIES OF MINIATURE MULTISPIRAL STACKED INDUCTORS

[6] Y. Cao, R. A. Groves, X. Huang, N. D. Zamdmer, J. O. Plouchart, R. A. Wachnik, T. J. King, and C. Hu, “Frequency-independent equivalentcircuit model for on-chip spiral inductor,” IEEE J. Solid-State Circuits, vol. 38, no. 3, pp. 419–426, Mar. 2003. [7] C. H. Wu, C. C. Tang, and S. I. Liu, “Analysis of on-chip spiral inductors using the distributed capacitance model,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 1040–1044, Jun. 2003. [8] J. H. Gau, S. Sang, R. T. Wu, F. J. Shen, H. H. Chen, and A. Chen, “Novel fully symmetrical inductor,” IEEE Electron Device Lett., vol. 25, no. 9, pp. 608–609, Sep. 2004. [9] C. A. Chang, S. P. Tseng, J. Y. Chung, S. S. Jiang, and J. A. Yeh, “Characterization of spiral inductors with patterned floating structures,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1375–1381, May 2004. [10] A. C. Watson, D. Melendy, P. Francis, K. Hwang, and A. Weisshaar, “A comprehensive compact-modeling methodology for spiral inductors in silicon-based RFICs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 849–857, Mar. 2004. [11] J. X. Zhao and J. F. Mao, “Parameters extraction and modeling for planar spiral inductor on Si–SiO2 substrates by DDM for conformal modules,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1763–1766, Jun. 2004. [12] N. A. Talwakar, C. P. Yue, and S. S. Wong, “Analysis and synthesis of on-chip spiral inductors,” IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 176–182, Feb. 2005. [13] C. C. Y. Lee, Y. H. Xie, K. W. Yu, D. Huang, and M. C. F. Chang, “High-performance inductors integrated on porous silicon,” IEEE Electron Device Lett., vol. 26, no. 2, pp. 93–95, Feb. 2005. [14] F. Ling, V. I. Okhmatovski, W. Harris, S. McCracken, and A. Dengi, “Large-scale broadband parasitic extraction for fast layout verification of 3-D RFIC and mixed on-chip structures,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 264–273, Jan. 2005. [15] T. O. Dickson, M. A. LaCroix, S. Boret, D. Gloria, R. Beerkens, and S. P. Voinigescu, “30–100 GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 123–133, Jan. 2005. [16] J. L. Shi, W. Y. Yin, K. Kang, J. F. Mao, and L. W. Li, “Frequencythermal characterization of on-chip transformers with patterned ground shields,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 1–12, Jan. 2007. [17] S. Sun, R. Kumar, S. C. Rustagi, K. Mouthaan, and T. K. S. Wong, “Wideband lumped element model for on-chip interconnects on lossy silicon substrate,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2006, pp. 4–8. [18] A. Goni, J. D. Pino, B. Gonzalez, and A. Hernandez, “An analytical model of electric substrate losses for planar spiral inductors on silicon,” IEEE Trans. Electron Devices, vol. 54, no. 3, pp. 546–553, Mar. 2007. [19] S. Asgaran, “New accurate physics-based closed-form expressions for compact modeling and design of on-chip spiral inductors,” in 14th Int. ICM Conf., Dec. 11–13, 2002, pp. 247–250. [20] S. Jenei, B. K. J. C. Nauwelaers, and S. Decoutere, “Physics-based closed-form inductance expressions for compact modeling of integrated spiral inductors,” IEEE J. Solid-State Circuits, vol. 37, no. 1, pp. 77–80, Jan. 2002. [21] A. W. Mathis, A. F. Peterson, and C. M. Butler, “Rigorous and simplified models for the capacitance of a circularly symmetric via,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 10, pp. 1785–1878, Oct. 1997. [22] E. E. Laermans, J. D. Geest, D. D. Zutter, F. Olysager, S. Sercu, and D. Morlion, “Modeling differential via holes,” IEEE Trans. Adv. Packag., vol. 24, no. 3, pp. 357–363, Mar. 2001. [23] D. Kim, K. Kim, S. C. Choi, J. Lim, J. H. Park, L. Choi, S. W. Hwang, and S. H. Lee, “Characterization and modeling of a new via structure in multilayered printed circuit boards,” IEEE Trans. Adv. Packag., vol. 26, no. 2, pp. 483–487, Feb. 2003. Wen-Yan Yin (M’99–SM’01) received the M.Sc. degree in electromagnetic field and microwave technique from Xidian University (XU), Xi’an, China, in 1989, and the Ph.D. degree in electrical engineering from Xi’an Jiaotong University (XJU), Xi’an, China, in 1994. From 1993 to 1996, he an Associate Professor was with the Department of Electronic Engineering, Northwestern Polytechnic University (NPU). From 1996 to 1998, he was a Research Fellow with the Department of Electrical Engineering, Duisburg

485

University, during which time he worked under a grant from Alexander von Humboldt-Stiftung of Germany. In December 1998, he became a Research Fellow with the Monolithic Microwave Integrated Circuit (MMIC) Modeling and Packing Laboratory, Department of Electrical Engineering, National University of Singapore (NUS), Singapore. In March 2002, he joined the Temasek Laboratories, NUS, as a Research Scientist and the Project Leader of high-power microwave and ultra-wideband electromagnetic compatibility (EMC)/electromagnetic interference (EMI). In April 2005, he joined the School of Electronic Information and Electrical Engineering, Shanghai Jiao Tong University (SJTU), Shanghai, China, as a Chair Professor of electromagnetic fields and microwave techniques. He is also the Director of the Center for Microwave and RF Technologies, SJTU. He is on the Editorial Board and is a Reviewer for Radio Science and the Journal of Electromagnetic Waves and Applications. He has authored or coauthored over 130 international journal papers, including 15 book chapters. One chapter on “Complex Media” is included in the Encyclopedia of RF and Microwave Engineering (Wiley, 2005). His main research interests are electromagnetic characteristics of complex media and their applications in engineering, EMC, EMI, and electromagnetic (EM) protection, on-chip passive and active MM(RF)IC device testing, modeling, and packaging, ultra-wideband interconnects and signal integrity, and nanoelectronics. Dr. Yin is a reviewer for five IEEE TRANSACTIONS and the Proc. IEE, Microw., Antennas, Propag. (Part H). He is the technical chair of Electrical Design of Advanced Packaging and Systems–2006 (EDAPS’06), technically sponsored by the IEEE Components, Manufacturing, and Technology (CPMT) Subcommittee.

Jian-Yong Xie was born in Heibei, China, in April 1982. He received the B.S. degree in communications engineering from Jilin University, Changchun, China, in 2005, and is currently working toward the M.S. degree at the Center for Microwave and RF Technologies, Shanghai Jiao Tong University (SJTU), Shanghai, China. His research interests are focused on novel siliconbased passive device design, modeling, and application for RF integrated circuits (RFICs) and MMICs.

Kai Kang was born in Xi’an, China, on November, 1979. He received the B.Eng degree in electrical engineering from Northwestern Polytechnical University, Xian, China, in 2002, and is currently working toward the Ph.D. degree under a joint Ph.D program between the National University of Singapore and Ecole Supérieure D’électricité, Paris, France. From 2003 to 2006, he was a Research Scholar with the National University of Singapore. From 2005 to 2006, he was with the Laboratoire de Génie Electrique de Paris. Since October 2006, he has been a Research Engineer with the Institute of Microelectronics, Singapore. His research interests are modeling of on-chip interconnects and devices and millimeter-wave circuit design on CMOS.

Jinglin Shi received the B.Eng. and M.Eng. degrees in electronics engineering from Tianjin University, Tianjin, China, in 1993 and 1996, respectively, and Ph.D. degree from the National University of Singapore, Singapore, in 2001. Since September 2000, she has been a Senior Research Engineer with the Integrated Circuits and Systems Laboratory, Institute of Microelectronics, Singapore. Her research interests include characterization and modeling of active and passive devices in deep-submicrometer BiCMOS and CMOS technologies, device noise and substrate coupling, and novel design and device optimization for high-frequency applications and millimeter-wave circuit design.

486

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Jun-Fa Mao (M’92–SM’98) was born in 1965. He received the B.S. degree from the University of Science and Technology of National Defense, Changsha, China, in 1985, the M.S. degree form the Shanghai Institute of Nuclear Research, Academic Sinica, Shanghai, China, in 1988, and the Ph.D. degree from Shanghai Jiao Tong University (SJTU), Shanghai, China, in 1992. Since 1992, he has been a faculty member with the Department of Electronic Engineering, SJTU, where he is currently a Professor. From 1994 to 1995, he was a Visiting Scholar with the Chinese University of Hong Kong, Hong Kong. From 1995 to 1996, he was a Post-Doctoral Researcher with the University of Californian at Berkeley. From 1999 to 2005, he was the Associate Dean of the School of Electronic, Information and Electrical Engineering, SJTU. His research interests include the interconnect problem of high-speed integrated circuits, novel microwave components, and circuits. He has authored or coauthored over 200 papers and coauthored a book. Dr. Mao is a Cheung Kong Scholar of the Ministry of Education, China, the associate chair of the Microwave Society of China, and the associate director of the China Institute of Electronics on Microwave Society. He was the chair of the IEEE Shanghai Subsection (2004–2005) and the general chair of Electrical Design of Advanced Packaging and Systems–2006 (EDAPS’06), technically sponsored by the IEEE CPMT Subcommittee. He was the recipient of the 2004 Second-Class National Natural Science Award of China and the 2005 First-Class Natural Science Award of Shanghai, China. He is the 2007–2008 chair of the IEEE Shanghai Section. He was a topic expert of the High-Tech Program of China (2001–2003).

Xiao-Wei Sun (A’04–M’05) was born in Beijing, China, in 1958. She received the B.S., M.S., and Ph.D. degrees in electrical engineering from Xi’an Jiaotong University (XJU), Xi’an, China, in 1982, 1984, and 1996, respectively. In 1997, she joined the Institute of Microsystems and Information Technology, Chinese Academy of Sciences, Singapore. She is currently the Professor and the Director of the Laboratory of RF and Microsystem Technology. Since 1984, her research fields have focused on microwave and millimeter-wave device and MMIC design, process, and measurement.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

487

A Novel Approach to the Design and Implementation of Dual-Band Power Divider Kwok-Keung M. Cheng, Senior Member, IEEE, and Carlos Law

Abstract—This paper presents the design of a new Wilkinson power divider for dual-band application. The proposed circuit also features a simple structure with realistic impedance values, distributed design with reduced parasitic effect (in compared to lumped component), and exact solution (ideal characteristics). Closed-form design equations are derived using the even- and odd-mode formulation. For verification, the measured results of a microstrip power divider operating at 1 and 2 GHz is shown. Index Terms—Distributed circuit, dual band, power divider.

I. INTRODUCTION OWER dividers are frequently used in microwave and millimeter-wave circuits such as the feeding network for an antenna array. In recent years, various dual-band circuits such as couplers and filters based upon lumped and distributed elements have been reported [1]–[9]. The power divider topology shown in Fig. 1(a) was proposed [5] by using a two-section transformer [6] with the main drawback of poor output return loss and port isolation. In Fig. 1(b), a modified circuit [7], [8] was shown for attaining an ideal response by the addition of the two lumped components (inductor and capacitor). Recently, an alternative design [9] was also proposed, which is based upon the transmission line only. As depicted in Fig. 1(c), its major shortfalls are the enlarged size (a half-wavelength shunt stub is needed), required as well as the extremely low impedance at small frequency band separation . In this paper, a new power divider circuit for dual-band operation is introduced. Its main features include: 1) distributed structure (reduced loss); 2) ideal return loss and port isolation (exact solution is available); 3) circuit construction using realistic impedance values; 4) flexible layout design; and 5) the presence of a transmission zero for unwanted signal suppression. In Section II, the analysis of the proposed divider is formulated with design equations derived. Furthermore, issues such as practical impedance range and the effect of component tolerances on circuit performance are addressed. For verification, the experimental results of a dual-band power divider implemented using a microstrip are given in Section III.

P

Manuscript received June 20, 2007; revised October 15, 2007. This work was supported by the Research Grants Council of the Hong Kong Special Administrative Region (HKSAR) under Grant CUHK411305/[2006]. The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]. edu.hk; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914629

Fig. 1. Conventional dual-band power divider design.

II. NEW DUAL-BAND POWER DIVIDER DESIGN AND ANALYSIS Fig. 2 shows the schematic diagram of the proposed dual-band Wilkinson power divider. It basically consists of four and ), an open-cirquarter-wavelength branch-lines ( , and an isolation cuited quarter-wavelength shunt stub . Unlike those conventional designs, this structure resistor offers increased flexibility regarding the layout design. For analysis purposes, the proposed circuit is replaced by their half-circuits using even- and odd-mode (Fig. 3) representation. A. Even-Mode Analysis As depicted in Fig. 3(a), the even-mode half-circuit is composed of a serial branch-line and two shunt elements ( and ). Mathematically, the -parameters of this half-circuit can simply be derived as [10]

0018-9480/$25.00 © 2008 IEEE

(1)

488

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Subsequently, the input impedance of the circuit may thus be expressed as (9) If the network is assumed to be reciprocal and lossless ( and are real; and are imaginary numbers), (9) can, therefore, be rewritten as (10) By combining (3), (4), (6)–(8), and (10), we obtain Fig. 2. Proposed dual-band power divider topology.

(11) (12) where (13)

B. Odd-Mode Analysis In referring to the diagram shown in Fig. 3(b), the output admittance of the half-circuit is simply equal to (14) By equating real and imaginary parts, the above expression may thus be reduced to (15) (16) In addition, (16) can also be written as (17) Fig. 3. Half-circuit of power divider. (a) Even mode. (b) Odd mode.

Finally, (12) and (17) are combined to give the following quadratic equation in variable :

where

(18) (2) Hence, the solution of (18) is simply given by (3) (4)

(19)

(5)

A closer inspection of (11), (13), (15), (17), and (19) reveals that they are all functions of , and the circuit has the same solution evaluated at the center frequencies of both the upper and lower operating bands (i.e., and )

After some algebraic manipulation, the above matrix equation can be expanded to give (6) (7) (8)

(20) (21)

CHENG AND LAW: NOVEL APPROACH TO DESIGN AND IMPLEMENTATION OF DUAL-BAND POWER DIVIDER

Fig. 4. Circuit parameters versus frequency ratio (Z 0

Fig. 5. Simulated input return loss (f

= 50 ).

489

Fig. 6. Simulated output return loss (f

= 1 GHz, f = 2 GHz).

= 1 GHz, f = 2 GHz).

Fig. 7. Simulated port isolation (f

= 1 GHz, f = 2 GHz).

Fig. 8. Simulated insertion loss (f

= 1 GHz, f = 2 GHz).

where

(22) Consequently, the corresponding design parameters can, therefore, be evaluated by the following formulas: (23) (24) (25) (26) (27) calcuFig. 4 shows the circuit parameters . It is observed that lated as a function of frequency ratio the proposed power divider can easily be constructed to operate 2.7) with practical over a wide range of frequency ratio (1.3 90 and resistor value 150 450 . line impedance 30 Figs. 5–8 presents the simulated frequency response of a GHz, GHz) designed using ideal power divider ( circuit elements. From the previously derived formulas, the

values of , , , and are found to be 57.7, 57.7, 43.3, and 200 , respectively. In order to investigate the effect of component tolerances on the circuit behavior, simulated results are also plotted on by using different values of the same graphs for comparison, with very small degradation in performance found. Table I shows the calculated design parameters of various circuit topologies including both the conventional (Fig. 1) and proposed structures. These results reveal that the second and

490

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I CIRCUIT PARAMETERS VERSUS DIFFERENT FREQUENCY RATIO

Fig. 9. Fabricated power divider.

TABLE II OPERATING BANDWIDTH VERSUS DIFFERENT CIRCUIT TOPOLOGIES

Fig. 10. Simulated and measured input return loss.

TABLE III OPERATING BANDWIDTH UNDER DIFFERENT PERFORMANCE REQUIREMENTS (PROPOSED DESIGN)

third design examples will require extreme impedance/inductor/ capacitor values in circuit construction. For comparative purposes, their operating bandwidths were also extracted (Table II) based on ideal circuit elements. The first design is unable to satisfy the condition for bandwidth evaluation. The second divider (semilumped) exhibits the largest bandwidth of around 160 MHz. This proposed circuit can offer an operating bandwidth of approximately 40 MHz, which may be applicable to a dual-band system with narrowband operation such as the design of a reader for microwave RF identification (RFID) application.

Fig. 11. Simulated and measured output return loss. —: electromagnetic simulation (S , S ), : measured S , : measured S .

Table III shows the available bandwidth of the proposed divider extracted based upon different performance requirements. III. EXPERIMENTAL RESULTS For verification, a dual-band power divider, designed to operate at 1 and 2 GHz, was prototyped on a substrate with a di-

CHENG AND LAW: NOVEL APPROACH TO DESIGN AND IMPLEMENTATION OF DUAL-BAND POWER DIVIDER

491

950 MHz (measured), 1970 MHz (simulated), and 1910 MHz (measured). The discrepancies between the simulated and measured results were caused mainly by the limited accuracy of the etching process used. Moreover, input and output return losses of over 24 dB were found at the two center frequencies. Port isolation of over 28 dB and insertion loss of less than 3.3 dB (including connector loss) was also observed. According to the measured results, this divider was found to exhibit an operating bandwidth of over 60 MHz, with an assumed passband ripple of 0.5 dB and a minimum return loss/port isolation of 15 dB. IV. CONCLUSION Fig. 12. Simulated and measured port isolation.

The design theory and implementation of a new fully distributed dual-band power divider has been described. The proposed circuit can easily be constructed by using a low-cost manufacturing process and off-the-shelf component over a wide range of frequency ratio. Good agreement between the simulated and measured results has been observed.

REFERENCES

Fig. 13. Simulated and measured insertion loss (lower and upper bands). —: electromagnetic simulation (S , S ), : measured S , : measured S .

electric constant of 3.38 (Fig. 9). From (23)–(27), the values of , , , and were found to be approximately 57.7, 57.7, 43.3, and 200 , respectively. For increased accuracy, the layout was fine tuned by employing an electromagnetic simulator to take into account the effect of junction discontinuities. Scattering parameter measurements were performed using an HP8510C network analyzer over the frequency range from 0.5 to 3 GHz. Figs. 10–13 show the frequency responses of the divider in which the center frequencies of the lower and upper bands were found to be, respectively, 980 MHz (simulated),

[1] R. J. Cameron, M. Yu, and Y. Wang, “Direct-coupler microwave filters with single and dual stopbands,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3288–3297, Nov. 2005. [2] K. K. M. Cheng and F. L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [3] J. H. Sung, G. Y. Kim, S. H. Son, H. J. Lee, Y. J. Song, Y. W. Jeong, H. S. Park, and D. Ahn, “Design method of a dual-band balun and divider,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, vol. 2, pp. 1177–1180. [4] S. Avrillon, I. Pele, A. Chousseaud, and S. Toutain, “Dual-band power divider based on semiloop stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1269–1273, Apr. 2003. [5] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [6] S. Srisathit, M. Chongcheawchamnan, and A. Worapishet, “Design and realization of dual-band 3 dB power divider based on two-section transmission-line topology,” Electron. Lett., vol. 39, no. 9, pp. 723–724, May 1, 2003. [7] L. Wu, H. Yilmaz, T. Bitzer, A. Pascht, and M. Berroth, “A dual-frequency Wilkinson power divider: For a frequency and its first harmonic,” IEEE Microw. Wireless Compon. Lett., vol. 5, no. 2, pp. 107–109, Feb. 2005. [8] L. Wu, S. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [9] K. K. M. Cheng and F. L. Wong, “A new Wilkinson power divider design for dual band application,” IEEE Microw. Wireless Compon. Lett., to be published. [10] D. M. Pozar, Microwave Engineering. New York: Wiley, 2005, ch. 7. Kwok-Keung M. Cheng (S’90–M’91–SM’06) received the B.Sc. (first-class honors) and Ph.D. degree in electronic engineering from King’s College, University of London, London, U.K., in 1987 and 1993, respectively. In 1996, he joined the Department of Electronic Engineering , The Chinese University of Hong Kong, Shatin, Hong Kong, as an Assistant Professor, becoming an Associate Professor in 2001 and Professor in 2006. From 2004 to 2006, he was the Associate Dean of Engineering (Student Affairs), The Chinese University of Hong Kong. He has authored or coauthored over 40 Institution

492

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

of Engineering and Technology (IET)/IEEE journal papers. He was also a contributing author of two book chapters in MMIC Design (IEE Press, 1995) and RFIC and MMIC Design and Technology (IEE Press, 2001). His current research interests include the design of monolithic microwave integrated circuits (MMICs)/RF integrated circuits (RFICs), power amplifiers, and advanced dualband microwave circuits. Dr. Cheng was the recipient of the 1986 Siemens Prize, the 1987 Institution of Electrical Engineers (IEE) Prize, and the 1988 Convocation Sesquicentennial Prize in Engineering presented by the University of London.

Carlos Law received the B.Eng. degrees in electronic engineering from The Chinese University of Hong Kong, Shatin, Hong Kong, in 2006, and is currently working toward the M.Phil degree at The Chinese University of Hong Kong. His research interests include dual-band microwave passive component design and RFIC design.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

493

Six-Port Reflectometer Based on Modified Hybrid Couplers Ji Jun Yao, Member, IEEE, and Swee Ping Yeo

Abstract—Six-port reflectometers have traditionally employed hybrid couplers as their building blocks, but the instruments reported thus far in the literature do not comply with the optimum design specifications. This paper describes a six-port reflectometer based on four-port coplanar-waveguide couplers that have been modified so as to meet such design requirements. Additional design parameters have been incorporated so that adjustments may be made to correct for residual hardware imperfections. Laboratory tests have confirmed an operating bandwidth of 90% (from 1.1 to 2.9 GHz) for the resulting reflectometer. Index Terms—Coplanar-waveguide (CPW) couplers, six-port reflectometers.

“ has found substantial application as a six-port” [2, p. 183] has a configuration with angular separations of 90 , 90 , and 180 , while the alternative circuit also proposed by Engen “ provides a somewhat better disposition of the ” with angular separations of 90 , 135 , and 135 [2, p. 184]. There have also been attempts to search for nonstandard components such as symmetrical five-port [7] and six-port [8] couplers, but these are not commonly available. The objective of this paper is to demonstrate that it is possible phasors while reto attain 120 angular separations for the taining the use of four-port couplers as the core components of the six-port reflectometer. Our study shows that the redesign of the reflectometer circuit merely entails the modification of the four-port coupler (by simply adjusting certain coefficients of its scattering matrix).

I. INTRODUCTION II. ANALYSIS

O

NE OF the attractions of the six-port reflectometer is that it is able to determine the complex reflection coefficient of the device-under-test (DUT) from four power readings (where the subscript refers to the reflectometer port to which the power sensor is attached). Associated with each power ratio is a circle in the plane, and the unknown reflection coefficient is given by the common intersection of the three circles derived from the power measurements. It is important to site the centers of these three circles properly. As Probert and Carroll have pointed out, “the soluapproach tion becomes ill-conditioned when the centers collinearity and it is clear that phase distortion is likely to be more of a problem than amplitude distortion in causing this” [1, p. 248]. Although the design specifications spelled out by Engen [2] stipulate that the phasors representing the three circle ought to be 120 apart, the six-port reflectometer centers circuits that employ standard four-port couplers as their core components (as reported in [2]–[6]) have thus far yielded nonideal distributions; e.g., the first-generation circuit of which

Manuscript received February 28, 2007; revised August 25, 2007. This work was supported by the Academic Research Fund (AcRF) under Project Grant R-263-000-175-112). J. J. Yao was with the Electrical and Computer Engineering Department, National University of Singapore, Kent Ridge, Singapore 119260. He is now with ST Electronics, Jurong, Singapore 119260 (e-mail: [email protected]). S. P. Yeo is with the Electrical and Computer Engineering Department, National University of Singapore, Kent Ridge, Singapore 119260 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914626

One of the four-port couplers commonly employed in six-port reflectometer circuits [2]–[6] is the 180 hybrid coupler with the following scattering matrix:

(1)

During the course of our investigations, we have found that we may improve the configuration by utilizing a four-port coupler with a scattering matrix that meets the following specifications instead:

(2) In practice, however, hardware imperfections exist. We infer from symmetry and reciprocity that the scattering matrix of our proposed coupler should, in general, be rewritten in the following form in order to account for the residual waves due to imperfect isolation and return loss:

0018-9480/$25.00 © 2008 IEEE

(3)

494

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

(6) (7) Rewriting (5)–(7) as two ratios of the three phasors makes it easier for us to study their angular separations (8) (9) Fig. 1. Schematic circuit diagram of proposed six-port reflectometer where A–D are four-port couplers with scattering matrix given by (2) and where 0 and 0 are adjustable terminations.

where

For the ideal case (where there are no hardware imperfections, which may adversely affect reflectometer performance), we recand . ommend the same choice of termination for both into (8) and (9) will then reduce the exSubstituting pressions for the two ratios to and , respectively, and we thus obtain 120 angular separations for the three phasors. In the presence of hardware imperfections, however, we may option so as to retain the flexibility of resort to the adjusting either or both of the terminations to reposition the locations. Assuming that each four-port coupler’s transmission coefficients and have equal magnitudes, we are able to rewrite (8) and (9) in the following manner for our proposed six-port reflectometer: (10)

The schematic circuit diagram in Fig. 1 depicts how four of these modified four-port couplers (labeled as A–D) are to be inter-connected to function as a six-port reflectometer. As will and allow us be explained shortly, the two terminations locations when faced with residual hardware to adjust the imperfections. Starting from the scattering matrices of the various constituent components, our analysis shows that the overall six-port circuit (within the dotted system boundary marked in Fig. 1) may be represented by the following scattering matrix if the coefficients , , and of each modified four-port coupler have near-zero magnitudes, shown in (4) at the bottom of expressions this page. Further analysis yields the following , , and that are associated with the power ratios (Fig. 1):

(11) Although the phase difference should ideally be , we instead obtain , where and are constants and is the shift from midfrequency . We infer from (10) and (11) that it is possible for us to adjust positions by varying and . The following the relative possibilities may be used for either or both of these two termiterm. nations in our effort to correct for the • Delay line of length to introduce phase (12) where

and

(5)

(4)

YAO AND YEO: SIX-PORT REFLECTOMETER BASED ON MODIFIED HYBRID COUPLERS



Reactive component (where

and for capacitor for inductor

495

) (13) (14)

III. TEST RESULTS The schematic reflectometer circuit sketched in Fig. 1 permits a variety of hardware implementations. We have previously designed a reflectometer system in [9] where the four-port couplers labeled as A–D in Fig. 1 are microstrip rat-race couplers, which have been modified to meet the scattering-coeffi, as defined in (2). Although the cient specifications for laboratory tests reported in [9] have confirmed that the resulting instrument is able to yield phasors with angular separations 20 , the bandwidth of our previous prototype cannot of 120 be extended beyond 35% (due to the limitations inherent in our choice of microstrip structure), and we shall now consider a different four-port coupler for use in the six-port circuit proposed in Fig. 1. Various novel four-port couplers have been proposed over the past decade [10]–[14]. Of these, we have found the models described in [10]–[12] for the uniplanar rat-race couplers (with phase inverters) to be helpful for our redesign of the four-port couplers labeled as A–D in Fig. 1. The coplanar-waveguide (CPW) coupler structure we selected is depicted in Fig. 2(a), where the 180 phase inverter (with finite ground plane) is included to help broaden the bandwidth. Its equivalent circuit is presented in Fig. 2(b) where the phase inverter is portrayed as the box labeled 180 in the arm with characteristic impedance . Compensating elements (with air bridges separating them) have also been added in a manner similar to that employed by Dydyk in [15] for his microstrip coupler design. We utilize the ADS Momentum software to predict the scattering coefficients of this new CPW coupler. For design optimization, we have resorted to a combination of search algorithms to minimize the following error function defined in accordance with (2):

(a)

(b) Fig. 2. (a) CPW hybrid coupler with 180 phase inverter overall dimensions of 9 cm 6 cm detailed dimensions listed in Table I. (b) Equivalent circuit for CPW hybrid coupler.

2

TABLE I DIMENSIONS FOR CPW HYBRID COUPLER DEPICTED IN FIG. 2(a) AND (b)

(15) where

for where is the lowest frequency of the requisite bandwidth is the highest frequency of the requisite bandwidth.

Listed in Table I are the coupler dimensions corresponding to . Instead of opting for the default the minimum value of setting of uniform weights in (15), we have chosen so as to place more emphasis on the matching, directivity, and phase of the CPW coupler. Although different values have been chosen as initial inputs for the characteristic impedances of the coupler arms, the final values , and returned by the design optimization software of are within 5% of each other. We note from the measured results plotted in Fig. 3 that our new coupler (fabricated on a Rogers 6010LM substrate with relative permittivity of 10.2, loss tangent of 0.002, and thick, , , and ness of 50 mil) yields

496

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 4. Rearrangement of proposed six-port reflectometer circuit (Fig. 1) employing CPW hybrid couplers [see Fig. 2(a) and (b)]. (a) Schematic circuit. (b) Layout (17 cm 13 cm).

2

Fig. 3. Plots of scattering coefficients (predicted by ADS Momentum and measured by HP8510C) for CPW hybrid coupler depicted in Fig. 2(a) and (b) with dimensions listed in Table I. (a) Transmission coefficients. (b) Return loss and isolation. (c) Phase difference between ports.

from 1.2 to 2.8 GHz; hence, the assumptions utilized for our derivation of (4)–(11) in Section II remain relvalid over a bandwidth of 80%. As for the variation of ative to or , we have found that it is possible to relax the and to 15% imbalance tolerance for the ratios when employing such a coupler in our proposed reflectometer circuit. Four of the CPW couplers shown in Fig. 2(a) are then fabricated for use as the four-port couplers labeled as A–D with some minor adjustment of the layout, as depicted in Fig. 4 (where the emboldened side of each rhombus in the schematic diagram denotes the coupler arm with the 180 phase shifter). Special attention has to be paid to the connections of the four-port couplers where compensations to the bends and step discontinuities are needed. The four-standard procedure developed earlier in [16] has been found to be suitable for calibrating our six-port reflectometer. For this particular setup, our initial tests indicate that it is not and . advisable to choose the same termination for both As already noted in Section II, the phase difference of our coupler’s transmission coefficients and varies with frequency in . After we have accordance with plot determined the value of from the gradient of the

in Fig. 3(c), we may then utilize (12)–(14) to compute the delay and . line or reactance required for the terminations The laboratory trials we conducted for our prototype system indicate that we should connect a 8.2-nH SMD inductor at the port and leave as an open-circuit termination in order to correct for the residual imperfections in our reflectometer setup. The measured plots in Fig. 5 show that the resulting angular phasors remain within 120 20 over separations of the a 90% bandwidth (from 1.1 to 2.9 GHz). In contrast, Engen’s analysis has predicted nominal angular separations of 90 , 90 , 180 [2, p.183] and 90 , 135 , 135 [2, p. 184] for the phasors of six-port reflectometers based on standard hybrid couplers. Listed in Table II are the sample measurements taken by our reflectometer for selected DUTs with different values of , and Table III records the measurements of taken at different frequencies for the 100- load as the DUT. Comparison with the corresponding readings obtained by the HP8510C instrument confirms that our prototype reflectometer is able to measure the magnitude and phase of to within 0.01 and 2 , respectively. In Fig. 6, the DUT is a variable two-port attenuator, which has been shorted at one end, and we plot the angular separations from 0 to 1; the plots for this set of the phasors as we vary of measurements (taken at 2 GHz) show the angular differences varying by less than 10 for changes of the DUT. Finally, we compare the results presented in Figs. 5 and 6 with the performance of another six-port reflectometer setup [7] based on the symmetrical five-port junction with a directional coupler to provide the sixth port. Engen has observed that

YAO AND YEO: SIX-PORT REFLECTOMETER BASED ON MODIFIED HYBRID COUPLERS

497

Fig. 6. Measured results for angular differences of q phasors where DUT is variable attenuator with j0j ranging from 0 to 1 (at frequency of 2 GHz).

Fig. 5. Measured results for: (a) angular separations and (b) magnitudes of q phasors for prototype six-port reflectometer depicted in Fig. 4 with 100- resistor as DUT.

magnitude and spaced 120 apart” [2, p. 185]. Comparable experimental results have been reported in [7] for the six-port reflectometer, which employed a lossless symmetrical five-port junction with a return loss of 20 dB: magnitude differences of less than 100%; • angular separations in the range of ; • angular separations varying by less than 10 for changes • of DUT. IV. CONCLUSION

TABLE II COMPARISON OF MEASUREMENTS TAKEN AT 2 GHz BY PROTOTYPE SIX-PORT REFLECTOMETER AND HP8510C ANALYZER

z

two-port attenuator, which has been shorted at one end TABLE III COMPARISON OF MEASUREMENTS TAKEN BY PROTOTYPE SIX-PORT REFLECTOMETER AND HP8510C ANALYZER FOR 100- RESISTOR AS DUT

Although six-port reflectometers based on standard four-port couplers are known to yield nonoptimum performance, they continue to be employed (e.g., in [3]–[6]) because it is relatively easy to acquire these widely available components for use as building blocks. Our analysis in Section II has shown that it is, in principle, possible to achieve the optimum 120 angular separations for the phasors by simply modifying the four-port coupler design in (2). This so as to yield the scattering coefficients of is the second time we have successfully developed a prototype reflectometer to demonstrate the practical utility of our proposed approach. Whereas the operating bandwidth of our previous microstrip-based prototype [9] cannot be extended beyond 35%, the use of CPW couplers (with phase inverters) in the new reflectometer described in Section III has yielded well-spaced phasors with angular separations that remain within 120 20 over a bandwidth of 90% (from 1.1 to 2.9 GHz). Another advantage of our proposed reflectometer circuit is and that we have additionally incorporated two terminations that may be adjusted in an effort to correct for any departures of from their ideal-case locations due to the effects of residual hardware imperfections. REFERENCES

“provided only that the five-port junction is lossless, symmetric and matched, it can be shown that the resulting are of equal

[1] P. J. Probert and J. E. Carroll, “Design features of multi-port reflectometers,” Proc. Inst. Elect. Eng., vol. 129, pt. H, pp. 245–252, Oct. 1982. [2] G. F. Engen, Microwave Circuit Theory & Foundations of Microwave Metrology. Stevenage, U.K.: Peregrinus, 1992, sec. 17.8. [3] T. Yakabe, M. Kinoshita, and H. Yabe, “Complete calibration of a sixport reflectometer with one sliding load and one short,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 11, pp. 2035–2039, Nov. 1994. [4] Y. Liu, “Calibrating an industrial microwave six-port instrument using the artificial neural network technique,” IEEE Trans. Instrum. Meas., vol. 45, no. 4, pp. 651–656, Apr. 1996.

498

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[5] H. C. Lu and T. H. Chu, “Microwave diversity imaging using six-port reflectometer,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 84–87, Jan. 1999. [6] S. A. Chahine, B. Huyart, E. Bergeault, and L. P. Jallet, “An active millimeter load-pull measurement system using two six-port reflectometers operating in the -frequency band,” IEEE Trans. Instrum. Meas., vol. 51, no. 6, pp. 408–412, Jun. 2002. [7] S. P. Yeo and K. H. Lee, “Improvements in design of six-port reflectometer comprising symmetrical five-port waveguide junction and directional coupler,” IEEE Trans. Instrum. Meas., vol. 39, no. 2, pp. 184–188, Feb. 1990. [8] S. P. Yeo, “Analysis of symmetrical six-port junction when configured as a six-port reflectometer,” IEEE Trans. Instrum. Meas., vol. 41, no. 4, pp. 193–197, Apr. 1992. [9] J. J. Yao, Y. Chen, and S. P. Yeo, “Modifying hybrid coupler to enhance six-port reflectometer performance,” in Proc. Eur. Microw. Conf., Oct. 2005, pp. 256–259. [10] B. R. Heimer, L. Fan, and K. Chang, “Uniplanar hybrid couplers using asymmetrical coplanar striplines,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2234–2240, Dec. 1997. [11] T. Wang and K. Wu, “Size reduction and band-broadening design technique of uniplanar hybrid ring coupler using phase inverter for M(H)MICs,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 2, pp. 198–206, Feb. 1999. [12] C. Y. Chang and C. C. Yang, “A novel broadband Chebyshev-response rat-race ring coupler,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 455–462, Apr. 1999. [13] A. Sawicki and K. Sachse, “Novel coupled-line conductor-backed coplanar and microstrip directional couplers for PCB and LTCC applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1743–1751, Jun. 2003. [14] H. Ahn, Asymmetric Passive Components in Microwave Integrated Circuits. New York: Wiley, 2006, sec. 3.4. [15] M. Dydyk, “Microstrip directional couplers with ideal performance via single-element compensation,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 956–964, Jun. 1999. [16] L. Qiao and S. P. Yeo, “Improved implementation of four-standard procedure for calibrating six-port reflectometers,” IEEE Trans. Instrum. Meas., vol. 44, no. 6, pp. 632–636, Jun. 1995.

W

Ji Jun Yao (M’05) was born on October 7, 1973, in Lianyuan, Hunan, China. He received the B.S. and M.S. degrees from the Huazhong University of Science and Technology, Wuhan, China, in 1996 and 1999, respectively, and is currently working on the Ph.D. degree at the National University of Singapore, Kent Ridge, Singapore. He was involved with RF circuit design for four years with Huawei Technologies, Shanghai, China, and Shenzen, China. He is currently a Research and Development Engineer with ST Electronics, Jurong, Singapore. His main research interests include monolithic microwave integrated circuits (MMICs) and power amplifier design. He holds two patents related to power amplifier linearization.

Swee Ping Yeo received the M.A. degree from the University of Cambridge, Cambridge, U.K., in 1981, and the Ph.D. degree from the University of London, London, U.K., in 1985. Following three years with the Singapore Ministry of Defense, he joined the National University of Singapore, Kent Ridge, Singapore, where he is currently a Professor and Head of the Electrical and Computer Engineering Department. His research interests include electromagnetic modeling, passive components, and six-port reflectometers. Dr. Yeo have been the recipient of three Institution of Electrical Engineers (IEE), U.K., Best Paper Awards, i.e., a two-time recipient of the 1985 Electronics Letters Premium and the 1988 Ambrose Fleming Premium. He was also the recipient of the National University of Singapore 1998 Outstanding University Researcher Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

499

Microwave (8–50 GHz) Characterization of Multiwalled Carbon Nanotube Papers Using Rectangular Waveguides Lu Wang, Student Member, IEEE, Rongguo Zhou, and Hao Xin, Senior Member, IEEE

Abstract—Multiwalled carbon nanotubes (MWNTs) are char-, -, and -bands by rectangular waveacterized at -, guide measurements. The scattering parameters ( -parameters) of thin MWNT papers containing a large ensemble of randomly oriented nanotubes are measured by a vector network analyzer from 8 to 50 GHz. A rigorous extraction algorithm has been developed to compute the effective complex permittivity ( = ) ) of the nanotube papers from and permeability ( = the measured -parameters. The extracted effective medium parameters are verified by finite-element simulations using Ansoft’s High Frequency Structure Simulator (HFSS). The uncertainties for this characterization method are analyzed. The systematic uncertainties are found larger at lower frequencies than at higher frequencies. The extracted conductivity of the nanotube papers is in the range of 810–1500 S/m and the dielectric constant is from 250 to 700. The extracted complex permittivity can be fitted with the Drude–Lorentz model for the 8–50-GHz frequency range. The effective medium theory is then applied to remove the impact of air in the nanotube paper. Index Terms—Conductivity, Drude–Lorentz model, Drude model, effective medium theory, multiwalled carbon nanotube (MWNT), permeability, permittivity, waveguide.

I. INTRODUCTION

C

ARBON nanotubes are in the form of cylinders rolled up with graphene sheets. A carbon nanotube may be single walled if the tube is a one-atom-thick layer, or multiwalled if the tube consists of more than one layer of graphene sheets. Single-walled carbon nanotubes, depending on the different ways that the graphene sheets are rolled up, can be either metallic or semiconducting [1]. Carbon nanotubes have been extensively studied since they were discovered and are considered as potential building blocks for nanoscale circuits in virtue of their unique mechanical and electrical properties [1], [2]. Recently, many microwave and terahertz applications have been suggested as well [3]–[5], including nanoantennas, nanointerconnects, etc. Since a multiwalled carbon nanotube

Manuscript received July 12, 2007; revised October 23, 2007. This work was supported in part by the Army Research Office under Contract W911NF0610087. L. Wang and H. Xin are with the Department of Electrical and Computer Engineering and Department of Physics, University of Arizona, Tucson, AZ 85721 USA (e-mail: [email protected]; [email protected]). R. Zhou is with the Department of Physics, University of Arizona, Tucson, AZ 85721 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914627

(MWNT) has multilayers and the layers can be considered as parallel, most of multiwalled tubes are metallic, compared to single-walled tubes, only around 30% of which are metallic [1]. Therefore, MWNTs may be more applicable for microwave applications, as there is not yet an effective way to control the properties of single-walled tubes. However, most of the measurements of carbon nanotubes are done at dc, low frequencies, and optical frequencies. Over the microwave regime, carbon nanotubes’ electrical properties have not yet been well studied. The most intuitive method to study the microwave properties of carbon nanotubes is to characterize individual tubes. However, it is very difficult to conduct these types of measurements due to the nanotubes’ high intrinsic impedance ( 10 k to M ), which is incompatible with typical 50- microwave testing systems. In addition, at microwave frequencies, parasitics of testing structures often dominate over the intrinsic properties of carbon-nanotube devices-under-test [6]. An alternative approach is to characterize a large ensemble of nanotubes, e.g., a coplanar waveguide (CPW) filled with carbon nanotubes [7], carbon-nanotube films [8]–[12], or arrays, to obtain the relevant material properties. When a microwave signal impinges upon a nanotube ensemble, at the interface, part of the signal is reflected and part of it is transmitted. The magnitude and phase of the reflection and transmission depend on the sample’s properties and can be measured and used to extract the complex permittivity and permeability of the sample. There have been several reports of carbon nanotube characterizations at high frequencies, as shown in Table I. However, most of the experiments reported thus far measure only the reflection or only the transmission, while losing the other half of the information. As a result, the magnetic properties (permeability) cannot be extracted and the assumption of unity permeability has to be made to extract the permittivity. In addition, the error analysis of the measurement techniques is often omitted; thus, it is difficult to estimate the accuracy of the results and to evaluate their sensitivities to each measured quantity, thereafter to improve the methodology as much as possible. In this study, we characterize MWNT papers using a vector network analyzer (VNA) (Agilent PNA-E8361A) with rectangular waveguides as test fixtures. The VNA measures both the and transmission magnitudes and phases of the reflection coefficients, which are referred to as -parameters. The sample is treated as an effective medium with a known thickness, and the -parameters of this two-port network can be analytically derived as a function of the complex permittivity and permeability of the sample. Thus, and can be solved

0018-9480/$25.00 © 2008 IEEE

500

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I SUMMARY OF REPORTED CARBON NANOTUBE PAPER MEASUREMENTS

Fig. 1. MWNT paper photograph (left) and a 3.8 m (right) (From [13]).

in terms of the -parameters and extracted from the measured data. Compared with other methods, one advantage of the nanotube paper measurement is the simplicity of the experimental setup. In addition, this method can be used for other lossy materials as long as the sample is lossy enough that the leakage from the edges of the waveguides may be negligible. The error analysis of the extraction is then performed. It indicates that carries the smallest systematic error among all four parameters and provides useful guidelines for future improvement. For inresults over stance, it is natural to utilize the more reliable a wide frequency range and apply the Kramers–Kronig relation to obtain other parameters more accurately. Compared with an earlier report [13] from our group, which involved only -band extraction results, in this study, the samples are characterized -band (12.4–18 GHz), -band at -band (8–12.4 GHz), (26.5–40 GHz), and -band (33–50 GHz). With a much wider frequency range, the model fitting of has been greatly improved. In addition, the algorithm is presented with physical explanations of the observed extraction uncertainties. At last, the effective medium theory is applied to obtain the more intrinsic properties of the nanotubes. This paper is outlined as follows. The experimental setup and measured data are presented first. The algorithm for extraction of and is then discussed and the extracted results are shown. The verification of the algorithm is accomplished by Ansoft’s High Frequency Structure Simulator (HFSS) [14] finite-element simulation. Based on the VNA systematic uncertainties, we perform error analysis of the extracted complex permittivity and permeability. To provide more physical insight and practical convenience of our results, the Drude–Lorentz model is employed to fit the extracted permittivity over the frequency range of 8–50 GHz. The contribution of each term in the Drude–Lorentz model is studied. Finally, the effective medium theory is applied to remove the effect of air in the sample and obtain the more intrinsic characteristics of the MWNTs.

2 2.8 m SEM image

Fig. 2. Experimental setup. The inset shows how a nanotube paper is sandwiched between two waveguides.

II. EXPERIMENT SETUP AND DATA

tured by NanoLab Inc., Newton, MA.1 To prepare the sample, nanotubes are suspended in a fluid and then filtered onto a membrane support. After drying, the paper is removed from the support, leaving a freestanding nanotube paper. A photograph of the sample and its scanning electron micrograph (SEM) are shown in Fig. 1, in which one can see that the carbon nanotubes are randomly oriented and entangled to form the paper. To measure the -parameters of a nanotube paper, two rectangular waveguides are used to sandwich the paper so that it is perpendicular to the direction of the electromagnetic wave propagation. The waveguides are then connected to the two ports of an Agilent E8361A VNA via coaxial cables. The actual experimental setup is shown in Fig. 2. Before measurement, twoport waveguide calibration is performed using the Agilent waveguide cal kits (X11644A, P11644A, R11644A, and Q11644A) so that the reference planes of the measured -parameters are exactly located at the two surfaces of the sample under test. -, -, The responses of the sample are measured for -, and -band, which requires four different waveguides WR-90, WR-62, WR-28, and WR-22 to be used, respectively. Therefore, the measured -parameters are all based on different frequencyand geometry-dependent waveguide port impedances. Several nanotube paper samples are characterized. The measured -parameters showed good repeatability. The data of one of the samples is plotted in Fig. 3 (circles). The solid lines in each plot are the simulated -parameters for algorithm verification, the details of which will be discussed in Section III. 88.9 m and lossy, the Since the samples are very thin

The sample used in our experiment is a sheet form of MWNTs with a thickness of 88.9 m ( 3.5 mil), manufac-

1Detailed information of the nanotube papers can be found online. [Online]. Available: http://www.nano-lab.com/buckypaper.html

WANG et al.: MICROWAVE (8–50 GHz) CHARACTERIZATION OF MWNT PAPERS USING RECTANGULAR WAVEGUIDES

Fig. 3. Measured (circles) and simulated (solid lines) reflection and transmission coefficients (magnitude and phase) of a nanotube paper. The S -parameters are not continuous due to different waveguide port impedances.

leakage from the edges is negligible, which is confirmed by the good measurement repeatability of the samples with different sizes and HFSS simulations. We also rotate the sample orientation and measure the responses. The -parameters before and after the rotation are almost identical, indicating that our nanotube samples are randomly aligned, as manifested in Fig. 1. Consequently, it is reasonable to treat the nanotube paper as an isotropic effective medium, as will be described later. III. PERMITTIVITY AND PERMEABILITY EXTRACTION The Nicolson–Ross–Weir approach [15]–[17] is implemented to extract the effective permittivity and permeability of the nanotube paper from the measured -parameters. If the thickness of the sample is , the attenuation and phase delay introduced by a single pass through the sample are described by the transmission term (1) is the relative permittivity, is where the relative permeability, is the free-space wavenumber, and is the wavenumber in the nanotube medium. Another material property as a function of and is the wave impedance of the nanotube paper (normalized to the waveguide characteristic impedance) (2) where is the free-space wave impedance, and is the waveguide characteristic impedance, which is well known to be -mode wave impedance and the dimendetermined by the sions of the waveguide. One can prove that both and can be determined from the measured -parameters.

501

Fig. 4. Extracted real part of the index of refraction with different choices of m.

In principle, one should be able to solve and from (1) and (2). However, there is ambiguity that needs to be clarified, . Since is a which is caused by the multivalued function complex number, the solution of (1) can be written as (3) where is the complex index of refraction of the material, is the argument of the complex value , and can be any integer. Evidently, the imaginary part of is uniquely determined. However, the real part of has an infinite number of possibilities. In this paper, the continuity of is utilized to determine the correct value of [18]. Since the free-space wavelength at the lowest frequency 8 GHz (37.5 mm) is more than 88.9 m , the phase 400 times the thickness of the sample at 8 GHz should be within , otherwise the absodelay lute value of needs to be greater than 200, which is physically at 8 GHz. As unlikely. Therefore, it is reasonable to take the frequency increases, the phase delay changes and may exat some frequency. To ensure the continuity of , a ceed may be required. In order to validate the different value of with different values of are choice of , the computed plotted from 8 to 50 GHz in Fig. 4. The absence of discontiis valid over the entire nuity indicates that the choice of is determined, can be frequency range of interest. After simply calculated by applying multiplication between (2) and (3), and is calculated by substituting in (2) (4) (5) are plotted in Fig. 5 (middle The extracted , , , and lines). The estimated error bars are also included, the details of which will be described in Section IV. The extracted from 8 to

502

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 6. Extracted complex index of refraction and conductivity of the nanotube paper.

Fig. 7. HFSS simulation model to verify the extracted material properties. The boundaries of the waveguides and the edges of the sample slab sandwiched in the middle are set to be PEC.

Fig. 5. Effective medium properties of the nanotube paper extracted from the measured S -parameters. (a) " . (b) " . (c)  . (d)  . The circled lines are the extracted values and the regions above and below them are the error bars.

50 GHz are from 700 to 250, and are from 3400 to 350, cor, responding to the conductivity of 1500–810 S/m are approximately 0, and as shown in Fig. 6. The extracted are negative with the absolute values smaller than 1.5, which is the result of negative , as shown in Fig. 6. The extinction are plotted in Fig. 6 as well. coefficients To verify our algorithm, an HFSS model is set up with the extracted complex and assigned to a uniform slab with the same thickness as the measured nanotube paper. The slab is sandwiched in between two waveguides, as shown in Fig. 7. The excitations are defined on the top and bottom ports. The boundaries of the waveguides and the edges of the sample are

set to be perfect electric conductor (PEC) for simplicity since the leakage from the sample edges can be neglected, as discussed in Section II. The HFSS simulations with the waveguide flanges and radiation boundaries included are also performed and show very little difference from the simulated results in the ideal closed waveguide case, which validates the use of PEC boundaries here. The HFSS simulation results are plotted together with the experimentally measured data in Fig. 3 (solid curves). They match very well, which verifies our algorithm. IV. SYSTEMATIC ERROR ANALYSIS When the transmission or reflection coefficient is close to unity, the extraction of the effective medium properties from the measured -parameters can be challenging [17]. As seen from Fig. 3, for the waveguide characterization of the nanotube pahave magnitudes pers, the measured reflection coefficients close to 0 dB, which may lead to large uncertainties. To better understand the systematic uncertainties in the extracted material

WANG et al.: MICROWAVE (8–50 GHz) CHARACTERIZATION OF MWNT PAPERS USING RECTANGULAR WAVEGUIDES

TABLE II UNCERTAINTIES OF S -PARAMETERS

properties, a rigorous error analysis based on the VNA uncertainties is performed. Assuming appropriate calibration scheme, IF bandwidth, source power level, and number of averages, the VNA uncertainties for the magnitudes and phases of both and at different frequency bands and signal levels can be estimated. With our experimental settings, the uncertainties of -parameters are summarized in Table II. Although there are some variations on the -parameter uncertainties at different bands, they are not dramatically different from each other. The are calculated by (6)–(9), systematic errors of , , , and shown at the bottom of this page. The partial derivatives are computed numerically. For ex, we evaluate with ample, to calculate while all other parameters remain the same, and is a very small number, chosen to be 10 in our calculations. is then calculated by (10) Other derivatives can be calculated in a similar fashion. The systematic errors of the complex permittivity and permeability are then evaluated at each frequency point and the corresponding error bars are plotted in Fig. 5. Some of the systematic errors are rather large, especially for , , and at lower frequencies. The imaginary part of the permittivity, , however, is relatively better compared with 15% of error over the entire frequency others, at less than range. In addition, these VNA uncertainties used (provided by Agilent) are the worst cases and may have caused overestimation of the errors, which explains why the measured statistical uncertainties in seem to be much smaller than expected. The experimental results of several different samples all have fairly 6.5% or less of variation across the entire close (within frequency range, much better than the estimated error bars).

503

This makes sense intuitively because the MWNTs tend to be dominates the mimetallic so that a frequency-dependent crowave loss mechanisms and can be measured most reliably. However, the other material parameters are quite different for several different samples at the low-frequency range, especially at -band, are consistent with the large estimated error bars (the data of other samples are not shown here). It is also found have much larger that the uncertainties associated with . This is impacts on the results than the uncertainties of also understandable, as in our case, most of the incident power magnitude is already very close to unity, is reflected and and any change on material properties will cause much smaller than on the relative differences on the reflection coefficient . Conversely, a small variation of transmission coefficient will lead to significant changes on the extracted material parameters, whereas the impact of a small variation of will not be as sensitive. As mentioned above, the errors at lower frequencies are worse than those at higher frequencies, especially for and , which at first glance is counter-intuitive. However, it can be understood by noticing the impact of phase uncertainties. Since the sample 88.9 m , its electrical length is very small comis very thin pared with wavelengths at low frequencies. Thus, a small variation on the measured phases will cause a large difference on the material properties, especially , , and , as indicated by (3)–(5). At higher frequencies, the situation is quite improved as the wavelength decreases. However, since the loss is much are less dependent on frequency, the uncertainties of and approximately the same over the entire frequency range. This is consistent with our experimental observation. At lower frequencies, especially at -band, although the measured -parameters for different samples are close to each other, the extracted , may vary dramatically even from negative values to positive values, whereas in most of the cases, their error bars - and -bands, they are fairly close to overlap. However, at each other. Besides the VNA uncertainties, other effects such as the gap between the two waveguides and the sample thickness variation 5 m are also possible error sources. However, as discussed in Section III, the HFSS simulations, when taking the waveguide flanges and radiation into account to capture the leakage from the gap, reveal that the differences in the -parameters compared with the ideal case are less than one-tenth of the VNA

(6) (7) (8) (9)

504

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

uncertainties (shown in Table II). Therefore, it is reasonable to neglect the leakage. On the other hand, the extraction using the thickness varied by 5 m introduces approximately 5% of errors on all four effective media parameters, as expected. Since these errors contribute much less than the VNA uncertainties, they are not included in the error bars. As both the error analysis and measured results show that the have good accuracy, it is logical to explore ways extracted to improve the accuracy of the other extracted parameters using . One common method is the Kramers–Kronig transformation [19]–[21]. The Kramers–Kronig relations show that either the real or imaginary component of the complex permittivity at any particular frequency can be constructed by knowing the other component over all frequencies. These relations have been widely used in microwave and optical ranges to acquire material properties. However, to use the Kramers–Kronig relations, one component of the permittivity needs to be known over all frequencies, or at least over a very large frequency range, which is not the case here. Therefore, microwave characteristics of the nanotube papers need to be combined with terahertz or even opby emtical properties to improve the accuracy of , , and ploying the Kramers–Kronig relations, which will be our future research. The time-domain spectroscopy (TDS) measurement to characterize the nanotube papers from 50 GHz to 1 THz is currently underway.

Fig. 8. Drude–Lorentz model fitted " (top) and " (bottom) using (11). TABLE III FITTING PARAMETERS FOR DRUDE–LORENTZ MODEL

All parameters have the unit of radians/second, except " , which is unitless.

is much smaller, which indicates that the localized Lorentzian oscillation term plays an important role here.

V. DRUDE–LORENTZ MODEL FITTING In order to gain more physical insights into the extracted material properties and provide useful practical formula for future applications, different models have been tried to fit both the real and imaginary parts of the permittivity. Although the Drude model [22] was applied and a reasonable fitting was obtained in [13] for the -band, for the wider frequency range (8–50 GHz), it does not appear to be a good description of the nanotube paper. The simple Drude model does not fit and curves simultaneously. Therefore, the Drude–Lorentz model is employed here instead, which combines the Drude term and localized Lorentzian absorptions. It can be described as (11) where only a single localized Lorentzian oscillation term is is used. In (11), is a constant independent of frequency, the plasma frequency, is the relaxation rate of electrons, represents the oscillator strength, and and are the center frequency and spectral width of the resonance, respectively and can be simultaneously [9], [11]. With this model, fitted, as shown in Fig. 8 together with the originally extracted permittivity. The resulting fitting parameters are shown in Table III. Although these two curves are fitted simultaneously with fitting to compensate the fitting some accuracy sacrificed in of , the overall accuracy has been improved significantly compared with the fitting of the material properties with -band data only, as in our earlier study [13]. One thing that needs to be pointed out is that compared with the Lorentzian oscillation term, the Drude term’s contribution in the simultaneous fitting

VI. INTRINSIC PROPERTIES OF CARBON NANOTUBES Knowing the effective permittivity of the nanotube paper, which is a composite of MWNTs and air, the intrinsic permittivity of the nanotubes may be extracted by applying the effective medium theory. For a composite that contains a random mixture of materials and , the effective permittivity of the medium can be calculated by the following equations [23]–[25]: (12) where and are the complex permittivity of material and and are the volume fractions of material , respectively, each material, and is the screening parameter of the particles and can be evaluated by (13) The Lorentz depolarization factor

can be calculated by (14)

which assumes the particles at the microscopic level are ellipare, respectively, the semiaxes parsoids of rotation and , allel and perpendicular to the direction of the electromagnetic wave. This effective medium theory described by (12) is often referred to as the Bruggeman theory. For a single MWNT with a diameter of 20 nm and a length of 10 m (based on the observation of a single nanotube under SEM), the ratio of its length to diameter is much greater than 1 ( 200). Although a nanotube is

WANG et al.: MICROWAVE (8–50 GHz) CHARACTERIZATION OF MWNT PAPERS USING RECTANGULAR WAVEGUIDES

505

ACKNOWLEDGMENT The authors wish to acknowledge the MWNT paper samples provided by NanoLab, Inc., Newton, MA, and the useful technical discussions on material parameter extractions and fittings with Prof. R. Ziolkowski, University of Arizona, Tucson.

Fig. 9. Real (" ) and imaginary (" ) parts of the relative intrinsic permittivity of a single MWNT computed using the effective medium theory.

cylindrical, it is reasonable to treat it as an extremely stretched ellipsoid, which leads to the value of to be 501. Since our nanotube paper has a density of 1.8 g/cm and the graphite density is 2.2 g/cm , the volume fraction of nanotubes in the paper is calculated to be 0.818. Now if we consider the nanotubes are material and the air is material , the intrinsic permittivity of the carbon nanotubes can be found by (12) given the extracted permittivity of the nanotube paper and . The computed real and imaginary parts of the permittivity are plotted in Fig. 9. Compared with the originally are both approxextracted permittivity, the intrinsic and imately increased by a factor of 1.2, which indicates that the conductivity is also increased by the same factor. One thing to point out is that this conductivity is much smaller than the reported 1-D dc conductivity along the axis of an MWNT, which is expected since the nanotubes in this paper are randomly aligned and the conductivity along all different directions is averaged out. VII. CONCLUSION A simple broadband microwave characterization method for carbon nanotubes or similar type of thin and lossy material samples has been presented in this paper. To extract the microwave material properties, the -parameters of the nanotube papers installed in between two waveguides are measured from 8 to 50 GHz. The complex permittivity and permeability of the nanotube papers are extracted from the -parameters using the Nicolson–Ross–Weir approach. The uncertainties of the extraction method are analyzed and the understanding of the error sources provides the guidelines for future improvement of this characterization technique, such as applying the Kramers–Kronig relations. The extracted complex permittivity has been successfully fitted with the Drude–Lorentz model for both real and imaginary parts. The method to extract the intrinsic characteristics of a single MWNT by applying the effective medium theory is introduced, which is valuable data for potential microwave applications involving MWNTs.

REFERENCES [1] M. S. Dresselhaus, G. Dresselhaus, and P. Avouris, Carbon Nanotubes Synthesis, Structure, Properties, and Applications. Berlin, Germany: Springer-Verlag, 2001, pp. 113–142, 391–420. [2] P. Avouris, J. Appenzeller, R. Martel, and S. J. Wind,, “Carbon nanotube electronics,” Proc. IEEE, vol. 91, no. 11, pp. 1772–1784, Nov. 2003. [3] P. Burke, S. Li, and Z. Yu, “Quantitative theory of nanowire and nanotube antenna performance,” IEEE Trans. Nanotechnol., vol. 5, no. 4, pp. 314–334, Jul. 2006. [4] G. W. Hanson, “Fundamental transmitting properties of carbon nanotube antennas,” IEEE Trans. Antennas Propag., vol. 53, no. 11, pp. 3426–3435, Nov. 2005. [5] M. Hagmann, “Isolated carbon nanotubes as high-impedance transmission lines for microwave through terahertz frequency,” IEEE Trans. Nanotechnol., vol. 4, no. 2, pp. 289–296, Mar. 2005. [6] J. J. Plombon, K. P. O’Brien, F. Gstrein, V. M. Dubin, and Y. Jiao, “High-frequency electrical properties of individual and bundled carbon nanotubes,” Appl. Phys. Lett., vol. 90, pp. 063106-1–063106-3, Feb. 2007. [7] M. Dragoman, K. Grenier, D. Dubuc, L. Bary, E. Fourn, R. Plana, and E. Flahaut, “Experimental determination of microwave attenuation and electrical permittivity of double-walled carbon nanotubes,” Appl. Phys. Lett., vol. 88, pp. 153108-1–153108-3, Apr. 2006. [8] W. de Heer, W. S. Bacsa, A. Chatelain, T. Gerfin, R. Humphery-Baker, L. Forro, and D. Ugarte, “Aligned carbon nanotube films: Production and optical and electronic propertes,” Science, vol. 268, no. 5212, pp. 845–847, May 1995. [9] A. Ugawa, A. G. Rinzler, and D. B. Tanner, “Far-infrared gaps in single-wall carbon nanotubes,” Phys. Rev. B, Condens. Matter, vol. 60, no. 16, pp. R11305–R11308, Oct. 1999. [10] T. Jeon, K. Kim, C. Kang, S. Oh, J. Son, K. An, D. Bae, and Y. Lee, “Terahertz conductivity of anisotropic single walled carbon nanotube,” Appl. Phys. Lett., vol. 80, no. 18, pp. 3403–3405, May 2002. [11] T. Jeon, K. Kim, C. Kang, I. Maeng, J. Son, K. An, J. Lee, and Y. Lee, “Optical and electrical properties of preferentially anisotropic singlewalled carbon-nanotube films in terahertz region,” J. Appl. Phys., vol. 95, no. 10, pp. 5736–5740, May 2004. [12] O. Hilt, H. B. Brom, and M. Ahlskog, “Localized and delocalized charge transport in single-wall carbon-nanotubes mats,” Phys. Rev. B, Condens. Matter, vol. 61, no. 8, pp. R5129–R5132, Feb. 2000. [13] H. Xin, L. Wang, and D. Carnahan, “Characterization of mutli-walled carbon nanotube (MWNT) papers using X -band waveguides,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 3–8, 2007, pp. 1181–1184. [14] High Frequency Structure Simulator (HFSS). ver. 10, Ansoft Corporation, Pittsburgh, PA, 2005. [15] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 11, pp. 377–382, Nov. 1970. [16] W. B. Weir, “Automatic measurement of complex permittivity and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [17] R. W. Ziolkowski, “Design, fabrication, and testing of double negative metamaterials,” IEEE Trans. Antennas Propag., vol. 51, no. 7, pp. 1516–1529, Jul. 2003. [18] X. Chen, T. M. Grzegorczyk, B. Wu, J. Pacheco, Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, pp. 016608-1–016608-7, Jul. 2004. [19] D. J. Jackson, Classical Electrodynamics, 3rd ed. New York: Wiley, 1999, pp. 333–335. [20] E. Shiles, T. Sasaki, M. Inokuti, and D. Y. Smith, “Self-consistency and sum-rule tests in the Kramers–Kronig analysis of optical data: Application to aluminum,” Phys. Rev. B, Condens. Matter, vol. 22, no. 4, pp. 1612–1627, Aug. 1980. [21] M. M. Scott, G. L. Wilson, and J. A. Berrie, “Kramers–Kronig analysis of RF polymers and composites,” IEEE Trans. Antennas Propag., vol. 47, no. 3, pp. 157–163, Mar. 2005.

506

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[22] P. Drude, Ann. Phys. (Germany), vol. 1, pp. 566–613, 1900. [23] M. R. Black, “The optical properties of Bismuth nanowires,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., MIT, Cambridge, MA, 2003. [24] I. Webman, J. Jortner, and M. H. Cohen, “Theory of optical and microwave properties of microscopically inhomogeneous materials,” Phys. Rev. B, Condens. Matter, vol. 15, no. 12, pp. 5712–5723, Jun. 1977. [25] J. E. Spanier and I. P. Herman, “Use of hybrid phenomenological and statistical effective-medium theories of dielectric functions to model the infrared reflectance of porous SiC films,” Phys. Rev. B, Condens. Matter, vol. 61, no. 15, pp. 10437–10450, Apr. 2000.

Lu Wang (S’07) was born in Henan, China, in 1981. She received the B.S. degree from the University of Science and Technology of China, Hefei, China, in 2003, and is currently working toward the M.S. degree in electrical and computer engineering and Ph.D. degree in physics from the University of Arizona, Tucson. Her current research interests are microwave characterization and applications of carbon nanotubes, high-frequency on-wafer measurement and deembedding technique, nanointerconnects, and nanoantennas. Ms. Wang is a member of American Physical Society.

Rongguo Zhou received the B.S. degree in physics from the University of Science and Technology of China, Hefei, China, in 2004, the M.S. degree in physics from the University of Arizona, Tucson, in 2006, and is currently working toward the Ph.D. degree at the University of Arizona. His research interest is metamaterial design and its applications.

Hao Xin (SM’06) received the Ph.D. degree in physics from the Massachusetts Institute of Technology (MIT), Cambridge, in 2001. He performed research for five years with the Physics Department, Massachusetts Institute of Technology (MIT) and Lincoln Laboratory, MIT, where he investigated power dependence of the surface impedance of high-T c superconducting films and Josephson junction properties at microwave frequencies. From November 2000 to November 2003, he was a Research Scientist with the Rockwell Scientific Company, where he conducted research as a Program Manager/Principal Investigator involved in the area of electromagnetic bandgap surfaces, quasi-optical amplifiers, electronically scanned antenna arrays, monolithic microwave integrated circuit (MMIC) designs using various III–V semiconductor compound devices, and random power harvesting. From 2003 to 2005, he was a Senior Principle Multidisciplinary Engineer with Raytheon Missile Systems, Tucson, AZ. Since 2005, he has been an Assistant Professor with the Electrical and Computer Engineering Department and Physics Department, University of Arizona, Tucson. He has authored or coauthored over 40 technical papers in the areas of solid-state physics, photonic crystals, and the applications thereof in microwave and millimeter-wave technologies. He holds 11 patents in the areas of photonic crystal technologies, random power harvesting based on magnetic nanoparticles, and microwave nanodevices. He has one patent pending. His current research focus is in the area of microwave, millimeter-wave, and terahertz technologies, including solid-state devices and circuits, antennas, passive circuits, and applications of new materials such as metamaterials and carbon nanotubes.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

507

Synthesis of a Wideband Multiprobe Reflectometer Boris M. Kats, Member, IEEE, Aleksey A. Lvov, Valery Petrovich Meschanov, Senior Member, IEEE, Eugene M. Shatalov, and Ludmila V. Shikova, Member, IEEE

Abstract—This paper is aimed at the investigation of the technical realization problem for wideband reflectometers on multiprobe measuring lines. A geometrical interpretation of the measurement procedure that uses multiprobe measuring lines is considered. The parametric optimization problem of reflectometer is formulated and solved by use of a minimax optimum criterion. The results of solving this problem are presented. Tabulated parametric optimization data for reflectometers with probe = 4 5 6 and with boundary frequency ratio up to number 40 are given. Experimental investigation was carried out over the frequency range from 6 to 18 GHz using the simplified calibration procedure of multiprobe reflectometer. Experimental data have confirmed the validity of optimization results. Index Terms—Microwave reflectometer, multiprobe measuring line, network analyzer, parameter optimization.

I. INTRODUCTION ULTIPORT reflectometers are widely used as elements of network analyzers, microwave receivers, and other types of measuring and receiving microwave systems [1]–[4]. Amplitude and phase ratios of electromagnetic waves on reflectometer inputs can be measured by such means. Different ways of technical realization of such reflectometers are known. Multiprobe measuring line, formed by connection of probes with power sensors to uniform transmission line (Fig. 1), may be used as a basis of reflectometer design [5], [6]. This operation principle is based on sampling the strengths of electric and magnetic fields at several points of the uniform transmission line. This way of designing reflectometers is technically simpler and cost effective when compared with other methods using the application of expensive microwave components (i.e., mixers, heterodynes, quadrature and in-phase/out-of-phase power dividers). Over the last years, the progress of microwave technique has caused a rise of interest in the development and application of relatively cheaper network analyzers and other types of microwave systems. The application of reflectometers on multiprobe measuring lines opens new possibilities of them being introduced into commercial practice. A reported disadvantage of the most reflectometers on multiprobe measuring lines is their narrow bandwidth. In [7], the possibility to realize wideband reflectometers in practice on multiprobe measuring line is shown. In present study, using the

M

Manuscript received September 24, 2007; revised October 9, 2007. B. M. Kats, V. P. Meschanov, and L. V. Shikova are with the Department of Microwave Device Development, Central Institute of Measuring Equipment, 410012 Saratov, Russia (e-mail: [email protected]; [email protected]). A. A. Lvov is with the Department of Computer Sciences, Saratov State Technical University, 410012 Saratov, Russia (e-mail: [email protected]). E. M. Shatalov is with Scientific-Research Enterprise “Almaz,” Saratov 410033, Russia. Digital Object Identifier 10.1109/TMTT.2007.914631

Fig. 1. Equivalent circuit for reflectometer on multiprobe measuring line.

ideas of [7] and minimax optimum criterion, parametric optimization problems are solved for multiprobe measuring lines and boundary frequency with the probe number ratio up to 40. Optimum parameters are computed and tabulated for the engineering design of reflectometers on multiprobe measuring lines. Experimental investigation is given for the frequency range from 6 to 18 GHz. This paper is organized as follows. In Section II, geometrical interpretation of measuring procedure is considered and a possibility to preset optimum probe positions along the multiprobe measuring line is validated. Sections III and IV focus on the optimization problem that is formulated and the results of solving this problem are discussed. In Section V, the network analyzer design on the basis of multiprobe reflectometers is described. Section VI explores the results of its experimental investigation. II. GEOMETRICAL INTERPRETATION OF MEASUREMENT METHOD Let us consider a reflectometer formed by a multiprobe measuring line (Fig. 1). Analysis of such a reflectometer is performed under the following assumptions: the absence of a field distortion caused by a probe in uniform transmission line, the absence of interactions between probes, and the process of measuring the field strength in the uniform transmission line is local and detecting is quadrature [5]. Let us consider a uniform transmission line section without losses. One end of this line is connected to generator G that . The forms a test signal of frequency and amplitude other end is loaded to the load L with a reflection coefficient , where and is, respectively, a modulus and between the phase of the reflection coefficient. The voltage conductors of this transmission line is a sum of the waves that propagate in opposite directions as follows: (1) Here, is a phase shift through the transmission line section of the length , and is a distance to the reference plane

0018-9480/$25.00 © 2008 IEEE

508

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. Geometrical interpretation of measurement procedure.

RR (the plane of the load connection), wavelength. Let us transform (1) as

is the electromagnetic (2)

Taking into account (2), we have a relationship for normalized power at a power sensor output as follows:

(here, is a wavelength correspondent to the central point of the operating frequency range). In this case, , it is possible to calunder the minimum probe number culate value with small errors. When frequency is changed, an angular position of points on the circumference of unity radius is changed according to (4). Evidently, the points move with different angle velocity. Therefore, if the measurements are carried out over to each a wide frequency range, a close location of points other is possible. Close points increase reflection-coefficient measurement error. Thus, because of the frequency-dependent phase difference, the simplest case of reflectometer formed by has a comparatively narrow the same three probes frequency range. , probe positions It may be assumed that, for the case along the measuring line may be preset in such a way that when changing a signal frequency in the limits of a given frequency range, a point’s closeness is absent. As the result, the measurement error will remain reasonably small. Our purpose, therefore, is to investigate the possibility of reflectometer characteristic improvement by means of optimum probe location along the multiprobe measuring line. III. FORMULATION OF THE SYNTHESIS PROBLEM

(3) Geometrical interpretation of (3) under the fixed frequency of the generator signal is shown in Fig. 2. The position of point on a complex plane is defined by the relation (4) has coordinates and is defined by the Point reflection coefficient of the load. A section length between the and is defined by (3). points probes are located along Let us assume that on the the transmission line section. Coordinates of points complex plane that characterize probe positions are defined as and . Power value is measured is absent, point by the probes. If the measurement error of is defined in a unique manner as an intersection point of circumferences with radii and centers at the points . The presence of errors in power measurements causes several intersection points. In this case, for approximate calculation of the reflection coefficient, any formal procedure based on minimizing the difference between measured and calculated values is used. Obviously, when points on the complex plane are close to each other, the calculation errors of the reflection coefficient will rise as compared to the case of more uniform point distribution. In accordance with a formal closeness between the considered measurement method and methods that use six-port reflectometers [4], one can make a conclusion that an optimum choice of the distances between the probes is such when . A measuring line with three probes corresponds to such a type of reflectometer; this results in

Let us assume that: 1) the multiprobe measuring line is uniform and without losses; 2) probes do not disturb the field distribution; and 3) probe power sensors have quadrature characteristics of output voltage dependency against electromagnetic field strength. Proceeding from (1), the following relations [5] may then be obtained: (5) where

,

, , , , , . Here, is voltage tapped off the th power and is its transmission coefficient, is the distance besensor, is an amplitude of an tween the plane RR and th probe, incident wave in a multiprobe measuring line, is a line waveis an error of measuring the voltage at the th probe length, (noise voltage value), and is the number of probes. We assume that parameters , , and are known. Equation (5) may then be considered as a system of linear equations for three variables , , and . Unknown values , , and are as follows [5]: ,

(6)

Estimation accuracy of unknown parameters , , and is defined by the accuracy of estimating the variables , , are independent, and . In [7], under the assumptions that

KATS et al.: SYNTHESIS OF WIDEBAND MULTIPROBE REFLECTOMETER

509

normally distributed values with zero expectations, and variances , the authors propose to solve system (5) by a least square method. In this case, the expression for estimation vector is

TABLE I OPTIMUM PARAMETERS OF FOUR-PROBE MEASURING LINES

(7) where

.. .

.. .

.. .

.. .

.. .

.. .

..

.

It is easy to see that estimation error as is defined at small values of

.. .

of the estimation vector TABLE II OPTIMUM PARAMETERS OF FIVE-PROBE MEASURING LINES

(8) Matrices of type are known to be positively defined. Expression (8), therefore, may be considered as a relationship that describes the transformation of -dimensional error ellipsoid in the space of measurements to 3-D errors ellipsoid in the space of estimation vector . To minimize the error of vector calculation, it is necessary to minimize the volume of the correspondent error ellipsoid. For the case of statistically inde, it corresponds to minimizing pendent measurement errors the determinant

In [9], it is shown that when the measurements are performed at is defined as one frequency point, the minimum value

Let us introduce an efficiency function concept (9) that characterizes the calculation error . It can be shown that the determinant is described by the following expressions. , ), • For equal weights (

(10)

510

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE III OPTIMUM PARAMETERS OF SIX-PROBE MEASURING LINES

• For unequal weights (

,

),

range. Taking into account this fact, we formulate the synthesis problem as Chebyshev’s approximation problem (12) where

(11)

As (10) and (11) show, the determinant is a function of distances between the probes. Thus, when solving the optimization problem, we use measurement weights and normalized distances between the neighbor probes as variable parameters. Obviously, to decrease the measurement error, parameters of the multiprobe measuring line must be set proceeding from the minimization condition (9) over the operating frequency

is

an

efficiency

function, is a vari, and , able parameter vector, are electrical lengths, which correspond with maximum and minimum wavelengths of the operating wavelength band. To solve (12), we proceed to the discrete minimax problem and use the linearization method [8]. The optimum parameters of the multiprobe measuring line for operating frequency and the numbers of probes 4–6 are given range denotes the in Tables I–III, respectively. In these tables, maximum deviation of the efficiency function from the unity . Note that the physical meaning and mathematical formulation of the optimization problem are analogous to the problem of optimum choice of the calibrating load parameters considered in [9].

KATS et al.: SYNTHESIS OF WIDEBAND MULTIPROBE REFLECTOMETER

511

Fig. 4. Block diagram of network analyzer on multiprobe measuring line. (1) Programmable microwave generator. (2) Directional coupler. (3) Reflectometer on multiprobe measuring line. (4) Load under test. (5) Multichannel power meter. (6) Controller.

Fig. 3. Efficiency function (; A ) of four-probe measuring line.

IV. ANALYSIS OF THE RESULTS The equal- and unequal-weight measurements are investigated for reflectometer on the four-probe measuring line. Numerical experiment results show that for the same values, the use of the first measurement variant causes an insignificant as compared to unequal-weight measurements. The rise of optimization problem solutions are then received for the case , ). For of equal-weight measurements ( comparison, the efficiency functions of two four-probe reflectometers over a two-octave operating band are plotted in Fig. 3. A solid line corresponds to the multiprobe measuring line with ; optimum distances between probes given in Table I for when taking the readings from the first and second groups of the three probes, respectively, dotted and dotted–dashed lines correspond to multiprobe measuring line used in [10]. Tabulated data testify that technical realization of reflectometers with the operating band having a frequency span ratio up . Six-probe measuring to 20–25 is possible already for lines with optimum parameters may be used in the operating frequency range with frequency span ratio up to 40. V. NETWORK ANALYZER STRUCTURE Fig. 4 shows a block diagram of network analyzer model. Its basic elements are: 1) programmable microwave generator 1; 2) directional coupler 2 for power stabilization system; 3) reflectometer based on multiprobe measuring line 3; 4) a load under test 4; 5) multichannel low-noise amplifier 5; and 6) personal computer 6 that includes data acquisition board. The test signal from the generator incomes to the primary channel input of the directional coupler 2. From the output of the directional coupler, it is then supplied to reflectometer 3 and finally to the load under test 4. The microwave signal, proportional to generator power, incomes to the detector mount input from the output of the secondary channel of the directional coupler. After detecting and amplifying, it incomes to an input of the system of automatic generator power regulation (as a generator, we used a programmable generator from Scalar Network Analyzer P2-83).

The basic analyzer element is a reflectometer on multiprobe measuring line that is excited by a generator at one of the ends and is loaded by a load under test at the other end. The reflectometer is realized on a coaxial transmission line section. The configuration of a multiprobe reflectometer is shown in Fig. 5(a). Coaxial probes 4 (four pieces), coupled with a uniform transmission line by means of nondirectional capacitive coupling elements, are located along the longitudinal axis of uniform coaxial transmission line 3. The latter is formed by inner conductor 2 and housing 1. Inner conductor 2 is fixed about the outer conductor by means of two dielectric spacers 7. Coupling elements are formed by round openings in the outer conductor of coaxial line, through which the inner conductors of the probe are inserted. To except the distortion of the electromagnetic wave field in a uniform transmission line and to provide a quadrature detection mode, coupling coefficients between the probes and coaxial line 3 are chosen to be small. In the frequency range from 6 to 18 GHz, probe coupling does not exceed 20 dB. Due to the capacitive coupling between the probes and the main transmission line, the probes sensitivities decrease by 5–7 dB at frequencies less than 6 GHz. In this connection, the operating frequency band of the network analyzer is set from 6 to 18 GHz. Microwave power sensor 5 is connected to the output of each probe. A wideband detector module based on Schottky’ diode is used as a sensor. A general view of the reflectometer with the power sensor is shown in Fig. 5(b). Low-frequency signals are fed through connectors 6 to inputs of low-noise amplifier 5 and then incomes to the data acquisition board. In the network analyzer under consideration, a multifunctional data acquisition board L-305 of the firm L-card (Moscow, Russia) is used. An IBM PC was used to provide a control of network analyzer operation and also to gather data and its mathematical processing. VI. EXPERIMENTAL RESULTS AND DISCUSSION To estimate the validity of optimization results for the reflectometers based on a multiprobe measuring line, an experimental investigation of a network analyzer was carried out by measuring the parameters of reference mismatched coaxial loads with the known modulus and the phase of the reflection coefficient. A mismatched load [see Fig. 6(a)] is a transmission line section of a round cross section that has a step-wise change of the inner conductor diameter. The load is formed by outer conductor 1, inner conductor 2, and volumetric microwave absorber between the plane of step-wise change of geo3. Distance metrical dimensions of the inner conductor and absorber 3 may

512

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 6. (a) Coaxial mismatched load configuration. (b) Equivalent circuit.

TABLE IV PARAMETERS OF MISMATCHED LOADS

Fig. 5. (a) Reflectometer configuration on multiprobe measuring line. (b) Its general view.

vary. The electrical scheme of the load is a cascade connection of two uniform transmission line sections with impedances and ; these are shunted [see Fig. 6(b)]. The transmission line by a small capacitance is loaded to the input impedance section with the impedance of the transmission line section with volumetric abvalue is defined by geometrical dimensions of sorber. The the load and may be calculated on the basis of the data given in [11]. The geometrical and electrical parameters of mismatched values, are given in Table IV. loads, as well as their In the approach of ideal matching of the transmission line section located to the right of the discontinuity, and neglecting a small capacitive component of complex discontinuity impedance (see Table IV), we have the following expression for the load reflection coefficient:

(13) where is the nominal value of the reflection coefficient, which of the is determined by geometrical dimensions , , and is a phase inner and outer conductors of a mismatched load; of reflection coefficient, is the distance from an interconnection plane between the load and multiprobe measuring line to discontinuity region (Fig. 4), is the signal frequency, and is

corresponds to the case of the light velocity. Equation matched load with variable phase of the reflection coefficient. Calibration of the network analyzer precedes the measurement procedure. During the calibration, measurement and of calculation of eigenparameters measuring line probes is performed. Precision isolators characterized by small effective permittivity are used as isolators between the 7. Due to this, the initial values of distances reference plane RR and probes were determined according to the geometrical dimensions of multiprobe measuring line [see Fig. 5(a)]. For the real multiprobe line configuration, we mm, mm, mm, and have mm. To calculate the probe transmission coefficients , a procedure based on the connection of the matched load with variable phase of the reflection coefficient to a multiprobe measuring line input, and meaning the output probe voltages at different values such, that , was employed. In this case, it was assumed

where is the number of positions of the matched load is the value of output voltage of the th probe absorber, and at the th position of the matched load absorber. Measurements performed for some samples of loads with different nominal values of modulus and phase of the reflection coefficient (the voltage standing-wave ratio (VSWR) is 1.4 and 2.0 and is 7, 10, and 34 mm) showed a satisfactory agreement between the measurement results and theory data proceeding from (13). Mean-square deviation of the VSWR function from the theory

KATS et al.: SYNTHESIS OF WIDEBAND MULTIPROBE REFLECTOMETER

513

calibration and measurement methods when the point is close (see Fig. 2). Note that analogous to a circumference phenomenon also takes place for a general case of multiport reflectometer when the points characterizing the measuring port [4]. properties are located closely to a circumference VII. CONCLUSION

Fig. 7. Frequency characteristics of the: (a) modulus and (b) a phase of mismatched load with nominal VSWR = 2:0.

values over the frequency range from 6 to 18 GHz constitutes and 0.08 for loads 0.05 for the load of nominal . The correspondent values for mean-square with deviation of the reflection coefficient phase function from the theory values (13) over the frequency range from 6 to 18 GHz constitute 4 for the first load type and 5 for the second. Fig. 7(a) and (b) shows the measured modulus and phase of the reflection coefficient over the frequency range from 6 to 18 GHz for the load with a nominal value of and mm. Lines 1 denote the theoretical data, lines 2 correspond to experimental data performed with a standard vector network analyzer (VNA) HP 8510C calibrated with conventional mean, and lines 3 correspond to experimental data performed with the proposed network analyzer. Theoretical data (lines 1) on Fig. 7(a) and (b) are received by using the software Serenade Design Environment. One can see a disagreement between the experimental and theoretical data at GHz. This appears to be caused by the high frequencies violation of assumptions used when deriving relations (1)–(3). An investigation of the network analyzer for high values of shows that the measurement reflection coefficient method described appears to be less stable because of the result in (6) for some measuring procedure realizations. In of view of this, a measurement band for the value must be limited . This property is caused not by the in practice: method of solving the equations system (1), but by a nonstable

Undoubtedly, the used model of the multprobe measuring line is approximate, and the assumption concerning the location of the points (that characterize the properties of the multiprobe measuring line) on the circumference of 1 in radii is not precise. However, the proposed model is quite fit for multiprobe measuring lines used in practice at the attenuation between the probes and the uniform transmission line less than 20 dB. This fact may be confirmed by much experimental data received by different researchers around the world for measuring lines having one moveable probe and for multiprobe measuring lines. The goal of this paper is to prove the possibility of realizing wideband reflectometers based on multiprobe measuring lines. This possibility is shown theoretically and experimentally, at . Experimental investigation least for the values of data of the analyzer sample confirm the validity and efficiency of the numerical synthesis results for reflectometers on multiprobe measuring line, as well as the possibility to use them for designing wideband and super-wideband network analyzers. The main advantage of the investigated reflectometers is their structure simplicity and possibility to produce them for a wide wavelength band (from meters to millimeters) with the absence of rigorous demands to the technological equipment preciseness. We would like to note also that, to improve a preciseness of the measurements performed by using the considered reflectometer, more complex and more general calibration methods must be used. The variants of such methods are studied in [1]–[4]. REFERENCES [1] C. A. Hoer, “The 6-port coupler: A new approach to measuring voltage, current, power, impedance and phase,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 11, pp. 466–470, Nov. 1972. [2] G. F. Engen, “The six-port reflectometer: An alternative network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1075–1079, Dec. 1977. [3] C. A. Hoer, “A network analyzer incorporating two six-port reflectometers,” IEEE Trans. Microw. Theory Tech., vol. MTT-25, no. 12, pp. 1070–1074, Dec. 1977. [4] G. F. Engen, “A (historical) review of the six-port measurement technique,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2413–2414, Dec. 1997. [5] R. Caldecott, “The generalized multiprobe reflectometer and its application to automated transmission line measurements,” IEEE Trans. Antennas Propag., vol. 21, no. 4, pp. 550–554, Apr. 1973. [6] C.-L. J. Hu, “A novel approach to the design of multiple-probe high-power microwave automatic impedance measuring schemes,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1422–1428, Dec. 1980. [7] A. A. Lvov and A. S. Moutchkaev, “Optimization of a multiprobe transmission line reflectometer and optimal control of measurement process,” in Proc. 10th Int. Syst. Autom. Eng. Res. Conf., St. Konstantin, Bulgaria, Sep. 1996, pp. 33–37. [8] B. N. Pshenichniy and U. N. Danilin, Numerical Methods in Extremum Problems. Moscow, Russia: Nauka, 1975. [9] G. Vandersteen, Y. Rolain, J. Schoukens, and A. Verschueren, “An improved sliding-load calibration procedure using a semiparametric circle-fitting procedure,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1027–1033, Jul. 1997.

514

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

[10] J. V. Bellantoni and R. S. Compton, “Millimeter-wave application of a vector network analyzer in coplanar probe tips,” Microw. J., vol. 34, no. 3, pp. 113–123, 1991. [11] P. I. Somlo, “Calculation of coaxial transmission line step capacitance,” IEEE Trans. Microw. Theory Tech., vol. MTT-15, no. 1, pp. 48–53, Jan. 1967. Boris M. Kats (M’95) was born in Saratov, Russia. He received the M.Sc. degree in radiophysics from Saratov State University, Saratov, Russia, in 1974, the Ph.D. degree in antennas and waveguide devices from Moscow Institute of Radio, Moscow, Russia, in 1985, and the Diploma of Researcher Scientists degree from the High Attestation Commission, Moscow, Russia, in 1990. Since 1974, he has been with the Department of Microwave Device Development, Central Institute of Measuring Equipment, Saratov, Russia, where he is currently a Researcher Scientist and Chief of the Coaxial Device Laboratory. He has authored two books and over 50 scientific papers. His scientific interests include theoretical investigation of TEM-microwave devices, numerical optimization and design of wideband microwave components, and development and experimental investigation of scalar and VNAs. Dr. Kats was the recipient of the 1993 State Prize of the Russian Federation.

Aleksey A. Lvov was born in Saratov, Russia. He received the M.Sc. degree and Ph.D. degree in mathematical cybernetics from the Moscow Physics and Engineering Institute, Moscow, Russia, in 1980 and 1985, respectively, and the Doctor of Sciences degree in data measuring and control systems and mathematical models, algorithms, and program complexes from the Saratov State Technical University, Saratov, Russia, in 2002. He is currently a Professor of technical cybernetics and informatics with the Department of Computer Sciences, Saratov State Technical University, Saratov, Russia. He has authored or coauthored over 140 scientific publications. His scientific interests include the development of optimum statistical algorithms for experimental data processing, optimum evaluation of unknown parameters in microwave technique, optics, and medicine, including correspondent mathematical software, digital filtration, computer modeling, development of high-precision computer-based measuring equipment for microwave, optical, and electromechanical systems, and optimum planning of the experiments.

Valery Petrovich Meschanov (M’95–SM’02) was born in Saratov, Russia. He received the M.Sc. degree in radiophysics from Saratov State University,

Saratov, Russia, in 1965, the Ph.D. degree in microwave techniques from the All-Union Research Institute of Radio Engineering, Moscow, Russia, in 1972, and the Doctor of Sciences degree in microwave technique from the Moscow Physics and Engineering Institute, Moscow, Russia, in 1985. Since 1965, he has been with the Central Institute of Measuring Equipment, Saratov, Russia, as a Researcher, Chief of the Laboratory, and Head of the Department of Microwave Device Development. He is currently the Vice-President of the Central Institute of Measuring Equipment, an open joint-stock company, and also a Professor with the Department of Radiophysics, Saratov State University, Saratov, Russia. Since 2000, he has been a Member of the Scientific Board, Moscow State Technical University, Moscow, Russia. His research interests include synthesis of microwave devices, optimization methods, mathematical modeling, and microwave measuring equipment. He has authored or coauthored over 200 scientific publications, including papers in international journals and five books. He holds over 30 patents. Dr. Meschanov was the recipient of the 1993 State Prize of the Russian Federation. He is named a 1994 Honorary Man of Science. In 2005, he became an Honorary Citizen of the Saratov Region, Saratov, Russia.

Eugene M. Shatalov was born in Saratov, Russia. He received the M.Sc. degree in electronic engineering from Saratov State Technical University, Saratov, Russia, in 1974. Since 1975, he has been with enterprises of the electronic industry of Saratov, Russia. He is currently with the Scientific-Research Enterprise “Almaz, ” Saratov, Russia. He has authored six publications. His scientific interests include the theory of nonuniform transmission lines, microwave engineering, and test and measuring equipment.

Ludmila V. Shikova (M’01) was born in Ural’sk, Kazakhstan. She received the M.Sc. degree in computing mathematics from the Saratov State University, Saratov, Russia, in 1969, and the Ph.D. degree in technical sciences from the Radio-Engineering Institute, Minsk, Byelorussia, in 1988. Since 1976, she has been with the Department of Microwave Device Development, Central Institute of Measuring Equipment, Saratov, Russia, where she is currently a Senior Researcher. She has authored or coauthored over than 70 papers. She has authored one book. Her scientific interests and investigations includes a search and investigation of new microwave device structures and solving problems of analysis and parameter optimization of passive microwave devices of different functional applications.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

515

Comb-Generator Characterization Howard Charles Reader, Dylan F. Williams, Fellow, IEEE, Paul D. Hale, Senior Member, IEEE, and Tracy S. Clement, Senior Member, IEEE

Abstract—We characterize a 50-GHz comb generator with a sampling oscilloscope. With careful control of the input power, input harmonics, and comb generator temperature, we measure the output spectrum with a standard uncertainty of 0.1 dB and 0.5 . We correct the measurements for time-base distortion, impedance mismatch, an inline attenuator, and the complex frequency response of the oscilloscope’s sampler. We also report on the stability of the comb-generator spectrum and the effects of the recorded time windows, drive levels, and temperature. These results provide general guidelines for practioners of high-speed measurements and a benchmark for future inter-laboratory comparisons of harmonic phase-reference calibrations. Index Terms—Comb-generator stability, mismatch correction, sampling-oscilloscope calibration. Fig. 1. Sampling oscilloscope with a 20-GHz two-channel plug-in (H1 and H2) to record the reference quadrature sinusoids and a 50-GHz plug-in (H3) to record the comb-generator signal. The input power is monitored on the meter P . The attenuator is chosen to optimize signal levels to the 50-GHz plug-in.

I. INTRODUCTION

W

E USED a calibrated sampling oscilloscope to characterize both the magnitude and phase response of a comb generator whose spectral amplitude components at 50 GHz are 10 dB below their low-frequency levels. We corrected the measurements for impedance mismatch and distortion in the oscilloscope time base, achieving exceptionally high accuracy. As the name implies, a comb generator produces a set (or “comb”) of discrete harmonically related tones in the frequency domain, corresponding to a periodic waveform in the time domain. The generator consists of one or more nonlinear elements, such as a step recovery diode and a nonlinear transmission line, and a passive pulse-forming network that transforms a sinusoidal input into a periodic signal rich in harmonics. Historically, comb generators were used as harmonic signal sources in phase-locked loops or in electromagnetic interference (EMI) testing and spectrum analyzer calibration. For these applications, only the comb generator’s magnitude was characterized [1], [2]. Recently, comb generators have found wide use as a transfer standard for calibrating the phase response of signal measurement instrumentation, such as oscilloscopes, vector signal analyzers, and large signal network analyzers

Manuscript received July 29, 2007; revised November 21, 2007. This work was supported in part by the U.S. Government. The work of H. C. Reader was supported by the Electricity Supply Commission of South Africa (ESKOM) under the Tertiary Education Support Programme (TESP), by the University of Stellenbosch International Office, and by the National Research Foundation (South Africa) under a sabbatical grant from the National Institute of Standards and Technology (NIST). H. C. Reader is with the Electrical and Electronic Engineering Department, Stellenbosch University, Stellenbosch 7600, South Africa (e-mail: [email protected]). D. F. Williams, P. D. Hale, and T. S. Clement are with the National Institute of Standards and Technology, Boulder, CO 80305 USA (e-mail: dylan@boulder. nist.gov; [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914630

[3]–[5]. These new applications require a transfer standard in which the relative phase of each harmonic component has been calibrated. We measured a comb generator’s response on a sampling oscilloscope mainframe with two separate 50-GHz plug-ins at the National Institute of Standards and Technology (NIST), Boulder, CO. We first compensated for the random and systematic errors in the oscilloscope’s time base using the algorithm [6] implemented in the NIST time-base correction software [7]. We then removed the effects of impedance mismatches between the comb generator and oscilloscope plug-ins, and also corrected for an inline attenuator and the complex frequency response of the oscilloscope’s sampler. The latter was determined through a combination of a swept-sine calibration [8] at low frequencies, merged with a traceable electrooptic-sampling-system phase calibration [9], [10]. We examined the magnitude and phase of the voltage that the comb generator delivers to an ideal 50- load at its coaxial output under different operating conditions. The variations include experimental reproducibility, differences between oscilloscope plug-ins (samplers), choice of time window, drive-power levels, and ambient temperature. II. MEASUREMENT CONFIGURATION AND DATA PROCESSING Fig. 1 shows our measurement configuration. A sinusoidal source is required to drive the comb generator. We used a synthesized reference generator to provide the 800-MHz drive for the comb generator. A bandpass filter was included to keep all higher order input harmonic levels 60 dB below the fundamental. We used two splitters to derive the oscilloscope trigger and the two quadrature timing signals from a 90 hybrid coupler from the comb generator’s drive signal. The two quadrature

0018-9480/$25.00 © 2008 IEEE

516

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

timing signals are used to correct the oscilloscope’s time base with the approach of [7]. We also set the power of the reference signal and calibrated attenuator to maintain the peak amplitude of the comb generator close to 150 mV. This minimizes small nonlinearities in the oscilloscope associated with the operating point of the sampling diodes [9]. An extensive reference on oscilloscope nonlinearities can be found in [11]. A. Time-Base Correction Algorithm We apply the two quadrature signals so that the NIST timebase correction algorithm can be used. That algorithm requires that we record these sinusoids and the comb generator pulse simultaneously [6]. With the scheme shown in Fig. 1, all the waveforms are synchronized to the reference source. A two-channel 20-GHz plug-in (indicated as H1 and H2 in Fig. 1) captures the quadrature signals. The time-base correction algorithm uses an orthogonal-distance-regression method (also called an “error-in-variables method”) to fit the sinusoids. The fit also allows for harmonic distortion in the quadrature signals to an arbitrary harmonic. In this study, we assume that three harmonics are adequate [12] to capture distortion due to the oscilloscope. The algorithm estimates random timing errors (jitter) by exploiting the fact that all the samplers in the oscilloscope are activated simultaneously by a strobe pulse from the oscilloscope’s time base and that the sampling jitter is dominated by errors in the strobe pulse timing. The actual sampling time is estimated from the residuals of the fit and is used to correct timing errors in the recorded pulse. The corrected data is then linearly interpolated onto a regular time grid before transforming to the frequency domain by applying a fast Fourier transform algorithm. A second form of the algorithm, which we refer to here as the “robust form,” first estimates the deterministic error from time-base distortion and then uses that error as an initial guess for the total timing error. Estimating the time-base distortion requires that two additional quadrature-waveform sets, which are not harmonically related to the 800 MHz of the fundamental signal, also be acquired. These sinusoids are not recorded at the same time as the signal of interest. Section III-A discusses why it is important to use the robust method. More details on the robust method and on the time-base correction algorithm are given in [6]. B. Comb-Generator Recording and Initial Processing Before recording the comb generator’s temporal output signal for further processing, we ran the internal oscilloscope calibration routine on the 50-GHz plug-in (H3) with nothing connected to its input. This sets dc voltage levels and corrects for gain errors, offset errors, and some amplitude nonlinear distortion [9]. We also measured the remaining additive noise level and found that it was of the order of 500 V rms. The quantization noise is substantially lower than this value and can be ignored. In the measurements, we recorded four complete comb generator pulses, each having a period of 1.25 ns. We ensured that voltages were flat at the beginning and end of the full measurement period, which facilitates interpolation and reduces error in the discrete Fourier transform.

Fig. 2 (a) Oscilloscope 62.33–67.35-ns time frame recorded (schematic). The 1.25-ns window can be shifted within the time frame as desired. (b) Isolated single raw pulse as recorded on the oscilloscope.

Fig. 2 illustrates the measurement time frame, an individual window and our comb generator pulse. We selected the starting point of the time frame to be in a known region of time-base stability in order to simplify the estimation of the oscilloscope’s time-base distortion. With 4016 samples, which are more samples than needed, two points are separated by 1.25 ps. The 800-MHz quadrature sinusoids were stored under exactly the same time conditions. We did not plot these quadrature signals in Fig. 2(b) to simplify the graph. We acquired 100 repeated time frames in a short period and corrected each data file offline for time-base jitter, drift, and distortion using the NIST time-base correction algorithm [7]. We then interpolated the data to a regular grid and averaged the 100 waveforms, giving a 20-dB signal-to-noise ratio improvement. We chose the 1000-point window, seen in Fig. 2(a), to include or avoid the time-base reset that appears every 4 ns after the time reference of 22 ns on this oscilloscope. The window we selected also does not incorporate the first and last few points of the stored time frame, as these can be distorted by the interpolation procedure. This choice of window results in a frequency of 800 MHz. Drift (time shift) can occur between different experiments recorded hours or days apart. We removed the drift by detrending the phase in the frequency domain. We did this by subtracting a linearly increasing phase from the phase data that minimized the phase differences over the entire range of frequencies. This is equivalent to a shift in the time domain and amounts to a realignment of the pulses so that they all peak at the same relative time.

READER et al.: COMB-GENERATOR CHARACTERIZATION

Fig. 3. Corrected comb generator spectrum v magnitude in the bold trace. The thinner solid trace is v shifted down by 10 dB and can be compared to the uncorrected impedance-mismatch spectrum of the dotted–dashed trace.

C. Data Correction We applied frequency-domain impedance mismatch corrections to accommodate the small impedance differences between the oscilloscope plug-in and comb generator. We removed the effect of the 2.4-mm 10-dB attenuator at the comb generator output so that the voltage could be referred to the generator’s coaxial connector plane. We also accounted for the complex frequency response of the plug-in. By use of a standard flow diagram analysis, the voltage delivered to a perfect 50- load by the generator can be determined from [13]

(1) is the voltage measured on the oscilloscope (timewhere base corrected and transformed to frequency domain), is the is the input output reflection coefficient of comb generator, are the -pareflection coefficient of oscilloscope plug-in, rameters of the 2.4-mm 10-dB attenuator, and is the complex frequency response of the oscilloscope plug-in, as determined by the techniques of [9] and [13]. We measured the reflection coefficients of the comb generator and plug-ins, and the -parameters of the 2.4-mm attenuator on a calibrated vector network analyzer using an 800-MHz grid up to 50 GHz. We obtained the dc points separately with a calibrated ohmmeter and transformed into -parameters. III. COMB GENERATOR SPECTRAL CHARACTERISTICS UNDER DIFFERENT CONDITIONS In this discussion, we present the dc point and positive Fourier coefficients. This avoids any ambiguity involved in presenting a combination of positive and negative Fourier coefficients. The sampled comb generator pulse is real and periodic with finite power so the time waveform and Fourier amplitude coefficients have the same units of volts [13]. When these coefficients are plotted, we show the linear amplitude on the left-hand vertical axis and the decibel value on the right-hand axis. The bold upper trace in Fig. 3 shows the comb generator spectrum magnitude after having applied all the corrections of (1). This is effectively the voltage delivered to an ideal 50- load

517

Fig. 4. Comb generator spectrum v phase. The result has been detrended to give an approximately flat phase response from low to midfrequency.

at the coaxial connector plane. For comparison, we depict the uncompensated frequency domain data (dotted–dashed trace) against the corrected data as though the 10-dB 2.4-mm attenuator were perfect (thin solid trace). The latter traces give a good indication of the level of amplitude correction introduced by the impedance mismatch removal process. We show the phase of the corrected spectrum in Fig. 4. The value at dc is retained in the correction process since the -parameters of all the devices have been explicitly measured there. The negative-going pulse of Fig. 2 gives rise to the 180 phase at the dc point. In presenting the result, we unwrap the Fourier coefficient angles and detrend the phase with a constant-slope line, as in Section II-B. We now examine the effects of different data-processing and operating conditions on the comb generator. We use the magnitude and phase plots of Figs. 3 and 4 as our reference. A. Data Processing and Repeatability We explored the parameters of the time-base correction algorithm and repeatability. In our principal test, we studied the generator under identical experimental conditions, utilizing the two 50-GHz plug-ins, on separate occasions, over a period of two weeks. Fig. 5 shows data from six reconnected measurements that we processed using the simple form of the algorithm, followed by mismatch correction. The largest changes are between the two plug-ins from different days. Although the difference at dc is 4 dB, it is due only to a small overall drift in the oscilloscope voltage levels. These oscilloscope levels are sensitive to the internal oscilloscope level calibration, which can be repeated several times to eliminate the observed difference. When using the time-base correction algorithm, we must choose a weighting between our confidence in the time base of the oscilloscope and the recorded voltage amplitudes. We optimized this weighting choice following the recommendations provided in [6]. We found that a nonoptimal choice of this weighting factor can affect the phase results by up to 3 in the basic form of the algorithm. The worst case results in Fig. 5 appear to be large, up to 2 dB and 10 across the 50-GHz band. It should be noted that these first results are not solely a function of the comb generator stability. The simple form of the time-base correction algorithm

518

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 5. Largest difference between six identical measurements of the comb generator taken on the two plug-ins days apart when the simple form of the algorithm is used. (a) Difference in decibel magnitude and (b) phase difference. Significantly improved results due to the robust algorithm are shown in Fig. 6.

TABLE I STANDARD UNCERTAINTY (1 ) OF THE FREQUENCY RESPONSE MAGNITUDE AND PHASE FOR THE TWO PLUG-INS IN FREQUENCY BANDS USED [9]

Fig. 6. Largest difference between five identical measurements of the comb generator taken on the two plug-ins days apart. The robust algorithm is used. Difference in: (a) decibel magnitude and (b) phase.

We thus adopted the robust form of the algorithm, which requires that the nonharmonic waveforms be recorded during the measurement sequence as our standard algorithm. B. Time-Window Choice

also affects the findings. We determined the standard deviation of the mean, or type A standard uncertainty [14], for the six measurements to be 0.33 dB in magnitude and 2 in phase across the band. The values just fall within the quoted standard uncertainty data for the two plug-ins used [9], which are summarized in Table I. Thus far, we have used the simple form of the time-base correction algorithm, which assumes Gaussian noise for the timebase distortion. This is not true for the mainframe used. The time reset every 4 ns is significantly nonlinear. The robust algorithm uses two other nonharmonically driven waveforms to estimate the time-base distortion. To test and demonstrate the importance of using the robust method, we performed five new experiments with the two 50-GHz plug-ins on different days and also recorded the extra nonharmonically related waveforms at 847 and 907 MHz. With the additional robust information, the standard deviations are far improved to 0.08 dB in magnitude and 0.4 in phase at 50 GHz. In Fig. 6, we show the largest difference between the comb generator results taken on the same mainframe between the two plug-ins on different days. The maximum variations in Fig. 6, ignoring the dc point, are 0.3 dB and 1.5 . These are comparatively small. The result gives a good indication of how well the plug-ins’ complex frequency responses have been determined and what levels of repeatability can be achieved between reconnected experiments.

One of the four recorded time frames in Fig. 2 contains a time-base reset. We have measured sampling-time jumps of approximately 40 ps due to these time-base resets. The time-base algorithm [6] corrects for this, as well as random and systematic timing errors. We should then be able to use any one of the four recorded pulses to test the stability of our comb generator. To verify this, we took a single data file and processed the data as described in Section II. Each of the four possible time windows was selected in turn, avoiding the first and last 50 data points of each time frame to minimize interpolation errors. We then compared each of the four possibilities and found that the standard deviations in magnitude and phase are 0.015 dB and 0.09 over the band. This indicates that the robust algorithm performs well across the entire time frame and corrects for timebase resets. C. Drive-Power Stability The comb generator has a first stage amplifier that operates in extreme saturation so as to reduce the influence of its drive levels. To test the stability and effectiveness of this circuit, we performed a series of measurements in which we varied only the drive power. We controlled the synthesized generator, seen in Fig. 1, to an accuracy of 0.1 dB using a power meter. Fig. 7 shows the results when the power was decreased by 0.1 dB from its nominal value of 0.8 dBm. We see that the measured differences are as large as 0.6 dB and 1.9 , exceeding those due to plug-in and re-connection changes (0.3 dB and 1.5 ). Changes of drive power of 0.2 dB increase these differences to 1 dB and 2.5 . These experiments indicate that drive levels need to be controlled to better than 0.1 dB to obtain even modest accuracy in the results.

READER et al.: COMB-GENERATOR CHARACTERIZATION

519

Fig. 7. Difference in comb-generator results from the same experiment with the driving power decreased by 0.1 dB. The robust time-base correction algorithm was used. (a) Magnitude (decibel) difference. (b) Phase difference.

Fig. 9. Comb generator Fourier coefficient mean and standard uncertainty from five identical measurements taken days apart on the two plug-ins. The robust algorithm is used. (a) Magnitude (decibel). (b) Phase.

polystyrene enclosure for a long period, phase changes of up to 1.5 at 40 GHz. An independent study of comb generator repeatability [15] presented results on two commercial units that settle to thermally stable operating conditions after 20 min. We concur with these findings, but nevertheless ran the comb generator and its driving source for at least 1 h before taking readings. The laboratory temperature was also maintained to within 2 K. Fig. 8. Difference in comb generator results from the same experiment with the temperature changed by 2.5 K. The robust algorithm was used. (a) Magnitude (decibel) difference. (b) Phase difference.

D. Temperature Stability We examined the temperature stability of the comb generator by wrapping the generator in a thermal blanket. This raised its temperature by 2.5 K as measured by a calibrated thermocouple taped firmly to the generator’s metallic chassis. The temperature was left to equilibrate for several hours before any results were recorded. Fig. 8 shows the change due to the temperature increase. Apart from the dc point, the differences with the 2.5 K increase are seen to be less than 0.15 dB and 0.5 in magnitude and phase, respectively. A separate 40-GHz comb generator has also been measured and found to exhibit similar stability characteristics with the same temperature change. When we elevated the 40-GHz generator temperature by 8 K, we saw, by encasing the unit in a

E. Further Experimental Issues In addition to our principal findings, we want to comment on two further points that are relevant to this investigation. First, the selected voltage offset on the oscilloscope vertical scale, as well as the pulse amplitude, must be considered since they affect the linearity of the sampler diodes. We compared traces with various voltage offsets, which we set from the oscilloscope front panel, to a reference trace with no offset. In the frequency-domain comparisons, a negative offset of 50 mV led to changes of up to 0.5 dB in magnitude at 35 GHz and 1 in phase. For a 50-mV positive offset, we found differences of 0.4 dB and 0.3 , respectively. These changes are comparable with those in [11] and the worst differences seen between different plug-ins on different days, 0.1-dB changes of drive-power level or 5-K temperature changes. Second, we used a plug-in extender head in one set of experiments. Our results showed small anomalies in the measurements due to the use of this plug-in extender. We discovered that laboratory interference is picked up by a common-mode current path established by the extender cable and the bench ground. While

520

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

we found that we could eliminate this interference by use of common-mode chokes or current diversion techniques, we felt that the problem can best be avoided by using the plug-ins only in the mainframe housing.

IV. CHARACTERIZED COMB GENERATOR AND DISCUSSION Several aspects of the comb generator stability have been considered. With the drive power of our synthesized reference generator and the laboratory temperature having been carefully controlled, we now present the results of the final five experiments with different plug-ins conducted over a period of two days. We connected the comb generator, with a 10-dB attenuator at its output, to the reference generator. Both generators were switched on for several hours before readings were taken. We then briefly disconnected the comb generator from the plug-in to perform the oscilloscope’s internal calibration. The comb generator was then reconnected to the plug-in, which was always housed in the oscilloscope mainframe. Fig. 9 plots the mean of the five measurements of the comb generator magnitude and phase Fourier coefficients along with their standard uncertainties. In this final measurement, uncertainty better than 0.1 dB in we achieved a standard magnitude (apart from the dc point) and 0.5 in phase over the entire 50-GHz band.

V. CONCLUSION We have extensively investigated the experimental and dataprocessing conditions necessary to accurately characterize an electrical comb generator’s spectral characteristics. We determined that achieving the best repeatability required use of the robust form of the time-base correction algorithm. This reduced the standard uncertainties caused by long-term drift in the oscilloscope and interchange of the plug-ins by up to 0.23 dB and 1.5 compared to processing results by use of the simple form of the algorithm. Finally, using this robust correction and careful control of the input power, input harmonics, and comb generator temperature, we found that the output spectrum of our comb generator can be measured with a standard uncertainty (1 sigma) of 0.1 dB and 0.5 over the entire 50-GHz band.

ACKNOWLEDGMENT The authors thank C. M. Wang, National Institute of Standards and Technology (NIST), Boulder, CO, for algorithm discussions and K. A. Remley, NIST, and C. van Niekerk, Electrical and Electronic Engineering Department, Stellenbosch University, Stellenbosch, South Africa, for comments on this paper’s manuscript.

REFERENCES [1] IEEE Standard for the Measurement of Impulse Strength and Impulse Bandwidth, ANSI/IEEE Standard 376-1975, Jun. 1992, corrected edition. [2] J. R. Andrews, “Impulse generator spectrum amplitude measurement techniques,” IEEE Trans. Instrum. Meas., vol. IM-25, no. 4, pp. 380–384, Dec. 1976. [3] J. Verspecht, “Calibration of a measurement system for high frequency nonlinear devices,” Ph.D. dissertation, Dept. ELEC., Vrije Univ. Brussels, Brussels, Belgium, 1995. [4] P. S. Blockley, J. B. Scott, D. Gunyan, and A. E. Parker, “Noise considerations when determining phase of large-signal microwave measurements,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3182–3190, Aug. 2006. [5] D. F. Williams, H. C. Reader, T. S. Clement, P. D. Hale, J. M. Morgan, S. Vandenplas, J. Verspecht, F. Verbeyst, and M. Vanden Bossche, “Comb-generator characterization with calibrated oscilloscopes,” presented at the 2nd Nonlinear Meas. Workshop/60th ARFTG Conf., Washington, DC, Dec. 2002. [6] P. D. Hale, C. M. Wang, D. F. Williams, K. A. Remley, and J. Wepman, “Compensation of random and systematic timing errors in sampling oscilloscopes,” IEEE Trans. Instrum. Meas., vol. 55, no. 6, pp. 2146–2154, Dec. 2006. [7] NIST Time-Base Correction (TBC) Software Aug. 2007. [Online]. Available: http://www.boulder.nist.gov/div815/HSM_Project/Software.htm [8] P. D. Hale, T. S. Clement, K. J. Coakley, C. M. Wang, D. C. DeGroot, and A. P. Verdoni, “Estimating the magnitude and phase response of a 50 GHz sampling oscilloscope using the ‘nose-to-nose’ method,” in 55th ARFTG Conf. Dig., Jun. 2000, pp. 335–342. [9] T. S. Clement, P. D. Hale, D. F. Williams, C. M. Wang, A. Dienstfrey, and D. A. Keenan, “Calibration of sampling oscilloscopes with highspeed photodiodes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3173–3181, Aug. 2006. [10] A. Dienstfrey, P. D. Hale, D. A. Keenan, T. S. Clement, and D. F. Williams, “Minimum-phase calibration of sampling oscilloscopes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3197–3208, Aug. 2006. [11] N. G. Paulter and D. R. Larson, “Sources of uncertainty in the nose-tonose sampler calibration method,” IEEE Trans. Instrum. Meas., vol. 52, no. 5, pp. 1618–1626, Oct. 2003. [12] C. M. Wang, P. D. Hale, and K. J. Coakley, “Least-squares estimation of time-base distortion of sampling oscilloscopes,” IEEE Trans. Instrum. Meas., vol. 48, no. 6, pp. 1324–1332, Dec. 1999. [13] D. F. Williams, T. S. Clement, P. D. Hale, and A. Dienstfrey, “Terminology for high-speed sampling-oscilloscope calibration,” in 68th ARFTG Microw. Meas. Conf. Dig., Boulder, CO, Nov. 30–Dec. 1 2006, pp. 9–14. [14] Guide to Expression of Uncertainty in Measurement, 1st ed. Geneva, Switzerland: Int. Org. Standardization, 1993, p. 10. [15] J. A. Jargon, D. C. DeGroot, and D. F. Vecchia, “Repeatability study of commercial harmonic phase standards measured by a nonlinear vector network analyzer,” in 62nd ARFTG Microw. Meas. Conf. Dig., Dec. 4–5, 2003, pp. 243–258.

Howard Charles Reader received the Ph.D. degree from St. John’s College, Cambridge, U.K., in 1985. From 1986 to 1994, he was a Lecturer, a Senior Lecturer, and an Associate Professor with the University of Natal, Natal, South Africa. In 1994, he became the Chair of High Frequency Electronics, Electrical and Electronic Engineering Department, University of Stellenbosch, Stellenbosch, South Africa. His research interests include electromagnetic compatibility, high-frequency metrology, and microwave dielectric heating. His current research is directed towards the EMI characterization of South Africa’s Square Kilometer Array bid. Dr. Reader is a Chartered Engineer in the U.K. He is a member of the Institution of Engineering and Technology. He serves as South Africa’s Union Radio-Scientifique Internationale Commission E (EMI) chair.

READER et al.: COMB-GENERATOR CHARACTERIZATION

Dylan F. Williams (M’80–SM’90–F’02) received the Ph.D. degree in electrical engineering from the University of California at Berkeley, in 1986. In 1989, he joined the Electromagnetic Fields Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he develops metrology for the characterization of monolithic microwave integrated circuits and electronic interconnects. He has authored or coauthored over 80 technical papers. Dr. Williams is co-editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the Department of Commerce Bronze and Silver Medals, two Electrical Engineering Laboratory’s Outstanding Paper Awards, two Automatic RF Techniques Group (ARFTG) Best Paper Awards, the ARFTG Automated Measurements Technology Award, and the IEEE Morris E. Leeds Award.

Paul D. Hale (M’01–SM’01) received the Ph.D. degree in applied physics from the Colorado School of Mines, Golden, CO, in 1989. Since 1989, he has been with the Optoelectronics Division, National Institute of Standards and Technology (NIST), Boulder, CO, where he conducts research on broadband opto-electronic device and signal metrology. He has been Leader of the High-Speed Measurements Project of the Sources and Detectors Group since 1996. He has authored or coauthored over 50 technical publications. His current technical research focuses on extending both time- and frequency-do-

521

main opto-electronic measurements to beyond 110 GHz, implementing a novel covariance-based uncertainty analysis that can be used for both time- and frequency-domain quantities, and disseminating NIST traceability through high-speed electronic and opto-electronic measurement services. Dr. Hale was an associate editor of optoelectronics/integrated optics for the IEEE JOURNAL OF LIGHTWAVE TECHNOLOGY from June 2001 to March 2007. He was the recipient of the Department of Commerce Bronze, Silver, and Gold Awards, two ARFTG Best Paper Awards, and the NIST Electrical Engineering Laboratory’s Outstanding Paper Award.

Tracy S. Clement (S’89–M’92–SM’05) received the Ph.D. degree in electrical engineering from Rice University, Houston, TX, in 1993. Her doctoral research involved the development and study of a variety of ultrashort pulse and very short wavelength lasers. Since 1998, she has been with the Optoelectronics Division, National Institute of Standards and Technology (NIST), Boulder, CO. Her current research interests include the development of measurement systems for high-speed electrooptic components, as well as ultrashort pulse laser measurements. Prior to joining the Optoelectronics Division, she was an Associate Fellow of the Joint Institute for Laboratory Astrophysics (JILA), the Quantum Physics Division, NIST, and was an Assistant Professor Adjoint with the Department of Physics, University of Colorado at Boulder. From 1993 to 1995, she was a Director’s Post-Doctoral Fellow with the Los Alamos National Laboratory, Los Alamos, NM.

522

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Wafer-Scale Packaged RF Microelectromechanical Switches Jeremy Muldavin, Member, IEEE, Carl O. Bozler, Life Senior Member, IEEE, Steve Rabe, Peter W. Wyatt, Member, IEEE, and Craig L. Keast

Abstract—This paper presents results of fully packaged RF microelectromechanical (RF-MEM) switches including capacitive series, series-shunt, and single-pole–four-throw (SP4T) switch nodes. The RF-MEM capacitive switches are packaged using recently developed wafer scale low-loss and broadband packaging technology developed at MIT Lincoln Laboratory, Lexington, MA. A packaged series capacitive switch with 0.11-dB insertion loss and better than 19-dB isolation, a series-shunt packaged capacitive switch with 0.3-dB insertion loss and better than 54 dB isolation, and an SP4T switch with less than 0.26-dB insertion loss and better than 25-dB isolation at 20 GHz are reported. Detailed reliability, radiation, cryogenic, and power-handling data are also presented. Index Terms—Cryogenic, hermetic, high isolation, micoelectromechanical (MEM), packaging, power handling, radiation, single-pole–four-throw (SP4T), switch. Fig. 1. Scanning electromicrograph (SEM) image of a fully packaged series capacitive MEM switch complete with stud bumps for flip-chip integration. From [8].

I. INTRODUCTION

H

IGH-PERFORMANCE RF microelectromechanical (RF-MEM) switches have been demonstrated by a number of researchers over the past few years [1]–[3]. The majority of these results have been unpackaged devices, with the exception of [3]–[6]. MIT Lincoln Laboratory, Lexington, MA, previously demonstrated a low-loss and wideband packaging technology at the wafer scale that is well suited for RF-MEM devices and circuits [7], [8] and is compatible with CMOS manufacturing. This paper presents simulation and measured results of packaged series, series-shunt, and single-pole–four-throw (SP4T) capacitive switches based on the CMOS compatible, wafer-scale, and highly reliable MIT Lincoln Laboratory switch technology. II. OVERVIEW OF MIT LINCOLN LABORATORY SWITCH AND PACKAGING TECHNOLOGY A fully packaged series switch is shown in Fig. 1, including stud bumps for flip-chip integration. The RF-MEM devices are fabricated on 150-mm-diameter silicon-on-insulator (SOI) wafers with a 25- m-thick high-resistivity SOI layer. Additional details on the fabrication are given in [9]. After dicing to

Manuscript received December 15, 2006; revised September 5, 2007. This work was supported by the United States Air Force under Contract FA8721-05-C-0002. The authors are with the MIT Lincoln Laboratory, Lexington, MA 024209108 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914654

3.2-cm squares and releasing the devices, the device wafers are thermo-compression bonded to matching cavity wafers with 90- m-deep metal-coated cavities that form the ground-plane of a semicoax transmission line and allow the MEM switch to move freely. After the 3.2-cm-square wafers are bonded, the substrate of the SOI wafer is removed by mechanical and wet processing to expose tungsten thru-wafer vias previously formed in the device wafer. Au metal patterns and stud bumps allow for on-wafer probing and flip-chip integration of the devices. A packaged 150- m-long transmission line has a measured insertion loss of 0.06 dB at 20 GHz. After deembedding the line loss of the 150- m-long line, there is 0.025-dB insertion loss per thru-wafer transition [7]. The measured line attenuation is approximately 0.9 dB/cm at 40 GHz. III. SIMULATION AND MODELING OF PACKAGED DEVICES The packaged switch devices were modeled using Ansoft HFSSv10 full-wave electromagnetic simulator. Variable parameters were used to describe the curvature of the switch to facilitate parametric simulation of the devices in all possible states. The total oxide thickness over the capacitive plate region of the device is assumed to be 150 nm for all simulations. The transition out of the package is not modeled in the simulations for ease of excitation. A. Series Switch The series switch electromagnetic model and equivalent-circuit model are shown in Fig. 2. The simulated and fitted results are shown in Fig. 3 in the thru and isolation states. The

0018-9480/$25.00 © 2008 IEEE

MULDAVIN et al.: WAFER-SCALE PACKAGED RF-MEM SWITCHES

523

Fig. 2. Image of the 3-D model and equivalent-circuit model for the packaged series switch. The impedance of the switch (Z ) and series capacitance (C ) are variable. From [8]. Fig. 4. Image of the 3-D model and equivalent-circuit model for the packaged series-shunt switch. The impedance of the switches (Z ; Z ) and series capacitances (C ; C ) are variable. From [8].

Fig. 3. Simulated and fitted S -parameters for the packaged MEM series capacitive switch (rfstd) in the thru and isolation states. Data from [8].

length of the transmission line sections are 50 m each, is 218 m. The impedance of and the length of the switch is 45 . The transmisthe switch transmission line section sion line section attenuation and phase constant were extracted from measured data. The modeled isolation-state capacitance is 7 fF and the thru-state capacitance is 1.6 pF. The simulated isolation is 20.6 dB and the insertion loss is 0.04 dB at 20 GHz. B. Series-Shunt Switch The series-shunt switch electromagnetic model and equivalent-circuit model are shown in Fig. 4. The impedances of the switch equivalent transmission line sections are 60 and 32 , respectively. The other model parameters were taken from layout of the device. The modeled up-state capacitance is 5 and 6 fF and the down-state capacitance is 1.9 and 1.2 pF for and shunt devices, respectively. The simthe series ulated isolation is 50 dB and the insertion loss is 0.05 dB at 20 GHz. The shunt capacitance in the isolation state increases the isolation to better than 48 dB over the entire 0.1–40-GHz frequency range, as shown in Fig. 5.

Fig. 5. Simulated and fitted S -parameters for the packaged MEM series-shunt capacitive switch in the thru and isolation states. The shunt switch increases the isolation to better than 48 dB across the frequency range. Data from [8].

model, such as line lengths, were taken from layout. The simulated and modeled -parameters are shown in Fig. 7 in the thru state for all four paths. The modeled up-state capacitance is 9 fF and the down-state capacitance is 1.8 pF. The simulated isolation (not shown) is between 24–27 dB and the insertion loss is approximately 0.25 dB at 20 GHz for all four states. IV. MEASURED RESULTS The -parameters of packaged transmission lines were measured from 100 MHz to 40 GHz using an Agilent PNA 67-GHz network analyzer and an on-wafer probe station. A short-openload-thru (SOLT) calibration substrate was used to calibrate the measurements to the probe tips. The measured results include the transitions in and out of the package, which typically add 0.06 dB to the insertion loss. The equivalent-circuit model for the transitions was extracted from measurements of thru-lines and is added to the equivalent-circuit model of the MEM switch. A. Packaged Series Switch

C. SP4T Switch The SP4T switch electromagnetic model and equivalent-circuit model are shown in Fig. 6. Physical parameters for the

The series switch photomicrograph (pre-package) and the measured and fitted results are shown in Fig. 8 in the thru and isolation states for ten identical switches in a row (data

524

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 6. Image of the 3-D model and equivalent-circuit model for the packaged SP4T switch. The switch impedance (Z ) and series capacitance (C ) are variable for each switch. The transmission line lengths are taken from layout geometry. From [8].

Fig. 8. Measured and fitted S -parameters for the packaged MEM series capacitive switch in the: (a) thru and (b) isolation states for ten devices in a row on a wafer. Data from [8].

Fig. 7. Simulated and fitted S -parameters for the packaged MEM SP4T series capacitive switch in the thru state. The isolation (not shown) of the isolated paths is between 24–27 dB at 20 GHz for all paths. Data from [8].

not filtered). The modeled up-state capacitance is 9 fF and the down-state capacitance is 1.56 pF. The measured isolation 0.3 dB and the insertion loss is 0.11 0.01 dB at is 19.2 20 GHz in the isolation and thru states, respectively. The group delay was calculated from the measured -parameters using (1) as follows: (1) where is the angle of the transmission scattering parameter in radians and is the angular frequency in radians per second. The results are shown in Fig. 9 for the ten identical devices and the fitted model. The group delay is relatively constant above 5 GHz, making this device suitable as a switching element for true time-delay circuits. Six similar devices have passed 108 billion cycles under reliability testing at the time of submission.

Fig. 9. Measured and fitted group delay (D) for the packaged MEM series capacitive switch in the thru state for ten devices in a row on a wafer. The group delay is relatively constant above 5 GHz.

B. Packaged Series-Shunt Switch The series-shunt switch photomicrograph (pre-package) and measured and fitted results are shown in Fig. 10 in the thru and isolation states. The fitted up-state capacitance is 5 and 7 fF and the down-state capacitance is 1.86 and 1.2 pF for the series and shunt devices, respectively. The measured isolation is 54 dB and the insertion loss is 0.3 dB at 20 GHz. The shunt capacitance in the isolation state increases the isolation to better than 40 dB over the entire 0.1–40-GHz frequency range.

MULDAVIN et al.: WAFER-SCALE PACKAGED RF-MEM SWITCHES

525

Fig. 10. Measured and fitted S -parameters for the packaged MEM series-shunt capacitive switch in the thru and isolation states. The shunt switch increases the isolation to better than 40 dB across the frequency range. Data from [8].

Fig. 12. (a) Measured and fitted S -parameters for the packaged MEM four parallel capacitive switch in the thru and isolation states. (b) Measured group delay and photomicrograph. This device is suitable for wireless (802.11 ) and X -band switching applications.

2

isolation is between 24–27 dB for all states and the insertion loss is between 0.21–0.26 dB at 20 GHz for all states. The in the thru discrepancy between measured and modeled state is most likely due to parasitics (steps, bends, etc.) not captured by the simple model. D. Packaged Four Parallel Switch

Fig. 11. Measured and fitted S -parameters for the packaged MEM SP4T series capacitive switch in the: (a) thru and (b) isolation states. Data from [8].

C. Packaged SP4T Switch The SP4T layout is shown in Fig. 11. The SP4T switch was measured with a two-port network analyzer, leaving the remaining isolated ports open. The device was modeled using the model shown in Fig. 6, taking physical parameters from the layout. The measured and fitted results are shown in Fig. 11 in the thru and isolation states. The fitted up-state capacitance is 8 fF and the down-state capacitance is 1.98 pF. The measured

Several series capacitive devices can be combined in parallel to extend the lower frequency range of operation to below 2.5 GHz. A four parallel switch photomicrograph and measured and fitted results are shown in Fig. 12 in the thru and isolation states. The fitted up-state capacitance is 6 fF each and the down-state capacitance is 1.34 pF each. The measured isolation is between 28 –16 dB from 2.5 to 10 GHz and the insertion loss is between 0.17–0.23 dB from 2.5 to 10 GHz, respectively, making it suitable for wireless as well as -band switching applications. Additionally, the group delay is constant from 3 to 20 GHz, making it suitable for time-delay applications. E. Comparison of Simulation and Measurement Table I shows a comparison of the simulated and measured data for the various designs at 20 GHz. Ansoft’s High Frequency Structure Simulator (HFSS) simulations do not include the transition into and out of the package (0.06-dB insertion

526

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I COMPARISON OF MEASURED AND SIMULATED DATA (MEAS./SIM.) FOR VARIOUS DESIGNS AT 20 GHz. THE HFSS SIMULATIONS DO NOT INCLUDE THE TRANSITION INTO AND OUT OF THE PACKAGE (0.06-dB INSERTION LOSS)

Fig. 14. Measured up- and down-state capacitance versus cycle count for an unpackaged MEM capacitive switch under mechanical reliability testing. Several interrupts in the facility power required restart and recalibration of the setup, indicated by arrows.

Fig. 13. Setup and bias waveform for testing the unpackaged reliability of the first-generation MIT Lincoln Laboratory MEM capacitive switch.

loss). Table I also shows that the simulations provide results that closely match the measured data. V. RELIABILITY TESTING The packaged MIT Lincoln Laboratory series capacitive switch was tested for cycle lifetime reliability, hold-down, and radiation resistance. A single unpackaged device result of over 100 billion mechanical switch cycles and will be briefly mentioned in this study. Reliability tests were performed on fully packaged devices to determine whether the package maintains or enhances the reliability of the devices. A. Cycle Testing The automated cycle-test setup and bias waveform for the unpackaged reliability testing are shown in Fig. 13. The device was actuated with a three-step bipolar waveform with a kick voltage of V, pull-down voltage of V, and V at a 5-kHz repetition rate under a hold voltage of dry nitrogen ambient. A 10-MHz sine wave and a digitizing oscilloscope were used to extract the up- and down-state capacitance measured every 100-M cycles. The up- and down-state capacitance of a single unpackaged RF-MEM switch versus cycle count are shown in Fig. 14. At the 5-kHz cycle rate, achieving 100 billion cycles took nearly eight months and testing was interrupted at various times during the test due to power failures at the facility, requiring recalibration of the capacitance extraction circuit and restarting of the experiment. A similar automated cycle-test setup and waveforms were applied to a parallel array of six fully packaged second-generation (2G) capacitive switches. The 100-MHz signal applied to the input of the devices and measured directly at the output with a power detector is used to extract the capacitance of the devices in real time as the switches actuate. The capacitance in the up-

Fig. 15. Measured up- and down-state capacitance versus cycle count for six MEM capacitive switches under cycle reliability testing. One of the devices is biased near its threshold and stopped actuating from 2 to 8 billion cycles, and then began partial actuation. Bias was increased at 22.5 billion cycles. The test was interrupted over seven times by test equipment failure.

and down-state are recorded every 35 000 cycles. The recorded up- and down-state capacitance for the six devices in parallel is shown in Fig. 15. One of the devices is biased near its threshold and stopped actuating from 2 to 8 billion cycles, and then began partial actuation. After 22.5 billion cycles, the actuation voltage was adjusted to pull all the switches down fully. The testing is ongoing and has reached over 290 billion cycles at the time this paper was submitted for publication. B. Hold-Down Testing A series of hold-down experiments was performed on unpackaged and packaged devices to determine the hold lifetime and charging characteristics of the switches. Numerous devices have been tested to 67 h and three years for unpackaged RF and optical devices, respectively. It has been previously shown that even with bipolar charging, the pull-down and release voltages of a switch can shift [10]–[12]. The exact mechanism is not known, but is thought to be due to some type of dielectric charging or polaron formation [13]. The basic experiment involves actuating a device with voltage and holding the switch in the down state with a hold voltage in 1-h increments. At the end of the 1-h period, the voltage

MULDAVIN et al.: WAFER-SCALE PACKAGED RF-MEM SWITCHES

Fig. 16. Measured release and hold voltage versus time for a first-generation capacitive switch held down with a 15-V bias. The test had periods of rest, outlined in red (in online version), as well as a 300 C anneal that allowed partial and full recovery, respectively.

527

Fig. 18. Pull-down voltage (V ) and release voltage (V ) versus cumulative X-ray radiation dose for a capacitive MEM switch.

portion of the device. A total of 12 different switch designs each in an array of 50 devices were held down using 60 V on the pull-down region with air gaps, and 12 V on the capacitive portion for 67 h. In the array of first-generation devices (no surrounding electrodes), two of the five devices failed to release after 67 h. In the arrays with surrounding pull-down electrodes (2G devices), no device failed. An optical device with air gaps only was held down for nearly three years (released every month without failure). C. Radiation Testing

Fig. 17. Cumulative time to failure-to-release versus hold voltage for a firstgeneration device where the full hold voltage is across the thin dielectric capacitive region. 2G devices with surrounding pull-down electrodes and lower voltages across the capacitive region exhibited lifetimes beyond a three-month continuous hold.

is lowered until the device releases . The pull-down voltage and release voltage are recorded for each cycle. A plot of the measured release and pull-down voltage versus hold-time V is shown for a first-generation capacitive switch with in Fig. 16. The test had periods of rest, as well as a 300 C anneal that allowed partial and full recovery, respectively. This experiment suggests that dielectric charging (polaron formation) is the cause of reduction in the release voltage and eventually failure to release. Additionally, charge seems to dissipate with time and temperature (thermally stimulated discharge). Similar experiments were carried out on identical devices with different hold-down voltages. The total time to failure was in Fig. 17, which shows an measured and is plotted versus exponential relationship between the hold voltage and the time required to build up enough charge to prevent the device from releasing. Such a time-dependent charging mechanism suggests that reducing the hold voltage or equivalently the electric field intensity in the dielectrics will extend the hold lifetime of the switch. Experiments were performed on unpackaged 2G devices [9], which include air gaps and latching pull-down electrodes to reduce the electric field intensity in the oxide for the capacitive

A fully packaged RF-MEM capacitive switch was tested for radiation effects using an Aracor X-ray radiation test system. The device was subjected to 100-, 500-, and 1000-kRad SiO cumulative doses while being actuated and held down with a 60-V bipolar waveform at 50% duty cycle. The packaged device withstood a total of 1-MRad total dose of these 10-keV X-rays without failure. , release voltage , and the The pull-down voltage -parameters were measured after each dose increment. As seen in Fig. 18, the pull-down voltage and release voltage are slightly affected by the radiation, especially at lower doses; however, there is no clear trend. The -parameters at each dose are shown in Fig. 19. The thru-state insertion loss shows slight variation ( 0.05 dB) between measurements at the different doses. Immediately after exposure, the open-state isolation exhibited a transient variation for the 100- and 500-kRad doses in which the isolation was 2 dB better than the unexposed and 1-MRad doses. After actuation to the thru state, and release, the isolation returned to the unexposed state, suggesting some charging effect that is dissipated during actuation. Further testing with high energy X-rays or other ionizing radiation is necessary to test packaged devices since the silicon package attenuates much of the soft X-ray radiation. VI. POWER HANDLING Power-handling experiments were conducted on the packaged series MEM capacitive switch shown in Fig. 8. The power handling of the MIT Lincoln Laboratory first generation unpackaged switch is reported in [14], demonstrating hot switched power handling of 1.7 W and cold switched power handling of

528

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 20. Pull-down voltage (V ), release voltage (V ), and release time (T ) for three identical MEM capacitive switches (rfstd) versus input RF power at 10 GHz. The 2.5-W testing limit is set by the power rating of our bias tees.

Fig. 19. S -parameters in the: (a) thru and (b) isolation states for a MEM capacitive switch for various cumulative X-ray radiation doses.

7 W at 10 GHz. Similar power-handling experiments were conducted on 2G fully packaged RF-MEM switches. The pull-down , release voltage , and release time for voltage three identical MEM capacitive switches (rfstd) versus input RF power at 10 GHz are shown in Fig. 20. The hot switching power handling was in excess of 2 W for all three devices tested. The 2.5-W testing limit is set by the power rating of the bias tees. One of the devices did not release above 2.2 W when the bias voltage was reduced slowly, but did under dynamic actuation up to the testing limit. The release time gradually increases and the release voltage slightly decreases with increasing input power until the hot switching power-handling limit is approached. The release voltage and release time rapidly decrease and increase, respectively, near the hot switching limit. The effect of hot switching and cold of device lifetime was not studied. The increase in release time should be noted and taken into account when using such switches in a system to avoid applying a high voltage bias to the switch before it fully releases. To date, we have not been able to simulate the full dynamic mechanics of our switch. Full simulation of power handling of MEM capacitive switches requires coupling of the dynamic thermal, electromechanical, and electromagnetic problem and is not addressed in this paper. VII. CRYOGENIC TESTING Cryogenic tests were performed on various packaged and unpackaged series capacitive switches. Six different switch de-

Fig. 21. Measured insertion loss in the closed state and isolation in the open state of the unpackaged capacitive switch at 4 K.

signs, each in an array of 50 devices, were successfully and repeatedly actuated at 76 and 4 K. The fixed-free tri-layer cantilever design and special features in the layout for stress relief at the anchor point allowed for very little deformation of the devices when cooled from room temperature to 4 K and resulted in a slight increase in the bias voltage as compared to room-temperature operation. Measurements on unpackaged devices showed RF operation consistent with the room-temperature performance with less than 0.1-dB insertion loss at 20 GHz, as shown in Fig. 21. This result demonstrates the possibility of superconducting RF-MEM devices and tunable filters. The effect of cryogenic temperatures on the dielectric charging in the switch was not fully studied here, but is the subject of ongoing research. VIII. CONCLUSION State-of-the-art simulated and measured results for series, series-shunt, and SP4T capacitive packaged switches has been presented. Simulation closely matches the measured data, enabling design of complex RF packaged circuits and subsystems. It is the authors’ hope that this packaging technology will enable successful demonstration of useful and complex RF systems with integrated RF-MEM technology.

MULDAVIN et al.: WAFER-SCALE PACKAGED RF-MEM SWITCHES

REFERENCES [1] S. Duffy, C. Bozler, S. Rabe, J. Knecht, L. Travis, P. Wyatt, C. Keast, and M. Gouker, “MEMS microswitches for reconfigurable microwave circuitry,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 3, pp. 106–108, Mar. 2001. [2] S. Majumder, J. Lampen, R. Morrison, and J. Maciel, “A packaged, high-lifetime ohmic MEMS RF switch,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 1935–1938. [3] A. D. Silva and H. Hughes, “The package integration of RF-MEMS switch and control IC for wireless applications,” IEEE Trans. Adv. Packag., vol. 26, no. 3, pp. 255–260, Aug. 2003. [4] A. Margomenos and L. Katehi, “Fabrication and accelerated hermeticity testing of an on-wafer package for RF MEMS,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 6, pp. 1626–1636, Jun. 2004. [5] T. Seki, “Recent progress in packaging of RF MEMS,” in Compound Semiconduct. Integrated Circuits Symp., Oct. 2004, pp. 233–236. [6] S. Majumder, J. Lampen, R. Morrison, and J. Maciel, “A packaged, high-lifetime ohmic MEMS RF switch,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 3, pp. 1935–1938. [7] J. B. Muldavin, C. Bozler, S. Rabe, and C. Keast, “Wide-band lowloss MEMS packaging technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, vol. 3, pp. 1919–1922. [8] J. B. Muldavin, C. Bozler, S. Rabe, and C. Keast, “Wafer-scale packaged RF-MEMS switches,” in IEEE MTT-S Int. Microw. Symp. Dig., San Diego, CA, Jun. 2006, vol. 3, pp. 267–270. [9] J. B. Muldavin, C. Bozler, S. Rabe, and C. Keast, “Large tuning range analog and multi-bit MEMS varactors,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, Jun. 2004, pp. 1919–1922. [10] G. Papaioannou, G. Wang, D. Bessas, and J. Papapolymerou, “Contactless dielectric charging mechanisms in RF-MEMS capacitive switches,” in 36th Eur. Microw. Conf., Sep. 2006, pp. 1739–1742. [11] R. Herfst, P. Steeneken, and J. Schmitz, “Time and voltage dependence of dielectric charging in RF MEMS capacitive switches,” in Proc. 45th Annu. IEEE Int. Phys. Symp., Apr. 2007, pp. 417–421. [12] X. Yuan, J. Hwang, D. Forehand, and C. Goldsmith, “Modeling and characterization of dielectric-charging effects in RF MEMS capacitive switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 753–756. [13] S. Melle, D. D. Conto, L. Mazenq, D. Dubuc, K. G. L. Bary, R. Plana, O. Vendier, J. Muraro, and J. Cazaux, “Modeling of the dielectric charging kinetic for capacitive RF-MEMS,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 757–760. [14] J. B. Muldavin, R. Boisvert, C. Bozler, S. Rabe, and C. Keast, “Power handling and linearity of MEM capacitive series switches,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 1919–1922.

Jeremy Muldavin (M’95) received the B.S.E. degree in engineering physics and M.S.E. and Ph.D. degrees in electrical engineering (with a major in electromagnetics and minor in communications) from The University of Michigan at Ann Arbor, in 1995 and 2001, respectively. His B.S.E. research concerned the area of high-energy spin physics. His graduate research focused on micromachined circuits and devices for RF and millimeter-wave circuit and antenna applications. He is currently a Staff Member with the MIT Lincoln Laboratory, Lexington, MA, where he has continued his interest in RF-MEM design, fabrication, and modeling.

529

Carl O. Bozler (M’72–SM’81–LSM’07) received the B.E.E. and M.S. degrees and Ph.D. degree from The Ohio State University, Columbus, in 1965 and 1969, respectively. His doctoral dissertation concerned thin-film crystal growth of indium arsenide. He continued his doctoral research with F. W. Bell Inc. In 1971, he joined the Sperry Rand Corporation, where he was involved with epitaxial growth of gallium arsenide and silicon, and on the design and fabrication of microwave devices. Since 1974, he has been with the MIT Lincoln Laboratory, Lexington, MA, where he performs research on epitaxial gallium arsenide, ion implantation, microwave devices, integrated optics, solar cells, high-speed transistors, the permeable base transistor, and field emitters. In recent years, his research has focused on the development of new MEM devices, including microshutters for flat panel displays, capacitive and dc switches for microwave switching, a rolling mirror for fiber-optic switching, and switches for integrated optics. He has authored or coauthored numerous publications. He holds numerous patents. Dr. Bozler was the recipient of the 1981 W. R. G. Baker Prize Award presented by the IEEE for his outstanding permeable base transistor paper.

Steven Rabe received the B.S. degree in physics from Monmouth College, Monmouth, NJ, in 1974. He is currently an Assistant Staff Member with the MIT Lincoln Laboratory, Lexington, MA, where he is involved with RF MEM design, process development, and fabrication.

Peter W. Wyatt (M’86) received the B.S. degree from the California Institute of Technology, Pasadena, in 1966, and the Ph.D. degree from Yale University, New Haven, CT, in 1971. Prior to joining the MIT Lincoln Laboratory, Lexington, MA, in 1977, he was with Bell Laboratories for several years, during which time he was involved with tantalum thin-film integrated circuits. He is currently a Senior Member of the Technical Staff with the Advanced Silicon Technology Group, MIT Lincoln Laboratory, where his particular research interest is SOI transistor fabrication, modeling, and testing. He has led efforts at the MIT Lincoln Laboratory in wafer-scale integration and in SPICE model development for fully depleted SOI (FDSOI) transistors.

Craig L. Keast was born in Los Angeles, CA. He received the B.A. degree from Hamilton College, Clinton, NY, in 1980, and the S.M., E.E, and Ph.D. degrees in electrical engineering and computer science from the Massachusetts Institute of Technology, Cambridge, in 1989, 1990, and 1992, respectively. From 1981 to 1988, he was with the MIT Lincoln Laboratory, Lexington, MA, where he was involved with semiconductor processing and technology development with the Digital Wafer-Scale Electronics Group. Upon completion of the doctoral degree, he returned to the MIT Lincoln Laboratory in 1992, where he was initially with the Submicrometer Technology Group involved with deeply scaled device development using advanced optical lithography techniques. In 1994, he became the Director of Operations for the Microelectronics Laboratory, and in 1996, he became the Leader of the Advanced Silicon Technology Group. His current research activities center on deep-submicrometer low-power high-performance fully depleted SOI (FDSOI) CMOS process development, charge-coupled device (CCD)/CMOS, RF and optical MEM, and 3-D circuit integration technologies.

530

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Novel High-Q MEMS Curled-Plate Variable Capacitors Fabricated in 0.35-m CMOS Technology Maher Bakri-Kassem, Member, IEEE, Siamak Fouladi, Student Member, IEEE, and Raafat R. Mansour, Fellow, IEEE

Abstract—Two microelectromechanical systems (MEMS) curled-plate variable capacitors, built in 0.35- m CMOS technology, are presented. The plates of the presented capacitors are intentionally curled upward to control the tuning performance. A newly developed maskless post-processing technique that is appropriate for MEMS/CMOS circuits is also presented. This technique consists of dry- and wet-etching steps and is developed to implement the proposed MEMS variable capacitors in CMOS technology. The capacitors are simulated mechanically by using the finite-element method in ANSYS, and the results are compared with the measured results. Two novel structures are presented. The first capacitor is a tri-state structure that exhibits a measured tuning range of 460% at 1 GHz with a flat capacitance response that is superior to that of conventional digital capacitors. The proposed capacitor is simulated in Ansoft’s High Frequency Structure Simulator (HFSS) and the capacitance extracted is compared with the measured capacitance over a frequency range of 1–5 GHz. The second capacitor is an analog continuous structure that demonstrates a measured continuous tuning range of 115% at 1 GHz with no pull-in. The measured quality factor is better than 300 at 1.5 GHz. The proposed curled-plate capacitors have a small area and can be realized to build a system-on-chip. Index Terms—CMOS microelectromechanical systems (MEMS) integration, MEMS, MEMS varactor, post-processing, RF integrated circuits (RFICs), variable capacitor.

I. INTRODUCTION

T

HE fabrication of microelectromechanical systems (MEMS) devices in commercially available CMOS technology, with a minimum feature size of a few hundred nanometers, can push MEMS technology to higher integration. It improves performance of RF integrated circuits (RFICs) and results in the elimination of bulky off-chip components. MEMS variable capacitors can be used as tuning elements in several RF systems, such as voltage-controlled oscillators (VCOs), tunable filters, and impedance-matching networks. Their size and RF response significantly affect the performance

Manuscript received April 12, 2007; revised September 7, 2007. This work was supported in part by the Natural Science and Engineering Research Council (NSERC) of Canada and by COM DEV. M. Bakri-Kassem was with the Center for Integrated RF Engineering (CIRFE), Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada N2L 3G1. He is now with the Research and Development Department, Custom Products Business Unit, MEMSCAP Inc., Durham, NC 27703 USA (e-mail: [email protected]). S. Fouladi and R. R. Mansour are with the Center for Integrated RF Engineering (CIRFE), Electrical and Computer Engineering Department, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914657

of the system. Recently, several MEMS variable capacitors have been reported with different structures and fabrication technologies [1]–[9]. These capacitors are classified as either lateral interdigital or parallel-plate capacitors. Lateral interdigital MEMS capacitors demonstrate a better linear tuning characteristic than parallel-plate capacitors, whereas parallel-plate capacitors exhibit a higher quality factor and lower parasitic inductance. Lateral MEMS variable capacitors, fabricated of single crystalline silicon in silicon-on-insulator (SOI) technology and interconnect layers in CMOS technology, have been described in [2] and [8], respectively. These capacitors exhibit a low quality factor and a low self-resonance frequency and occupy a relatively large area. Parallel-plate capacitors are simple to fabricate and can be designed for higher capacitance values with a smaller area [7], [10]. In this paper, novel MEMS/CMOS curled-plate variable capacitors for RF and microwave applications are presented. These capacitors are manufactured in 0.35- m CMOS technology from the Taiwan Semiconductor Manufacturing Company (TSMC), Taipei, Taiwan, R.O.C., and then post-processed by optimizing the technique presented in [11]. The advantage of choosing CMOS is that MEMS capacitors can be monolithically integrated with active CMOS devices on the same chip to exploit their higher quality factor, smaller area, and higher self-resonance frequency to create highly integrated RFICs. The two novel structures proposed in this paper have the potential to replace conventional digital and analog continuous capacitors, especially for circuits designed in CMOS technology. II. DESIGNED CAPACITORS The new curled-plate MEMS variable capacitors are fabricated in 0.35- m CMOS technology. The capacitors are built by using metal interconnect layers shown in Fig. 1. Four metal layers and two polysilicon layers are available through this CMOS technology. The top metal layer, metal #4, serves as a mask in the first dry-etching stage in the proposed post-processing technique. The top and bottom plates of the parallel-plate capacitors consists of metal #3 and metal #1 layers, respectively. Metal #2 is offered as a sacrificial layer to create an air gap between the capacitor’s plates. With this approach, the total distance between the top and bottom plates is typically 2.64 m, including 1 m of oxide on both plates and a 0.64- m air gap. The oxide dielectric layers prevent the capacitor from short circuiting when the two plates touch each other. In this paper, two structures of capacitors, based on a newly designed spring system and curl action due to residual stress, are

0018-9480/$25.00 © 2008 IEEE

BAKRI-KASSEM et al.: NOVEL HIGH-

MEMS CURLED-PLATE VARIABLE CAPACITORS

531

Fig. 1. Cross-sectional view of the layer stack in 0.35-m CMOS technology from TSMC.

Fig. 3. Schematic diagram of the novel tri-state capacitor.

Fig. 2. 2-D layout of the tri-state type capacitor built in L-edit.

proposed. The top plate of the capacitor consists of two layers, one on top of the other. The oxide layer of the top plate is found to be 0.65- m thick and reveals compressive stress, whereas the top layer of the top plate is aluminum and has a tensile stress [12]. Both the top and bottom plates of the capacitors are movable. However, unlike the two movable plate capacitors in [3], the proposed capacitors are integrated monolithically in a commercially available CMOS technology, and their plates are intentionally curled upward to control the capacitors’ tuning performance. A. Tri-State Curled-Plate Capacitor Design The first capacitor is a tri-state-type capacitor, composed of an eight-beam spring system with four beams for the top plate and four beams for the bottom plate. These are called the main beams. Fig. 2 denotes a top view of the tri-state capacitor. These main beams function not only as electrical paths for the RF signal, but also as mechanical supports that control the curl up of the plates (see Fig. 2). The beams force both plates to adopt different curvatures because the beams pull the plates down at the contact points opposing the moment induced from the residual stress. As a result, both plates display nonuniform curvatures that are divided into four top sections and four bottom sections, as depicted in Fig. 3. The schematic diagram of the tri-state capacitor can be understood by looking at the cross section in Fig. 2. In Fig. 3, the top sections and exhibit difand . The bottom secferent curvatures than those of and show different curvatures than the bottom tions

Fig. 4. Schematic diagram of the proposed tri-state capacitor showing the three main states. (a) Capacitor at zero dc-bias voltage. (b) Capacitor after the first collapse point. (c) Capacitor after the second collapse point.

sections and . The bottom plate curvatures are relatively smaller than the top plate curvatures due to the thicker oxide layer. As illustrated in Fig. 3, each top plate and each bottom plate consists of four sections with two different curvatures. Both plates of the capacitor touch each other at the initial state, where the dc-bias voltage is zero (first capacitance level). After the and that disfirst collapse voltage, the second state, play a radius of curvature of , collapse on their overlapping and , which have a radius of curvature of sections, (second capacitance level). At the third state, and , which have a radius of curvature of , collapse on and of the bottom plate, which have a radius of curvature of , when the second collapse voltage is attained (third capacitance level). Fig. 4 is a schematic diagram for the three previous states of the proposed tri-state capacitor. Fig. 4(a) is a schematic diagram of the new capacitor at the initial state at zero dc-bias and colvoltage. Fig. 4(b) depicts the capacitor after and , and Fig. 4(c) shows the capacitor after lapse on and collapse on and . The fact that the main beams prevent the top and bottom plates from curling up and having a uniform curvature causes the capacitor to collapse in and overlaps and two steps. This occurs because

532

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

III. POST-PROCESSING AND FABRICATION OF THE PROPOSED CAPACITORS

Fig. 5. 2-D layout of the proposed curled continuous MEMS/CMOS variable capacitor built in L-edit.

Fig. 6. Schematic diagram of the proposed curled continuous MEMS/CMOS variable capacitor.

undergo a higher restoring force than that of and that overlaps and . The difference in the restoring force and , from collapsing on bottom prevents top sections, and , until a dc-bias voltage is increased and sections, a second collapse voltage point is achieved. B. Analog Continuous Curled-Plate Capacitor Design The second proposed capacitor, a continuous capacitor, has eight main beams and eight additional corner beams that mechanically connect the top and bottom plates of the capacitor to the silicon substrate. These long and narrow corner beams do not contribute a meaningful spring constant in the transverse direction; however, they do portray the high restoring forces in plane, where the corner beams attempt to prevent the top and bottom plates from curling up as high as the ones in the novel tri-state capacitor. Fig. 5 illustrates the layout of the continuous capacitor. The 16-beam spring system that is connected to both plates of the continuous capacitor is shown in this figure. A schematic diagram of the second proposed capacitor is illustrated in Fig. 6. For this capacitor, the corner beams can control the curl of both plates from deflecting too far from one another. The equivalent restoring force of these deflected beams, caused by the moment induced from the residual stresses, results in a nonlinear restoring force that opposes the nonlinear electrostatic force, generated by the dc-bias voltage in parallel-plate capacitors.

A maskless post-processing technique is used to release the MEMS curled-plate capacitors. This technique consists of three stages, i.e.: 1) dry etching; 2) wet etching; and lastly; 3) dry etching. The first stage is the same as that in the dry etching in [13] for a lateral interdigitated capacitor. In this paper, the addition of a wet-etching stage and a final dry-etching stage are proposed to realize the curled-plate capacitors. In this technique, the objective is to etch the sacrificial layer, which is metal #2, create a deep trench in the substrate, decrease the thickness of each oxide layer, etch away the mask layer, metal #4, and finally, expose the RF pads and the top capacitor plate metal layer #3. The process is developed to integrate the novel MEMS curled-plate capacitors with RFICs that can be implemented in CMOS technology. A schematic view of the post-processing stages is presented in Fig. 7. The first dry-etching stage consists of three steps, which are as follows. Step 1) Anisotropic etching of the silicon oxide by using reactive ion etching (RIE) with CHF and O plasma. Step 2) Anisotropic etching of the silicon substrate by employing deep reactive ion etching (DRIE) with SF and O . Step 3) Isotropic etching of the silicon substrate by using SF and O [13]. The first dry-etching stage that involves the removal of the silicon oxide and silicon substrate, around the MEMS structure, is signified in Fig. 7(b). Since the wet etchants are not selective regarding silicon and aluminum, it is critical to keep an oxide layer around the structural metal layers (metal #1 and metal #3) to protect the aluminum from being etched by the wet etchants. This is accomplished by extending metal #4 over the top of the structural metal layers. Therefore, metal #2, which must be exposed after the RIE step, should be extended beyond metal #4 layer. As shown in the cross-sectional view of the new capacitor in Fig. 7, metal #4 extends over metal #1 and metal #3 by 2 m, an extension sufficient to protect the capacitor’s two plates from being exposed to nonideal anisotropic etching. Table I lists the parameters and etch recipe for the first and third dry-etching stages. The measured anisotropic etch rate of oxide is 670 Å/min. Fig. 8(a) reflects an SEM image of the capacitor after the anisotropic dry-etching step of oxide. The substrate is then etched by using anisotropic dry etching, isotropic dry etching, and wet etching. Fig. 8(b) displays the chip after the wet etching of the aluminum and silicon substrate. The wet etching achieves the following six objectives simultaneously: 1) releases the top plate of the variable capacitor from the bottom plate; 2) etching away the mask layer (metal #4); 3) etching away the lossy silicon substrate underneath the capacitor, which releases the bottom plate; 4) cleans the trench underneath the released structure by etching away the remaining piles of silicon, left after the isotropic dry etching, as shown in Fig. 9 and resulting, of course, in a deeper trench in the silicon substrate, compared with using dry etching alone;

BAKRI-KASSEM et al.: NOVEL HIGH-

MEMS CURLED-PLATE VARIABLE CAPACITORS

533

Fig. 8. SEM image of the capacitor. (a) After dry etching of oxide, before wet etching, and (b) after wet etching of aluminum.

Fig. 7. Post-processing steps for the new technique of RF MEMS/CMOS integrated circuits. (a) Chip after being fabricated and delivered. (b) After the first dry etching (stage #1) that includes the anisotropic etching of oxide, anisotropic, and isotropic on the silicon substrate. (c) After the wet etching (stage #2) that consists of isotropic etching of aluminum and anisotropic etching of silicon. (d) After the second dry etching (stage #3).

TABLE I DRY-ETCHING STEPS

5) eliminates the under-etching of the silicon substrate underneath the electronic circuits for the same trench depth; 6) decreases the thickness of the oxide layers, resulting in lower equivalent stiffness, in order to reduce the actuation voltage between the top and bottom plates and enhance the curl up.

Fig. 9. Capacitor after the first dry-etching stage.

The combination of wet and dry etching of the silicon substrate in the proposed post-processing technique is more desirable than dry etching alone [13] or wet etching alone [11]. The combination requires the same safety distance the dry etching needs from the electronics, and creates a deeper trench caused by the wet etching, at least 2.5 times deeper than previously published techniques [11], [13], improving RF performance. Fig. 7(c) is a schematic diagram of the released capacitor after the first dry- and wet-etching stages. The top plate of the variable capacitor is released by etching the exposed sacrificial metal layer, which, in this case, is metal #2, as signified in Fig. 7(c). The lossy silicon substrate is etched to improve the quality factor and, in turn, enhancing the RF performance. Etching away the mask layer (metal #4) is a vital step for the new technique because the etching eliminates the huge parasitic capacitance that might be induced in the other three interconnect metal layers and metal #4. The wet-etching stage is conducted by a phosphoric-acetic-nitric (PAN) acids etch for 40 min at 60 C to etch the aluminum. To etch the adhesion layer, a sulfuric acid H SO H O etch for 30 min at 60 C is sufficient. Finally, potassium hydroxide (KOH) is applied for 10 min at 80 C at a silicon etching rate of 8.33 m/min [14] and an oxide etching rate of 38 nm/min [15]. The new technique

534

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE II WET-ETCHING RECIPES

allows a slight etching of the oxide layer that protects the metal layer. Tetra methyl ammonium hydroxide (TMAH) is then used for 85 min at 90 C to continue etching the silicon substrate. The wet-etching stage is summarized in Table II. Etching away the encapsulating oxide layers results in thinner oxide layers, enhancing the curl up of the top and bottom plates, decreasing the total equivalent stiffness, and lowering the actuation voltage. The measured oxide layer after the KOH etching is 0.65 m, indicating that the KOH etched away 0.45 m from and silicon planes and the oxide. TMAH etches the plane. The concentration of TMAH used at stops at the 90 C leads to an etch rate of 0.25 m/min. After 95 min of wet etching in KOH and TMAH, the total measured depth of the trench is more than 125 m. Release holes are required to etch the sacrificial metal layer and etch through the silicon substrate so that the lossy silicon substrate underneath can be etched in a shorter period of time. These release holes are created in the metal #4 and metal #2 mask layers, shrinking metal #3 and metal #1, and extending metal #2 farther outward, as shown in Fig. 7. Now, the top and bottom plates are readily released without affecting the buffer distance for the electronics on the chip. Moreover, the wet-etching stage allows us to release the proposed capacitors with fewer release holes. The size of the hole in metal #2 is 5 m, and the spacing between two adjacent holes is 20 m. IV. SIMULATIONS AND MEASURED RESULTS OF THE CURLED-PLATE CAPACITORS In the proposed process, the maximum curl up of the MEMS/ CMOS capacitors is controlled as follows: 1) location and number of springs in the design of capacitors that control the curling of the aluminum and oxide layers; 2) temperature these devices are exposed to during the postprocessing stages; 3) combination of the different layers of materials used to build the plates of the capacitor. For example, the bottom plate consists of an oxide–aluminum–oxide tri-layer that demonstrates less curling than the top plate, which is a bi-layer of aluminum–oxide. A. Tri-State Curled-Plate Variable Capacitor Analysis For the proposed tri-state capacitor, both plates touch each other at zero dc-bias voltage. After the dc-bias voltage is applied, the plates begin to relax on each other without any significant change in capacitance despite their minimal overlapping areas. Fig. 10 shows a schematic diagram of half of the

Fig. 10. Schematic diagram of half of the proposed tri-state capacitor with the applied symmetry line.

Fig. 11. Quarter of the tri-state capacitor for both the top and bottom plates after applying the two symmetry lines.

tri-state capacitor after the symmetrical boundary is applied. The new tri-state capacitor is simulated in ANSYS. The capacitor’s model is simplified by applying two symmetries on one-quarter of the capacitor, as depicted in Fig. 11. The notations and dimensions of the curled sections are also reflected in Fig. 11. The curled sections collapse down step by step at the and because of their warped center of their curvatures, shapes, as illustrated in Figs. 10 and 11. This is due to the stiffness of the main beams that causes the difference in curvature in the different curled sections. These beams are tilted 45 in-plane to impose a force in the direction of the beam , as conveyed in Fig. 11, at the contact points with the plates when the plates curl up due to the induced moment by the residual stress. These beams also create bending moments as a reaction at the contact points with the plates that oppose the direction of the moment in the top and bottom plates. The main equivalent force from this bending moment is a vertical force that is applied at the contact points with the plates. As a result of this vertical force, nonuniform curvature is created in the top and bottom plates. Fig. 12 represents the SEM photography of the tri-state capacitor. The capacitor is analyzed by surface optical profilometer (Veeco) software, and its measured -axis profile is obtained. The measured maximum curl up of the top plate for the tri-state

BAKRI-KASSEM et al.: NOVEL HIGH-

MEMS CURLED-PLATE VARIABLE CAPACITORS

535

Fig. 12. SEM photograph of the fabricated tri-state capacitor.

Fig. 14. Simulated displacement results of the 3-D structure of the proposed tri-state capacitor obtained in ANSYS, showing the four main positions. (a) Capacitor at 42 V before the first collapse point. (b) Capacitor at 44 V after the first collapse point. (c) Capacitor at 54 V before the second collapse point. (d) Capacitor at 56 V after the second collapse point.

Fig. 13. Measured profile of the curl up in the capacitor. (a) Top view of the tri-state capacitor. (b) 2-D profile of the tri-state capacitor.

capacitor is almost 42.7 m, as illustrated in Fig. 13. The maximum simulated curl up at zero dc-bias voltage, obtained using fit to measured curl-up data in ANSYS, is 42 m. From the direction of the measured curl up, the net stress is a tensile stress, caused by the aluminum layer [16]. To verify the measured results, the tri-state capacitor is then simulated in ANSYS using a dc-bias voltage range from 0 to 60 V. The used Young’s modulus for aluminum and oxide are 49 and 56 GPa, respectively [17]. Both plates, the top and the bottom, attract each other due to the applied electrostatic force. Fig. 14 denotes the simulated displacement for the tri-state capacitor at four voltages. The simulated displacement results for the tri-state capacitor demonstrate that the first collapse occurs between 42–44 V, and the second collapse occurs between 54–56 V. The extracted displacement and the

dc-bias voltages for the locations and in the top and bottom plates (see Fig. 11) are illustrated in Fig. 15(a) and (b) in a range of 28–70 V, respectively. Fig. 15(a) illustrates the collapse on at the maximum displacement before . Fig. 15(b) reveals that the location on both location plates exhibits two different points of collapse. To model the tri-state curled-plate capacitor, a technique, derived from the method of moments, is developed to theoretically simulate the capacitance between the two plates of the capacitor [17]. In Fig. 16, it is assumed that the top and bottom plate areas are divided into subareas where the top and bottom plates have subareas. The capacitance is then calculated by implementing the simulated displacement results obtained in ANSYS, as shown in Fig. 15(a) and (b) in the newly developed method of moments model. Due to the oxide layers, the equivalent dielectric constant [18] at each subarea is used to obtain the simulated capacitance. This capacitance and capacitance extracted from the measurement results, after deembedding the RF testing pads at 1 GHz, are plotted in Fig. 17. The measurement and simulated capacitance are in good agreement. The difference between the extracted simulated and measured capacitance is due to the nonideal collapse at the edges of the plates, and the fact that the method of moments does not include the RF effect and release holes. The extracted measured self-resonance of the tri-state capacitor is better than 20 GHz at a dc-bias voltage of 70 V. After the post-processing technique and the release process, the capacitors are placed in a CO critical point drying system to avoid surface stiction. The residual stress forces the top and the bottom plates to curl upward because of the net tensile stress [12], [19], [20], while the main beams attempt to oppose this moment at the contact points. This action increases the and compared with that of and radius of curvature , respectively, for the top and bottom plates of the tri-state capacitor.

536

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 17. Simulated capacitance of the proposed tri-state capacitor using the method of moments based on the displacement results obtained in ANSYS and the measured tuning response of the fabricated tri-state type curled plate capacitor at 1 GHz.

Fig. 15. Extracted simulated displacement response of the proposed tri-state capacitor in ANSYS model. (a) Extracted simulated results at location P . (b) Extracted simulated results at location P .

Fig. 16. Schematic diagram of the MEMS variable capacitor being divided into subareas for both plates.

The advantage of using curled-plate sections that collapse at different voltages is that the sections provide a compact structure that has a higher self-resonance, higher quality factor, and

less capacitance variation than those of conventional digital capacitors. This occurs because no narrow beams are needed for the arrays of capacitors. The conventional digital capacitor, reported in [9] and [21], yields a continuous performance. The modified version of [21], as described in [4], includes larger plates. However, the beams are narrow for their arrays of capacitors [2], [4], [21]. The 50% maximum tuning range of these plates of the conventional digital capacitor in [4] leads to a measured capacitance variation of 28%, 36%, and 20% for the first, second, and third capacitance levels, respectively. This is due to the effect of the dc-bias voltage on the other capacitors that should not deform. The proposed tri-state capacitor exhibits three stable capacitance ranges based on the novel integrated mechanical tuning system that functions according to the applied dc-bias voltages. As illustrated in Fig. 17, a measured maximum capacitance variation of 9% is obtained at the second capacitance level. The first capacitance level has a variation of 7% over a dc-bias voltage range of 0–42 V, the second capacitance level has a variation of 9% over a dc-bias voltage of 46–58 V, and the third capacitance level has a variation of 5% over a dc-bias voltage range of 60–70 V. The tri-state capacitor exhibits a flatter response and better variation than conventional digital capacitors, as shown in Fig. 17. The capacitor’s hysteresis is not measured at this stage, but, it might slightly shift the measured response in the voltage axis. This should not affect the maximum variation. The tri-state capacitor is compact with an area of only 500 m 500 m. The maximum deflection of the tip of a curled cantilever before collapse with a fixed bottom electrode is reported to be 33% [22] of the total distance between the free end tip and bottom electrode. The maximum reported capacitance change at the pull-in voltage for a conventional curled cantilever beam is also 20% [23]. In conclusion, the curled beams have fewer capacitive variations compared with unstressed beams. However, the tri-state capacitor has capacitive variations that are at least 50% less than those of conventional curled beams. This value results in 75% less variation than that of conventional digital capacitors [4].

BAKRI-KASSEM et al.: NOVEL HIGH-

MEMS CURLED-PLATE VARIABLE CAPACITORS

537

Fig. 19. Comparison between the simulated capacitances in HFSS and the measured capacitance from 1 GHz up to 5 GHz for the tri-state capacitor.

B. Analog Continuous Curled-Plate Variable Capacitor Analysis Fig. 18. Proposed capacitor simulated in HFSS. (a) Capacitor after being deflected due to the residual stress before applying dc-bias (first state). (b) Simulated capacitor after the first collapse point (second state).

The analytical model for the conventional curled structure in [24] assumes a curled cantilever on top of a fixed electrode. The analyses in [22]–[24] are not applicable to the proposed structure since each plate curls up with two different curvatures and the plates attracteachothersuchthatthetopplatedeforms downward, while the bottom plate deforms upward. Moreover, the sections of both plates that touch result in vertical movement, whereas the conventional cantilever is anchored at the corresponding end. To study the capacitance extracted at high frequencies, the tri-state capacitor is built in Ansoft’s High Frequency Structure Simulator (HFSS), as reflected in Fig. 18. Three HFSS simulations are carried out. The first simulation is for the first state of the tri-state capacitor in which the two plates slightly touch each other in the initial position at zero dc-bias voltage. In the second and collapse on and due to the simulation, applied dc-bias voltage that exceeds the first collapse point. In the third simulation, the entire top plate collapses on the bottom plate. Fig. 18 shows the 3-D structures of the proposed tri-state capacitor for the zero dc-bias voltage and after the first collapse voltage. In all the HFSS simulations, the RF pads are excluded, and the trench in the silicon substrate is included. The one-port network topology is adapted to extract the capacitance from the -parameters simulated in HFSS. Fig. 19 provides a comparison between the extracted capacitance from HFSS and the extracted capacitance from the measurements. The results of the extracted capacitances from HFSS are in good agreement with the measurements for the zero dc-bias voltage and the 46-V dc-bias voltage. The error is 34% at 5 GHz for a dc-bias voltage of 70 V. This error is due to the remaining oxide in the release holes under the mask layer metal #4 and the sacrificial layer metal #2. This oxide causes higher fringing field at higher frequency due to the higher dielectric constant of the oxide in comparison with air.

The analog continuous capacitor in Fig. 5 has corner beams, which are relatively low in their spring constants in the transverse direction, connecting the corners of the capacitor to the substrate. The existence of these corner beams prevents the top and bottom plates from curling up too high and moving away from each other. The capability of these corner beams to limit the plates of the analog continuous capacitor from curling upward to the same height as that of the tri-state capacitor induces high stress in the corner beams’ axial directions. However, the corner beams are thinner than the main beams to allow the corners of the capacitor to curl upward relatively more than the curl up at the contact points of the main beams. This facilitates the creation of a nonlinear equivalent spring constant in both the top and bottom plates that results in a nonlinear restoring force. This force opposes the induced nonlinear electrostatic force from the applied dc-bias voltage, and as a result, deforms both plates without discontinuities from collapsing. Fig. 20 presents a top view of the schematic diagram of one-quarter of the analog continuous capacitor. The in-plane restoring force from the corner beam axial direction is decomand are posed to two forces in the guided beams [25]. the projections of the force caused by the corner narrow beam, and are the projections of the force caused by whereas the main wide beam. To measure the initial curl up of the analog continuous capacitor, an optical surface profilometer (Veeco) is used. Fig. 21 shows an SEM photograph of the analog continuous capacitor. Fig. 22 provides the 2-D profile. The maximum curl up between the edges of the top plate and the center of the capacitor is measured by the surface profilometer and found to be 15.8 m, as depicted in Fig. 22. In addition, the proposed analog continuous capacitor is simulated in ANSYS. The portion of the capacitor in Fig. 20 is used after two symmetry lines are applied. The maximum simulated curl up uses a fit to measured curl-up data in ANSYS, which occurs at the location , is found to be 14.51 m at zero dc-bias voltage. The maximum simulated curl up of the hidden bottom plate of the capacitor is then obtained from ANSYS and found

538

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 20. Top view of a schematic diagram of one-quarter of the curled analog continuous capacitor for both plates, top and bottom, illustrating the induced tensile force.

Fig. 22. Measured profile of the curl up in the capacitor. (a) Top view of the curled analog continuous capacitor. (b) 2-D profile of the of the - and -axis of the capacitor.

Y

X

Fig. 21. SEM photograph of the fabricated curled analog continuous capacitor.

to be 5.8 m at the location as well. Due to the oxide–aluminum–oxide tri-layer, there is less curl up in the bottom plate. The capacitor is simulated over a dc-bias range from 0 to 70 V. Fig. 23 illustrates the 3-D results at four different dc-bias voltages. The simulated results of the displacement in ANSYS, in for the area relation to the dc-bias voltage of the location (see Fig. 20) are shown in Fig. 24(a). A small area of the analog continuous capacitor on Fig. 20 indicates a jump in displacement at 46 V, as shown in Fig. 24(b). This jump occurs because of and its symmetrical force from the vertical symmetry line, causing these sections of the capacitor to collapse, as a cause of buckling, on each other and cause a capacitance jump [16]. It is clear from the simulated results for the displacement versus dc bias that both plates move toward each other. The extracted measured capacitance at 1 GHz and the theoretically simulated capacitance of the method of moments model over a dc-bias voltage from 0 up to 70 V is illustrated in Fig. 25. The measurement indicates that the capacitor changes its slope for both plates. The at 44 V, due to the small jump in area capacitance extracted from the measurement over a frequency

Fig. 23. 3-D displacement results of the proposed curled analog continuous capacitor showing four obtained results. (a) Capacitor at zero dc-bias voltage. (b) Capacitor at a 36 dc-bias voltage. (c) Capacitor at 52 dc-bias voltage. (d) Capacitor at 70 dc-bias voltage.

range of 1–5 GHz is plotted in Fig. 26. The proposed analog continuous capacitor demonstrates a continuous tuning response for two ranges of the measurements; the first is from 18 to 42 V and the second range is from 42 to 52 V. Unlike the conventional capacitor that is reported in [11], which has a 50% tuning range before the pull-in, the proposed analog continuous capacitor is not prone to the pull-in voltage because of the following two reason. The top plate is already (see Fig. 20). The touching the bottom plate with an area contact is due to the initial curling upward, initiated by the

BAKRI-KASSEM et al.: NOVEL HIGH-

MEMS CURLED-PLATE VARIABLE CAPACITORS

539

Fig. 26. Measured extracted capacitance of the curled-plate analog continuous MEMS/CMOS variable capacitor from zero dc-bias voltage up to 52 dc-bias voltage for a frequency from 1 up to 5 GHz.

Fig. 24. Simulated displacement versus dc-bias voltage of the curled analog continuous capacitor obtained in ANSYS. (a) Displacement results for both plates of location P that is shown in Fig. 20. (b) Displacement results for both plates at location P shown in Fig. 20. Fig. 27. Measured S of the tri-state and the analog continuous MEMS varactors before deembedding the RF pads.

Fig. 25. Measured tuning response of the fabricated analog continuous curledplate capacitor at 1 GHz and the simulated tuning response using the method of moments model.

residual stress on both plates, and the equivalent restoring force for the spring constant system is nonlinear due to the existence of the residual stress, corner beams, and main beams.

Fig. 28. Measured quality factor of the proposed analog continuous and tristate MEMS/CMOS variable capacitors at zero dc-bias voltage and 52 dc-bias voltage, respectively.

The measured quality factor of the capacitors is extracted that are illustrated in Fig. 27 for from the -parameters

540

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

both capacitors after the RF pads are deembedded. Fig. 28 illustrates the measured quality factor for both capacitors. The quality factor of the analog continuous capacitor is better than 300 at 1.5 GHz at zero dc-bias voltage, which is at least 4.6 times better than the quality factor of the conventional parallel-plate capacitor, reported in [11], due to the deeper trench obtained by the combination of the dry and wet etching of the silicon substrate. V. CONCLUSIONS A maskless MEMS CMOS-compatible post-processing technique that enables the fabrication of a parallel-plate capacitors type for RF and microwave circuits has been introduced. Two structures of curled-plate MEMS variable capacitors have been proposed: a tri-state curled-plate capacitor and a analog continuous curled-plate capacitor. Both capacitors have been fabricated by using commercially available 0.35- m CMOS technology. The residual stress of the CMOS fabrication process has been adopted as a feature to tailor the tuning performance of the capacitors. The tri-state curled-plate capacitor exhibits three levels of measured capacitance whose values can be controlled by a set of beams. The proposed analog continuous capacitor exhibited an almost linear measured tuning range of 115% with an eliminated pull-in voltage. These MEMS capacitors exhibit the highest quality factor of MEMS variable capacitors built in CMOS. The finite-element method software ANSYS was chosen to simulate the mechanical behavior of the curled-plate capacitors versus the applied voltage. A fit to measured curl-up data has been implemented to simulate the curl up at zero-bias voltage. The ANSYS mechanical solution has been coupled to an analysis based on the method of moments to determine the capacitance value versus the voltage for the proposed curled-plate capacitors. The approach has provided theoretical results that are in good agreement with the measured data. The thermal stability issue and reliability issue due to the dielectric charging effect are beyond the scope of this paper and will be addressed in the future. The post-processing technique is maskless and involves dryand wet-etching stages. The proposed integrated MEMS/CMOS variable capacitors have the potential to be useful as tuning elements in compact RF subsystems such as impedance tuners, VCOs, and miniaturized integrated tunable filters for silicon-onchip (SoC). REFERENCES [1] G. Rebeiz, RF MEMS: Theory, Design, and Technology, 1st ed. New York: Wiley, 2003. [2] I. Borwick, R. L. P. Stupar, J. DeNatale, R. Anderson, and R. Erlandson, “Variable MEMS capacitors implemented into RF filter systems,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 315–319, Jan. 2003. [3] M. Bakri-Kassem and R. Mansour, “Two movable-plate nitride-loaded MEMS variable capacitor,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 831–837, Mar. 2004. [4] F. Faheem, K. Gupta, and Y.-C. Lee, “Flip-chip assembly and liquid crystal polymer encapsulation for variable MEMS capacitors,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2562–2567, Dec. 2003.

[5] M. Bakri-Kassem and R. Mansour, “A high-tuning-range MEMS variable capacitor using carrier beams,” Can. J. Elect. Comput. Eng., vol. 31, no. 2, pp. 89–95, Spring, 2006. [6] C. Tsai, P. Stupar, I. Borwick, R. L. M. Pai, and J. DeNatale, “An isolated tunable capacitor with a linear capacitance–voltage behavior,” in 12th Int. Solid-State Sens., Actuators, Microsyst. Conf., Jun. 8–12, 2003, vol. 1, pp. 833–836. [7] M. Bakri-Kassem and R. Mansour, “High tuning range parallel plate MEMS variable capacitors with arrays of supporting beams,” in 19th IEEE Int. MEMS Conf., Istanbul, Turkey, Jan. 22–26, 2006, pp. 666–669. [8] A. Oz and G. Fedder, “CMOS-compatible RF-MEMS tunable capacitors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 8–13, 2003, vol. 1, pp. A97–A100. [9] J. Muldavin, C. Bozler, S. Rabe, and C. Keast, “Large tuning range analog and multi-bit MEMS varactors,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 6–11, 2004, vol. 3, pp. 1919–1922. [10] T. Rijks, J. van Beek, P. Steeneken, M. Ulenaers, J. De Coster, and R. Puers, “RF MEMS tunable capacitors with large tuning ratio,” in 17th IEEE Int. MEMS Conf., 2004, pp. 777–780. [11] S. Fouladi, M. Bakri-Kassem, and R. R. Mansour, “An integrated tunable bandpass filter using MEMS parallel-plate variable capacitors implemented with 0.35 m CMOS technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 3–8, 2007, pp. 505–508. [12] H. Xie, Y. Pan, and G. Fedder, “A CMOS-MEMS mirror with curled-hinge comb drives,” J. Microelectromech. Syst., vol. 12, no. 4, pp. 450–457, Aug. 2003. [13] G. Fedder, S. Santhanam, M. Reed, S. Eagle, D. Guillou, M.-C. Lu, and L. Carley, “Laminated high-aspect-ratio microstructures in a conventional CMOS process,” in Proc. 9th Annu. Int. IEEE MEMS Workshop, Feb. 11–15, 1996, pp. 13–18. [14] C.-T. Ko, J.-P. Wu, W.-C. Wang, C.-H. Huang, S.-H. Tseng, Y.-L. Chen, and M.-C. Lu, “A highly sensitive CMOS-MEMS capacitive tactile sensor,” in Proc. 19th Int. IEEE MEMS Conf., Istanbul, Turkey, Jan. 22–26, 2006, pp. 642–645. [15] K. Williams, K. Gupta, and M. Wasilik, “Etch rates for micromachining processing—Part II,” J. Microelectromech. Syst., vol. 12, no. 6, pp. 761–778, Dec. 2003. [16] S. D. Senturia, Microsystem Design, F. Printing, Ed. Norwell, MA: Kluwer, 2002. [17] R. F. Harrington, Field Computation by Moment Methods Book, 1st ed. New York: Wiley, 2001. [18] Y. Yoon and B. Kim, “A new formula for effective dielectric constant in multi-dielectric layer microstrip structure,” in IEEE Elect. Perform. Electron. Packag. Conf., Oct. 23–25, 2000, pp. 163–167. [19] G. Zhang, H. Xie, L. de Rosset, and G. Fedder, “A lateral capacitive CMOS accelerometer with structural curl compensation,” in Proc. 12th IEEE Int. MEMS Conf., Jan. 17–21, 1999, pp. 606–611. [20] M.-A. Eyoum, N. Hoivik, C. Jahnes, J. Cotte, and X.-H. Liu, “Analysis and modeling of curvature in copper-based structures fabricated using CMOS interconnect technology,” in 13th Int. Solid-State Sens., Actuators, Microsyst. Conf. Tech. Dig., Jun. 5–9, 2005, vol. 1, pp. 764–767. [21] N. Hoivik, M. Michalicek, Y. Lee, K. Gupta, and V. Bright, “Digitally controllable variable high-Q MEMS capacitor for RF applications,” in IEEE MTT-S Int. Microw. Symp. Dig., May 20–25, 2001, vol. 3, pp. 2115–2118. [22] R. Legtenberg, J. Gilbert, S. Senturia, and M. Elwenspoek, “Electrostatic curved electrode actuators,” J. Microelectromech. Syst., vol. 6, no. 3, pp. 257–265, Sep. 1997. [23] L. C. Wei, A. Mohammad, and N. Kassim, “Analytical modeling for determination of pull-in voltage for an electrostatic actuated MEMS cantilever beam,” in Proc. Int. IEEE Semiconduct. Electron. Conf., Dec. 19–21, 2002, pp. 233–238. [24] Y.-C. Hu, “Closed form solutions for the pull-in voltage of micro curled beams subjected to electrostatic loads to electrostatic loads,” J. Micromech. Microeng., vol. 16, pp. 648–655, Mar. 2006. [25] G. K. Fedder, “Simulation of microelectromechanical systems,” Ph.D. dissertation, Dept. Elect. Eng. Comput. Sci., Univ. California at Berkeley, Berkeley, CA, 1994.

BAKRI-KASSEM et al.: NOVEL HIGH-

MEMS CURLED-PLATE VARIABLE CAPACITORS

Maher Bakri-Kassem (S’01–M’06) was born in Kuwait City, Kuwait, on December 19, 1972. He received the B.Sc. degree in electrical engineering from Damascus University, Damascus, Syria, in 1996, and the M.A.Sc. and Ph.D. degrees in electrical engineering from the University of Waterloo, Waterloo, ON, Canada, in 2002 and 2007, respectively. In 2001, he joined the Center for Integrated RF Engineering (CIRFE), where he was involved with the design, optimization, and fabrication of RF/microwave circuits, RF MEMS devices, and RF MEMS/CMOS integrated circuits. He was also a Research and Teaching Assistant with the Electrical and Computer Engineering Department, University of Waterloo. He is currently with the Research and Development Department, Custom Products Business Unit, MEMSCAP Inc., Durham, NC. His research interests are MEMS devices for biomedical applications, MEMS/CMOS integration for intelligent systems-on-chip for biomedical and wireless applications, MEMS linear sensors and MEMS linear large stroke actuators, and MEMS technology for millimeter-wave, RF, and microwave applications.

Siamak Fouladi (S’05) received the B.Sc. degree in electrical engineering from the University of Tehran, Tehran, Iran, in 2002, the M.Sc. degree in electrical engineering from Concordia University, Montreal, QC, Canada, in 2005, and is currently working toward the Ph.D. degree at the University of Waterloo, Waterloo, ON, Canada. He is currently with the Center for Integrated RF Engineering (CIRFE), University of Waterloo, where he is involved with the fabrication and characterization of RF MEMS and CMOS RFICs and devices.

541

Raafat R. Mansour (S’84–M’86–SM’90–F’01) was born in Cairo, Egypt, on March 31, 1955. He received the B.Sc. (with honors) and M.Sc. degrees from Ain Shams University, Cairo, Egypt, in 1977 and 1981, respectively, and the Ph.D. degree from the University of Waterloo, Waterloo, ON, Canada, in 1986, all in electrical engineering. In 1981, he was a Research Fellow with the Laboratoire d’Electromagnetisme, Institut National Polytechnique, Grenoble, Grenoble, France. From 1983 to 1986, he was a Research and Teaching Assistant with the Department of Electrical Engineering, University of Waterloo. In 1986, he joined COM DEV Ltd., Cambridge, ON, Canada, where he held several technical and management positions with the Corporate Research and Development Department. In 1998, he became a Scientist. In January 2000, he joined the University of Waterloo, as a Professor with the Electrical and Computer Engineering Department. He holds a Natural Sciences and Engineering Research Council of Canada (NSERC) Industrial Research Chair in RF Engineering with the University of Waterloo. He has authored or coauthored numerous publications in the areas of filters and multiplexers and high-temperature superconductivity. He holds several patents related to microwave filter design for satellite applications. His current research interests include superconductive technology, MEMS technology, and computer-aided design (CAD) of RF circuits for wireless and satellite applications.

542

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Photonic Generation of Chirped Millimeter-Wave Pulses Based on Nonlinear Frequency-to-Time Mapping in a Nonlinearly Chirped Fiber Bragg Grating Chao Wang and Jianping Yao, Senior Member, IEEE

Abstract—A novel approach to optically generating chirped millimeter-wave pulses with tunable chirp rate based on spectral shaping and nonlinear frequency-to-time mapping is proposed and experimentally demonstrated. In the proposed approach, the optical power spectrum of an ultrashort pulse from a femtosecond pulsed laser is shaped by a two-tap Sagnac loop filter that has a sinusoidal frequency response. The spectrum-shaped optical pulse is then sent to a nonlinearly chirped fiber Bragg grating (NL-CFBG) with a tunable nonlinear group delay to serve as a high-order dispersive device to perform the nonlinear frequency-to-time mapping. A chirped electrical pulse with a high central frequency and large chirp rate is then generated at the output of a high-speed photodetector. The NL-CFBG used in the proposed system is produced from a regular linearly chirped fiber Bragg grating based on strain-gradient beam tuning. A detailed theoretical analysis on the chirped pulse generation is developed, which is verified by numerical simulations and experiments. Millimeter-wave pulses with a central frequency of around 35 GHz and instantaneous frequency chirp rates of 0.053 and 0.074 GHz/ps are experimentally generated. Index Terms—Chirped pulse generation, chromatic dispersion, frequency-to-time mapping, microwave photonics, nonlinearly chirped fiber Bragg grating (NL-CFBG), pulse compression, radar.

I. INTRODUCTION

I

N MODERN radar systems, pulse compression techniques using frequency-chirped or phase-encoded pulses have been widely used to improve the radar range resolution [1], where the pulsewidth is significantly compressed at the receiver end by matched filtering. Chirped electrical pulses have also found their applications in spread-spectrum communications and in chirp pulse microwave computed tomography [2]. Conventionally, a chirped electrical pulse is generated in the electrical domain using electronic circuitry [3], [4]. However, the major limitation associated with the electrical technique is the low central frequency. At the current stage of development of radar and communications systems, a central frequency up to the tens or even hundreds of gigahertz is often required [1].

Manuscript received August 18, 2007; revised October 29, 2007. This work was supported by the Natural Sciences and Engineering Research Council of Canada (NSERC). The authors are with the Microwave Photonics Research Laboratory, School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2007.914639

An efficient solution to generate electrical pulses with a high frequency is to use optical techniques [5]–[7]. Optical spectral shaping of a broadband ultrashort pulse followed by frequency-to-time mapping in a dispersive element has been demonstrated to be a viable technique to generate high-frequency electrical pulses with arbitrary waveform. In an optical spectral shaping system, a spatial light modulator [5] or a fiber-optic spectral filter [6], [7] is usually used to shape the optical spectrum of an ultra-narrow pulse. By properly designing the frequency response of the optical spectral filter, an electrical pulse with the shape identical to the shaped spectrum is obtained after the frequency-to-time mapping. A key feature of this technique is that the temporal pulse shaping is done in the frequency domain, which is easy to implement using a spectral filter. In addition, if the spectral response of the filter is changed, the shape of the generated electrical pulse is also changed, and the system is reconfigurable. The frequency- to time-domain mapping relationship is only determined by the system dispersion [8]. Recently, an all-optical method to generate linearly chirped microwave pulses was demonstrated, which was based on the interference of two optical pulses that were generated based on spectrum filtering and frequency-to-time mapping using two linearly chirped fiber Bragg gratings with different chirp rates [9]. Due to the constant first-order dispersion provided by the two chirped gratings, chirped pulses with only a fixed chirp rate were generated. In addition, since the system was based on optical interference in a Mach–Zehnder interferometer (MZI), it is sensitive to environmental perturbations. In most of the previous studies, the dispersive device used to perform the frequency-to-time mapping was a length of singlemode fiber [7] or a linearly chirped fiber Bragg grating [8]. Since the high-order dispersion of the dispersive device is negligible within the pulse spectral bandwidth, only linear frequency-totime mapping could be realized. In other words, only chirp-free electrical pulses can be generated using a dispersive device with only the first-order dispersion if the optical spectral filter has a uniform sinusoidal spectral response [6]. Therefore, to generate chirped electrical pulses, one may use either a uniform sinusoidal spectral filter with a dispersive device having both the first- and second-order dispersion, or an optical spectral filter with chirped spectral response with a dispersive device having only the first-order dispersion. In this paper, we assume that the optical filter has a uniform sinusoidal response, which is much easier to implement than the optical filter with a chirped spectral response. Therefore, our efforts will be directed to in-

0018-9480/$25.00 © 2008 IEEE

WANG AND YAO: PHOTONIC GENERATION OF CHIRPED MILLIMETER-WAVE PULSES

vestigate the use of a nonlinearly chirped fiber Bragg grating (NL-CFBG) with a tunable high-order dispersion for the generation of chirped millimeter-wave pulses. Although secondorder dispersion-induced pulse chirping was observed with a very long single-mode fiber [10], the system has an obvious limitation: the second-order dispersion of a single mode fiber is very small; to obtain a large second-order dispersion, a very long fiber is required, which makes the system bulky. In addition, in a long fiber, the effect of polarization mode dispersion cannot be ignored, which would affect the performance of the generation system. Compared with the system in [10], the use of an NL-CFBG instead of a long single-mode fiber makes the system more compact, and more importantly, enables a flexible dispersion management. The NL-CFBG used in the proposed system is produced from a regular linearly chirped fiber Bragg grating using a simple and low-cost technique based on strain-gradient beam tuning. Although the technique has been used to convert a uniform fiber Bragg grating to a linearly chirped fiber Bragg grating [11], to the best of our knowledge, it is the first time that the technique is used to convert a linearly chirped fiber Bragg grating to an NL-CFBG. Some preliminary research has been recently reported by us [12], [13] with the results obtained mainly based on very preliminary experimental observations, where a chirped electrical pulse with only a fixed chirp rate was generated. In addition, a theoretical investigation on the chirped microwave pulse generation using an NL-CFBG with an emphasis on the understanding of the influence of the group delay ripples in an NL-CFBG on the pulse generation performance was recently implemented in [14]. To have a better understanding of the chirped electrical pulse generation technique and to study the feasibility of the technique for practical implementation, we believe that an in-depth theoretical analysis with experimental verifications is necessary. In our proposed system, an optical sinusoidal spectrum is obtained by spectrally filtering a broadband transform-limited ultrashort optical pulse using a two-tap Sagnac loop filter. The generation of a chirped millimeter-wave pulse is then realized by nonlinear frequency-to-time mapping in an NL-CFBG. The central frequency of the generated chirped pulse is dependent upon the first-order dispersion of the NL-CFBG for an Sagnac loop filter with a given free spectral range (FSR), whereas the chirp rate of the generated pulse is determined by both the first- and high-order dispersion. Since the dispersion of the NL-CFBG can be easily controlled, our approach provides the flexibility to tailor the frequency profile of the generated chirped millimeter-wave pulses, such as the central frequency and chirp rate. In addition, since no MZI-based optical interference is involved in the approach, the system is more compact with a better resistance to environmental changes. The remainder of this paper is organized as follows. In Section II, the principle of chirped millimeter-wave pulse generation based on spectral shaping and nonlinear frequency-to-time mapping is discussed. An approximate model to describe the frequency characteristics of the generated pulse is also developed. In Section III, a simple and efficient technique to fabricate an NL-CFBG with tunable high-order dispersion is proposed; a theoretical analysis of the dispersion properties in the NL-CFBG is also presented. In Section IV,

543

Fig. 1. Schematic diagram of the proposed chirped millimeter-wave pulse generation system. Pulsed laser source: PLS; Sagnac loop filter: SLF; high-order dispersive device: HDD; photodetector: PD.

experimental implementations are carried out to verify the proposed technique. A discussion on the modulation depth of the generated waveform is presented in Section V. A conclusion is drawn in Section VI. II. SYSTEM CONFIGURATION AND THEORETICAL ANALYSIS Optical generation of electrical pulses based on optical spectral shaping and dispersion-induced frequency-to-time mapping has been intensively studied recently with an emphasis on the generation of electrical pulses at high frequencies [5], [6]. The generated microwave or millimeter-wave pulses are usually not chirped. For many applications, it is highly desirable that the pulses are highly chirped in order to implement pulse compression at a receiver. Chirped electrical pulses can be generated based on spectral shaping and frequency-to-time mapping using either a chirped spectral filter with linear frequency-totime mapping or a uniform spectral filter with nonlinear frequency-to-time mapping. The technique presented here is based on nonlinear frequency-to-time mapping. In the proposed approach, the spectrum of a broadband ultrashort pulse is shaped by an all-fiber two-tap Sagnac loop filter that has a sinusoidal spectral response. The nonlinear frequency-to-time mapping is then implemented using a dispersive device with both tunable first- and second-order dispersion. As a result, a high-frequency chirped electrical pulse can be generated with a tunable central frequency and chirp rate. The operation principle of the proposed techniques is illustrated in Fig. 1. The system consists of an ultrashort pulsed laser source, a two-tap Sagnac loop filter, a high-order dispersive device, and a high-speed photodetector. In our analysis, both the first- and second-order dispersion are considered. Theoretically, we can assume that the optical pulse from the pulsed laser source is a transform-limited Gaussian pulse with , a carrier angular frequency , and a half an amplitude maximum. The envelop of the optical pulsewidth at the pulse can be expressed as (1) In the system, the Sagnac loop filter with a single length of polarization maintaining fiber can be modeled as a two-tap delay-line filter with an impulse response given by (2) where is the time-delay difference. The Sagnac loop filter can be considered as a linear time-invariant (LTI) filter, after propagating through the filter, the envelop of the spectrum-shaped

544

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 2. Simulation results for a chirped millimeter-wave pulse generation system using a dispersive device with the first-order dispersion only. (a) Envelope and optical carrier frequency of the two chirped optical pulses [solid line: r (t), dotted line: r (t)]. (b) Amplitude and RF carrier frequency of the generated millimeter-wave pulse.

optical pulse,

, is given by calculating the convolution

(3) where denotes convolution operation. The spectrum-shaped optical pulse is then sent to a dispersive device, which can also be modeled as an LTI system with a . The phase retransfer function , can be expanded sponse of the transfer function, namely, in the vicinity of the central frequency . Assume that the third-order and higher order dispersion are negligible within the can then pulse spectral bandwidth, the transfer function be approximated as (4)

Fig. 3. Simulation results for a chirped millimeter-wave pulse generation system using a dispersive device with both the first- and second-order dispersion. (a) Envelope and optical carrier frequency of the two chirped optical pulses [solid line: r (t), dotted line: r (t)]. (b) Amplitude and RF carrier frequency of the generated millimeter-wave pulse.

and where are the first- and second-order dispersion coefficients, respectively. Note that is the relative angular frequency with respect to the central frequency of the optical carrier. Since we mainly focus on the pulse-shape change due to the dispersion effect, the so-called retarded frame is used and the average group delay is ignored [15]. It is important to note that the approximation in (4) is good when the higher order dispersion within the pulse spectral bandwidth satisfies

(5) where is the third-order dispersion coefficient. be the complex envelope of the output optical pulse Let is then from the dispersive device. The Fourier transform of

WANG AND YAO: PHOTONIC GENERATION OF CHIRPED MILLIMETER-WAVE PULSES

545

Fig. 4. Instantaneous RF frequency of the generated chirped pulses under different first- and second-order dispersion. Fig. 5. Schematic diagram showing the NL-CFBG generation using strain-gradient beam tuning technique. (a) Right-angled triangle cantilever beam. (b) Bending of the grating with the beam. Linearly chirped fiber Bragg grating: L-CFBG (from [12]).

written as

(6) is the Fourier transform of . The related temwhere can then be obtained by taking the poral pulse envelope inverse Fourier transform (7) where

Fig. 6. Simulation results: group-delay characteristics of the generated NL-CFBG at different beam displacements.

(8a) where is the time-dependent phase term. Accordingly, the instantaneous carrier frequency of the chirped optical pulses is time dependent as well, which is given by (10) (8b) An analytic solution of the above integrals can be obtained in terms of the Airy function [15]. It is known that although is unchirped, the output optical pulse the incident pulse becomes chirped (with phase from the dispersive element and can then be rewritten as modulation). (9)

and are then inThe two chirped optical pulses terfered at a photodetector. The electrical current at the output of the photodetector is proportional to the intensity of the input electrical field, which is expressed as

(11)

546

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 7. Experimental setup of the proposed system. (a) System configuration. (b) Two-tap SLF. (c) Normalized transmission response of the SLF. Femtosecond pulsed laser: FSPL; Sagnac loop filter: SLF; nonlinearly chirped fiber Bragg grating: NL-CFBG; erbium-doped fiber amplifier: EDFA; photodetector: PD; optical coupler: OC; polarization controller: PC; polarization maintaining fiber: PMF; oscilloscope: OSC (from [12] and [13]).

It can be seen from (11) that the first and second terms on the right-hand side are the low-frequency components, whereas the third term is the high-frequency component with an instantaneous RF frequency given by (12) Therefore, the beating between the two chirped optical pulses and leads to the generation of a chirped millimeterwave pulse with an instantaneous RF carrier frequency determined by the optical carrier frequency difference of the two optical pulses. According to the above analysis, both the pulse envelope and instantaneous RF carrier frequency of the generated chirped millimeter-wave pulse can be computed by using (8)–(12). In order to investigate the direct relationship between the dispersion and frequency profile of the generated chirped millimeter-wave pulse, an approximate model focusing on the instantaneous carrier frequency of the generated millimeter-wave pulse is developed based on frequency-to-time mapping. It is known that the transfer function of a two-tap Sagnac loop filter is given by

After the spectrum-shaped optical pulse propagates through the dispersive device, where the first- and second-order disperis mapped into a sion are both considered, the spectrum . Thanks to the high-order dispersion, temporal waveform the frequency-to-time conversion is no longer linear. The nonlinear mapping relationship from the frequency domain to time domain is derived from the group delay of the dispersive element and is given by [10] (15) where the sign is due to the positive or negative dispersion. The mapped temporal waveform is then expressed as

(13)

(16)

denotes the Fourier transform operation. The optical where intensity spectrum of the spectrum-shaped pulse at the output of the filter can then be expressed as

From (16), we can find that the instantaneous RF angular frequency of the generated electrical pulse is obtained by

(14)

(17)

WANG AND YAO: PHOTONIC GENERATION OF CHIRPED MILLIMETER-WAVE PULSES

547

Fig. 8. Optical spectrum of the shaped optical pulse. The inset shows the spectrum of input optical pulse before spectral shaping (data from [12]).

It can be obviously seen from (17) that the generated electrical pulse is nonlinearly chirped. If the dispersion coefficients , (17) can be well approximated acsatisfy that cording to the binomial theorem

(18) The central frequency of the generated chirped pulse can now in (18), which is be calculated by letting . As can be seen, the central frequency is only dependent upon the first-order dispersion coefficient. On the other hand, the instantaneous frequency chirp rate, which is given by , is determined by both the first- and high-order dispersion. To evaluate the approximate model given in (17), numerical simulations are performed based on (8)–(12). In the simulations, the input optical pulsewidth is 550 fs and the FSR of the Sagnac loop filter is chosen to be 0.8 nm, which corresponds to ps. In the first example, a time-delay difference of ps is considered. only the first-order dispersion of After propagating through the dispersive device, the two optical pulses are linearly chirped with the same chirp rate, as shown in Fig. 2(a). As a result, the beating of the two delayed and equally chirped optical pulses leads to the generation of an electrical pulse with a constant RF carrier frequency of 31.6 GHz, as shown in Fig. 2(b), which matches well with the prediction . given by (17) for In the second example, both the first- and second-order dispersion are considered. We choose the second-order dispersion to be 35 ps , while keeping the first-order dispersion to be 320 ps . The simulation results are shown in Fig. 3. Due to the second-order dispersion, the two incident optical pulses are nonlinearly chirped, as shown in Fig. 3(a). Therefore, the beating between the two delayed and nonlinearly chirped optical pulses leads to the generation of a chirped millimeter-wave

Fig. 9. Measured: (a) reflection spectrum and (b) group delay of the generated NL-CFBG under different beam deflections (dotted line: zero deflection; solid line: 2-mm deflection; dashed–dotted line: 5-mm deflection).

pulse with the RF carrier frequency given by (12). Simulation results about the generated electrical pulse are shown in Fig. 3(b). In this case, the central frequency is around 31.7 GHz and the instantaneous RF frequency varies from 25.4 to 46.5 GHz within the main pulsewidth, which is in good agreement with the prediction given by (17) as well. The envelope of the generated electrical pulse has a shape close to a Gaussian pulse, but with a slight asymmetry. Simulations show that with a larger secondorder dispersion, the pulse envelope becomes more asymmetrical. A detailed analysis on the pulse-shape asymmetry due to higher order dispersion can be found in [16] and [17]. Fig. 4 shows the simulation results of the instantaneous RF frequency of the generated chirped electrical pulses under different first- and second-order dispersion. As can be seen from Fig. 4, by selecting a dispersive device with suitable firstand second-order dispersion, the chirped electrical pulse with the required central frequency and chirp rate can be generated. It is worth noting that a linear frequency chirping is not always necessary in a pulse compression system. In fact, the frequency

548

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 10. Experimental results. (a) Pulse profile and (b) instantaneous frequency in the case of 2-mm beam deflection. (c) Pulse profile and (d) instantaneous frequency in the case of 5-mm beam deflection [circle in (b) and (d): obtained from experimental results, dashed line in (b) and (d): theoretical prediction by (18)].

modulation can be of almost any form, provided that the matched filter in the receiver is properly designed to match the transmitted chirped pulse. III. NL-CFBG In the proposed system, a dispersive device with tunable highorder dispersion provides the possibility of tailoring the frequency characteristics of the generated chirped millimeter-wave pulses. Such tunable high-order dispersion is realized by using a properly designed NL-CFBG, in which the group-delay response varies nonlinearly with respect to the optical wavelength. NL-CFBGs have been widely used to compensate for high-order chromatic dispersion and polarization mode dispersion in highspeed optical communications systems [18]–[20]. NL-CFBGs were usually fabricated using a nonlinearly chirped phase mask [19] or a linearly chirped phase mask with a properly controlled exposure time during the fabrication to introduce a nonlinear

chirp [18]. These fabrication techniques are either expensive or complicated. It is, therefore, highly desirable to develop a simple and low-cost technique for the NL-CFBG fabrication. In this paper, we propose a simple and efficient method to introduce large nonlinear chirp to a regular linearly chirped fiber Bragg grating based on strain-gradient beam tuning. Fig. 5 shows the schematic diagram of the NL-CFBG fabrication technique. As can be seen from Fig. 5(a), a linearly chirped fiber Bragg grating is glued in an inclined direction onto the lateral side of a right-angled triangular cantilever beam. When a mechanical force is applied to the free end of the beam, as shown in Fig. 5(b), a linear strain gradient is generated, which leads to the generation of an NL-CFBG. In Fig. 5(b), the -axis is on the neutral layer of the beam, is the beam length, is the thickness of the beam, is the angle between the grating axis and the beam neutral surface, and is the applied displacement at the free end of the beam. The center of the grating is consistent with the neutral layer on the side

WANG AND YAO: PHOTONIC GENERATION OF CHIRPED MILLIMETER-WAVE PULSES

549

cross section. This ideal situation will be always considered in the following analysis. When the beam is bent by deflecting the free end while keeping the other end fixed, the introduced axial strain gradient along the grating can be expressed as [11] (19) where is the beam curvature, and is the grating length between the given point and the center point of grating. Assume that is approximately constant, which is true if the beam displacement is small relative to the length of beam. It can be seen from (19) that the linear strain is produced along the grating when deflecting the beam. Suppose that the deflection is up, then the strain on the neutral layer of the beam ward ) is is zero; half of the grating (the section of under varying tension strain, whereas the other half (the section ) suffers a varying compression strain. The of symmetrical strain distribution ensures that the central wavelength of the grating may keep closely fixed during the beam tuning process. When a linearly chirped fiber Bragg grating is mounted on the side surface of the beam, the developed linear strain gradient is transferred to physically change the grating pitch according is exto the strain-optic effect. The grating pitch variance pressed as

(20) is the effective photo-elastic constant ( 0.22) of the where is the central grating pitch, and is the fiber material, chirp rate of the grating pitch. According to the well-known Bragg condition, the Bragg as a function of distance along wavelength distribution the fiber axis under linear strain gradient is expressed as [12] Fig. 11. Autocorrelation waveforms of the generated chirped millimeter-wave pulses. (a) Beam displacement is 2 mm. (b) Beam displacement is 5 mm.

(21) is the center wavelength of the linearly chirped fiber where (nm/mm) is the wavelength chirp rate Bragg grating and of the original grating. It is shown that the Bragg wavelength distribution is a quadratic function of the distance , instead of a linear function, as in a linearly chirped fiber Bragg grating. Consequently, an NL-CFBG with a nonlinear group delay is fabricated directly from a regular linearly chirped fiber Bragg grating by applying linear strain gradient. Fig. 6 shows the calculated group delays of the NL-CFBG with different displacements according to the method presented in this paper. In our simulation, the length of the cantilever beam . An is chosen to be 150 mm, and the grating angle is initial linearly chirped fiber Bragg grating with a grating length nm, and of 50 mm, a center Bragg wavelength of nm/mm is considered. Without a linear chirp rate of loss of generality, we assume that the grating reflects a longer

wavelength at the input end and a shorter wavelength at the back end, therefore, the grating has a negative first-order dispersion. In fact, for an initially unchirped optical incident pulse, dispersion-induced broadening and phase modulation of the pulse does not depend on the sign of the first-order dispersion coefficient [15]. It is obviously shown in Fig. 6 that the nonlinear chirp characteristics of the NL-CFBG can be easily controlled by adjusting one parameter only, namely, the beam deflection . For example, for the case of displacement mm, the equivalent first- and second-order dispersion coefficients of ps and the generated NL-CFBG at 1556 nm are ps , respectively. If the displacement is increased to 5 mm, the first- and second-order dispersion coefficients will become ps and ps at 1556 nm. There is a tradeoff between the nonlinearity and dispersion: a larger group delay nonlinearity and a broader spectral bandwidth can be realized when a larger displacement is applied, but a decreased dispersion level would be resulted. By properly

550

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

Fig. 12. Generated waveforms with different modulation depth under different first-order dispersion. (a) 580, (b) 648, (c) 700, and (d) 820 ps .

choosing the displacement , the NL-CFBG can provide a sufficiently large dispersion, a suitable nonlinear group delay and bandwidth for the application in generating chirped electrical pulses, as described in this paper. IV. EXPERIMENT An experiment is carried out to verify the proposed approach for chirped millimeter-wave pulses generation. The experimental setup of the proposed system is shown in Fig. 7(a). A femtosecond pulsed laser is used to generate a broadband transform-limited ultrashort Gaussian pulse. A two-tap Sagnac loop filter, which consists of a length of polarization maintaining fiber and two polarization controllers, as shown in Fig. 7(b), serves as an optical spectral filter. The Sagnac loop filter has a uniform sinusoidal spectral response, as shown in Fig. 7(c). The FSR is determined by the length and the birefringence of the polarization maintaining fiber. An NL-CFBG is used as a dispersive device with both the first- and second-order dispersion, which is fabricated based on our proposed beam-bending technique, to perform the nonlinear frequency-to-time mapping. As a result, a chirped millimeter-wave pulse is obtained at the output of a high-speed photodetector.

In the experiment, a transform-limited Gaussian pulse with a full width at half maximum (FWHM) of 550 fs is used as the input pulse. The central wavelength of the ultrashort pulse is 1558.5 nm, and the 3-dB spectral bandwidth is 8 nm, as shown in the inset of Fig. 8. The FSR of the Sagnac loop filter is set as 0.8 nm. After the spectral shaping by the filter, we obtain a spectrum-shaped pulse with its spectrum shown in Fig. 8. The performance of the fabricated NL-CFBG is measured first. In order to cover the main spectrum bandwidth of the broadband femtosecond laser source, a regular linearly chirped fiber Bragg grating with a linear chirp rate of 0.24 nm/mm, grating length of 50 mm, and center Bragg wavelength of 1556 nm is used to generate an NL-CFBG based on the strain-gradient beam tuning technique, as described in Section III. Fig. 9 shows the measured reflection spectra and group delays of the produced NL-CFBG under different beam free end deflections. It is seen from Fig. 9(a) that the 3-dB spectral bandwidth can be tuned from 11.6 nm (with zero displacement) to 13.2 nm. In order to cover the spectrum of the optical pulse as much as possible, the grating center point is intentionally mismatched with the beam neutral layer: a major part of the grating

WANG AND YAO: PHOTONIC GENERATION OF CHIRPED MILLIMETER-WAVE PULSES

experiences the tension strain and a minor part of the grating experiences the compression strain. As a result, the central wavelength of the produced NL-CFBG is slightly shifted to a longer wavelength when bending the beam. In the meantime, a nonlinear group-delay distribution with respect to the wavelength is realized as well, as shown in Fig. 9(b). We can find that a larger nonlinearity of the group delay and a broader spectral bandwidth can be achieved in the NL-CFBG when a larger displacement is applied, which is consistent with the theoretical analysis in Section III. The experimental results are shown in Fig. 10. Both the pulse profile and instantaneous frequency versus time are shown. In the first example, the applied beam deflection is 2 mm. According to the measured group-delay result, the equivalent first- and second-order dispersion coefficients and at 1558.5 nm are 295.1 ps and 20.9 ps , respectively. Thanks to the nonlinear frequency-to-time mapping, a chirped millimeter-wave pulse is generated. As can be seen from Fig. 10(a), the FWHM of the generated pulse envelope is around 243 ps, which is measured by a high-speed sampling oscilloscope (Agilent 86100C). Fig. 10(b) shows the instantaneous RF frequency within the main pulsewidth, which can be calculated by Hilbert transform [21]. Here, for simplicity, the instantaneous frequency is approximated by the reciprocal of the time period of pulse trace, as shown by the solid circle curve. The dotted curve illustrates the theoretical prediction given by (18). In this case, the frequency profile of the generated millimeter-wave pulse is quasi-linear and the instantaneous frequency changes from 25 to 43 GHz, which corresponds to an equivalent frequency chirp rate of 0.053 GHz/ps. In the second case, the beam displacement is increased to 5 mm, which leads to the equivalent first- and second-order ps and ps dispersion coefficients of at 1558.5 nm. As a result, the FWHM of the generated pulse envelope is around 218 ps; the instantaneous frequency varies from 24 to 49 GHz, which corresponds to a chirp rate of 0.074 GHz/ps, as shown in Fig. 10(c) and (d). One may notice that the measured instantaneous frequency does not match the theoretical prediction at some time points. This discrepancy is resulted from the abnormal strain induced dispersion variation in the NL-CFBG when applying the beam deflection. One solution to reduce the error is to use a beam with a more smooth surface and more stable strain distribution. From the above experimental results, we can find that, with larger beam deflection, the fabricated NL-CFBG will possess smaller first-order dispersion, but higher second-order dispersion. As a result, the generated waveform will have a higher central frequency and a larger chirp rate. The system shows its high efficiency of controlling the frequency profile of the generated electrical waveform. Fig. 11 shows the autocorrelations of the generated millimeter-wave pulses with different chirp rates. In the above two cases (2- and 5-mm beam deflections), the FWHMs of the obtained autocorrelation envelopes are around 49 and 42 ps, respectively. By comparison with the results in Fig. 10, pulse compression ratios of 4.9 and 5.2 are achieved. Despite that the realized pulse compression ratio is not very high due to

551

Fig. 13. Required first-order dispersion to generate a temporal waveform with an optimal modulation depth at different time delays (circle: simulation results, dotted line: quadratic fitting result).

the small amount of cycles within the pulse envelope and the limited high-order dispersion in our NL-CFBG, the nonlinear dispersion induced pulse chirping is observed and verified. The experimental results also agree well with the theoretical predictions. In order to achieve a higher pulse compression ratio for practical applications, an optical spectral filter with narrower FSR should be used to generate more cycles within the pulse envelope, and a longer grating should be fabricated to satisfy the requirement of large high-order dispersion and wide bandwidth. V. DISCUSSION According to the principle of dispersion-induced frequency-to-time mapping, the generated temporal waveform should have the same shape with the shaped optical spectrum, as shown in Fig. 8. From the experimental results shown in Fig. 10(a) and (c), however, it is found that the measured time-domain waveforms have a limited modulation depth compared with the shaped optical spectrum. Similar effects have also been found in [6] and [9]. Their analysis indicated that the reduced modulation depth could be caused due to the nonflat frequency response of the photodetector or the environmental changes during the measurement, which could slightly change the interference pattern and cause a decrease of the modulation depth. In order to find a more intrinsic mechanism that leads to the degradation in the modulation depth of the generated waveforms, some simulations based on the theoretical model presented in Section II are performed. Fig. 12 shows the simulation results, where the FSR of the Sagnac loop filter is chosen as ps. 0.8 nm, or an equivalent time-delay difference of Several cases with different first-order dispersion are considered. For simplicity, the second-order dispersion is not considered since it has negligible contributions to the poor modulation depth, but only slightly distorts the generated waveform. From Fig. 12 we can find that for two incident optical pulses with a given time-delay difference , only a certain value of dis-

552

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

persion can convert the shaped optical spectrum to a temporal waveform with a good modulation depth, as shown in Fig. 12(b). A larger or smaller value of dispersion will lead to a poor modulation depth in the central part of the generated waveform, as shown in Fig. 12(a) and (c). The modulation depth degradation will become more serious if much larger dispersion is applied, as shown in Fig. 12(d). This may be explained by considering the interference effect of two optical pulses. It is known that a stable interference pattern with good interference visibility can be obtained only if the phase difference reaches and the amplitudes of the two pulses are identical at certain time points. In the dispersion-induced frequency-to-time mapping system, the phase difference and the relative amplitude difference between two optical pulses depend not only on the time-delay difference , but also on the total dispersion experienced by the optical pulses. As a result, for a given , the above ideal interference conditions can be satisfied only at a certain value of dispersion. Further simulations are performed to find the relationship between the time-delay difference and the required dispersion for realizing an optimal modulation depth. Fig. 13 shows the simulation results. A quadratic relationship between the dispersion and the time-delay difference is found as follows: (22) which gives us a good prediction for the selection of the required dispersion in designing a chirped electrical pulse generation system. VI. CONCLUSION A detailed theoretical and experimental study on the generation of chirped millimeter-wave pulse with tunable chirp rate based on optical spectral shaping and nonlinear frequency-to-time mapping was performed in the paper. In the system, the spectral shaping was implemented using a two-tap Sagnac loop filter with a uniform sinusoidal spectral response. The nonlinear frequency-to-time mapping was realized by applying the spectrum-shaped pulse to an NL-CFBG with both first- and second-order dispersion. The NL-CFBG was fabricated from a regular linearly chirped fiber Bragg grating using a simple beam-bending technique. By properly controlling the first- and second-order dispersion in the NL-CFBG, the chirped millimeter-wave pulse with required central frequency and chirp rate could be generated. A detailed theoretical analysis to describe the generation of chirped millimeter-wave pulses was developed. The direct relationship between the dispersion and the frequency profile of the generated chirped pulse was revealed by an approximate model. An experiment was performed to verify the proposed approach. Chirped millimeter-wave pulses with a central frequency of around 35 GHz and instantaneous frequency chirp rates of 0.053 and 0.074 GHz/ps were generated. A major advantage of the proposed approach is that the frequency characteristics of the generated chirped millimeter-wave pulse could be easily tailored by adjusting the parameters of the system. The demonstrated approach offers a solution to the generation of high-frequency electrical pulse with large tunable

chirp rate for applications in high-speed communications and modern radar systems.

REFERENCES [1] A. W. Rihaczek, Principles of High-Resolution Radar. Norwood, MA: Artech House, 1996. [2] M. Bertero, M. Miyakawa, P. Boccacci, F. Conte, K. Orikasa, and M. Furutani, “Image restoration in chirp pulse microwave CT (CP-MCT),” IEEE Trans. Biomed. Eng., vol. 47, no. 5, pp. 690–699, May 2000. [3] H. D. Griffiths and W. J. Bradford, “Digital generation of high timebandwidth product linear FM waveforms for radar altimeters,” Proc. Inst. Elect. Eng., vol. 139, no. 2, pt. F, pp. 160–169, Apr. 1992. [4] H. Kwon and B. Kang, “Linear frequency modulation of voltage-controlled oscillator using delay-line feedback,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 6, pp. 431–433, Jun. 2005. [5] J. Chou, Y. Han, and B. Jalali, “Adaptive RF-photonic arbitrary waveform generator,” IEEE Photon. Technol. Lett., vol. 15, no. 4, pp. 581–583, Apr. 2003. [6] H. Chi, F. Zeng, and J. P. Yao, “Photonic generation of microwave signals based on pulse shaping,” IEEE Photon. Technol. Lett., vol. 19, no. 5, pp. 668–670, May 2007. [7] C. Wang, F. Zeng, and J. P. Yao, “All-fiber ultrawideband pulse generation based on spectral shaping and dispersion-induced frequency-to-time conversion,” IEEE Photon. Technol. Lett., vol. 19, no. 2, pp. 137–139, Feb. 2007. [8] M. A. Muriel, J. Azaña, and A. Carballar, “Real-time Fourier transformer based on fiber gratings,” Opt. Lett., vol. 24, no. 1, pp. 1–3, Jan. 1999. [9] A. Zeitouny, S. Stepanov, O. Levinson, and M. Horowitz, “Optical generation of linearly chirped microwave pulses using fiber Bragg gratings,” IEEE Photon. Technol. Lett., vol. 17, no. 3, pp. 660–662, Mar. 2005. [10] H. Chi and J. P. Yao, “All-fiber chirped microwave pulse generation based on spectral shaping and wavelength-to-time conversion,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 9, pp. 1958–1963, Sep. 2007. [11] X. Dong, P. Shum, N. Q. Ngo, C. C. Chan, J. H. Ng, and C.-L. Zhao, “Largely tunable CFBG-based dispersion compensator with fixed center wavelength,” Opt. Express, vol. 11, no. 22, pp. 2970–2974, Nov. 2003. [12] C. Wang and J. P. Yao, “All-optical electrical chirped pulse generation based on nonlinear wavelength-to-time conversion in a chirped fiber Bragg grating,” Proc. SPIE, vol. 6796, 2007, 67962K. [13] C. Wang and J. P. Yao, “All-optical high-frequency electrical chirped pulse generation using a nonlinearly chirped fiber Bragg Grating,” in Int. Signals, Syst., Electron. Symp., Montreal, QC, Canada, Jul. 30–Aug. 2 2007, pp. 625–628. [14] H. Chi and J. P. Yao, “Chirped RF pulse generation based on optical frequency-to-time mapping using a nonlinearly chirped fiber Bragg grating,” J. Lightw. Technol., to be published. [15] G. P. Agrawal, Nonlinear Fiber Optics, 2nd ed. New York: Academic, 1995. [16] M. Miyagi and S. Nishida, “Pulse spreading in a single-mode fiber due to third-order dispersion,” Appl. Opt., vol. 18, no. 5, pp. 678–682, Mar. 1979. [17] M. Amemiya, “Pulse broadening due to higher order dispersion and its transmission limit,” J. Lightw. Technol., vol. 20, no. 4, pp. 591–597, Apr. 2002. [18] K. M. Feng, J. X. Cai, V. Grubsky, D. S. Starodubov, M. I. Hayee, S. Lee, X. Jiang, A. E. Willner, and J. Feinberg, “Dynamic dispersion compensation in a 10-Gb/s optical system using novel voltage tuned nonlinearly chirped fiber Bragg grating,” IEEE Photon. Technol. Lett., vol. 11, no. 3, pp. 373–375, Mar. 1999. [19] S. Lee, R. Khosravani, J. Peng, V. Grubsky, D. S. Starodubov, A. E. Willner, and J. Feinberg, “Adjustable compensation of polarization mode dispersion using a high-birefringence, nonlinearly chirped fiber Bragg grating,” IEEE Photon. Technol. Lett., vol. 11, no. 10, pp. 1277–1279, Oct. 1999. [20] Z. Pan, Y. W. Song, C. Yu, Y. Wang, Q. Yu, J. Popelek, H. Li, Y. Li, and A. E. Willner, “Tunable chromatic dispersion compensation in 40 Gbit/s systems using nonlinearly chirped fiber Bragg grating,” J. Lightw. Technol., vol. 20, no. 12, pp. 2239–2246, Dec. 2002. [21] S. Mallet, A Wavelet Tour of Signal Processing. San Diego, CA: Academic, 1999.

WANG AND YAO: PHOTONIC GENERATION OF CHIRPED MILLIMETER-WAVE PULSES

Chao Wang received the B.Eng. degree in opto-electrical engineering from Tianjin University, Tianjin, China, in 2002, the M.Sc. degree in physics from Nankai University, Tianjin, China, in 2005, and is currently working toward the Ph.D. degree in electrical engineering at the School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada. His current research interests include microwave signal generation, radio-over-fiber systems, and fiber Bragg gratings and their applications in microwave photonics systems.

Jianping Yao (M’99–SM’01) received the Ph.D. degree in electrical engineering from the Université de Toulon, Toulon, France, in 1997. In 2001, he joined the School of Information Technology and Engineering, University of Ottawa, Ottawa, ON, Canada, where he is currently a Professor, Director of the Microwave Photonics Research Laboratory, and Director of the Ottawa–Carleton Institute for Electrical and Computer Engineering. From 1999 to 2001, he held a faculty position with the School of Electrical and Electronic

553

Engineering, Nanyang Technological University, Singapore. He holds a guest professorship with Shantou University, Shantou, Guangdong, China, and Sichuan University, Chengdu, Sichuan, China. In 2005, he spent three months as an Invited Professor with the Institut National Polytechnique de Grenoble, Grenoble, France. He has authored or coauthored over 170 papers in refereed journal and conference proceedings. His research has focused on microwave photonics, which includes all-optical microwave signal processing, photonic generation of microwave, millimeter wave, and terahertz, radio-over-fiber, ultra-wideband (UWB) over fiber, fiber Bragg gratings for microwave photonics applications, and optically controlled phased-array antenna. His research interests also include fiber lasers, fiber-optic sensors, and bio-photonics. Dr. Yao is a Registered Professional Engineer in Ontario, Canada. He is a member of The International Society for Optical Engineers (SPIE) and the Optical Society of America (OSA). He is a senior member of the IEEE Lasers and Electro-Optics Society (LEOS) and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).

554

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

FDTD Calculations of Specific Absorption Rate in Fetus Caused by Electromagnetic Waves From Mobile Radio Terminal Using Pregnant Woman Model Toshihiro Togashi, Tomoaki Nagaoka, Member, IEEE, Satoru Kikuchi, Student Member, IEEE, Kazuyuki Saito, Member, IEEE, Soichi Watanabe, Member, IEEE, Masaharu Takahashi, Senior Member, IEEE, and Koichi Ito, Fellow, IEEE

Abstract—Since the diversification of the electromagnetic (EM) environment is spreading, it is essential to estimate the EM energy absorption rate [specific absorption rate (SAR)] of a pregnant woman’s body and her fetus under various exposure situations. This paper presents the EM dosimetry in a pregnant woman in proximity to a mobile-phone terminal using the numerical model of a woman in her seventh month of pregnancy (composed of 56 organs, which includes the intrinsic organs of a pregnant woman) based on the high-resolution whole-body voxel model of a Japanese adult woman. It was found that the SAR in the fetus strongly depends on the geometrical relationship between the fetus and the EM source, while the averaged SAR for the fetus is always lower than the RF safety guidelines under the exposure conditions investigated in this paper. Index Terms—Fetus, finite-difference time-domain method, magnetic resonance imaging, mobile radio terminal, pregnant woman, specific absorption rate (SAR).

I. INTRODUCTION

I

N RECENT years, the use of communication terminals such as cellular phones and electronic devices, which use electromagnetic (EM) waves, such as the induction heating hobs and the RF identification tags, have been increasing and a large number of people, including pregnant women, use these devices. The health effects of the EM waves on the human body are dependent on the frequency and strength of the waves [1]. In particular, above 100 kHz, the absorbed EM energy mainly contributes to tissue heating. The specific absorption rate (SAR) has been used as the standard dosimetric parameter for EM wave exposure [2], [3]. Therefore, the SAR evaluation of EM waves is an important research topic that needs to be taken into consideration by the World Health Organization (WHO), Geneva, Switzerland [4]. In addition, pregnant women who work in jobs

Manuscript received March 2, 2007; revised September 20, 2007. T. Togashi, S. Kikuchi, and K. Ito are with the Graduate School of Engineering, Chiba University, Chiba 263-8522, Japan (e-mail: kikuchi@graduate. chiba-u.jp; [email protected]). T. Nagaoka and S. Watanabe are with the Wireless Communications Department, National Institute of Information and Communications Technology, Tokyo 184-8795, Japan (e-mail: [email protected]; [email protected]). K. Saito and M. Takahashi are with the Research Center for Frontier Medical Engineering, Chiba University, Chiba 263-8522, Japan (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2007.914625

where they might wear wireless radio terminals around their abdomens, such as police officers and airport employees, should also be concerned about this issue. Therefore, an evaluation of the interaction between EM waves and a fetus is something that needs to be considered. Several papers evaluating fetal exposure to EM waves have already been published [5]–[11]. Fleming and Joyner [5] investigated the SAR induced in an embryo or fetus by exposure to plane waves at 80–100 MHz in the early period of pregnancy and at the range of 300–1500 MHz in the late period of pregnancy. They calculated SAR with simple axisymmetric geometries and layered models that included skin, fat, uterus, blood, and embryonic and fetal tissue. Kainz et al. [6] investigated induced current density and SAR inside an abdomen model of pregnancy that included a spherical fetus, which was positioned close to a handheld metal detector operating at 10 MHz. Dimbylow et al. [7] investigated the induced current density and internal electric field in a model of a pregnant woman, which consisted of a European adult female model and a spheroidal model of a fetus, for magnetic and electric fields applied at 50 Hz. Strydom et al. [8], Hand et al. [9], and Wu et al. [10] investigated calculation of the SAR in an abdomen model of a pregnant woman during magnetic resonance imaging. Kawai et al. [11] reported the SAR inside a simple abdomen model of a pregnant woman positioned close to a normal mode helical antenna at 150 MHz. However, no studies have yet been published on a calculation model in which an antenna is positioned close to a whole-body pregnant woman model that includes an anatomical model of a fetus. Therefore, we studied the foundational investigations on the evaluation of the SAR using pregnant woman model [12]. In this paper, we present the evaluation of the SAR in a fetus using a numerical model of a 26-gestational-week pregnant Japanese woman [13]. The model is based on a high-resolution whole-body voxel model of a Japanese adult nonpregnant woman [14] and the magnetic resonance imaging data of a fetus. The SAR evaluations were calculated for mobile radio terminals placed close to the woman model’s abdomen. Models of two mobile radio terminals with simple antennas were used; one was a dipole [15], [16], and the other with a planar inverted F antenna [17]. Compared to the other papers that have already been published, this paper presents the SARs using a high-resolution pregnant woman model and the SARs in the fetal brain.

0018-9480/$25.00 © 2008 IEEE

TOGASHI et al.: FDTD CALCULATIONS OF SAR IN FETUS

555

Fig. 1. Example of magnetic resonance image including identified fetal feet, amniotic fluid, placenta, and uterus wall from original magnetic resonance imaging. (a) Original magnetic resonance image. (b) Identified organs.

II. NUMERICAL MODEL OF PREGNANT WOMAN A. Procedure of Development The details concerning the development of the pregnant woman model are described in [13]. In this paper, we briefly describe the procedures involved in its development. The pregnant woman model was a two-step development process. The first step was the development of the fetal model. The second step was the combination of the abdomen of the nonpregnant woman model and its expansion.

Fig. 2. Developed model of pregnant woman based on the nonpregnant woman model. (a) Female model (nonpregnant). (b) After deforming abdomen.

B. Numerical Model of Fetus Fig. 1(a) and (b) shows an example of an original magnetic resonance image and an example of the identified organs, which are the fetal feet, amniotic fluid, placenta, and uterus wall, respectively. In this paper, all axial planes are seen from superior to inferior. First, many images were taken and prepared of the abdominal region of a volunteer, a pregnant Japanese woman in her 26-gestational week. Six organs, including the fetal body, fetal brain, fetal eyes, amniotic fluid, placenta, and uterus wall were identified from the original images. Moreover, we made a 3-D image of the developed fetus model, which combined all the 2-D identified imaging data.

Fig. 3. Calculation model when half-wave dipole antenna is close to abdomen.

C. Numerical Model of Pregnant Woman Fig. 2(a) and (b) presents the models of the nonpregnant woman and the pregnant woman, respectively. The pregnant woman model was based on the deformed nonpregnant model. The abdomen of the nonpregnant woman model was dilated to match the shape of a pregnant woman’s abdomen. The skin, fat, and muscle tissue were extracted from the nonpregnant model. These tissues were deformed in reference to the original magnetic resonance images (abdomen) of the pregnant woman. These deformed tissues were combined with other nondeformed tissues and organs. The voxel size of the pregnant woman model is 2 2 2 mm. The fetus model was placed in the abdomen of the deformed model. Detailed corrections for the pregnant model were then performed under the supervision of medical doctors.

Fig. 4. Axial plane indicating positions of antenna. The antenna is rotated through the center of the fetal head between 80 80 . The dipole antenna is kept 40 mm from the body’s surface at the height of the antenna feed gap.

0

 

556

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

TABLE I PHYSICAL PROPERTIES OF INTRINSIC ORGANS OF PREGNANT WOMAN [11], [19]–[21]

Physical parameters of other 51 tissues and organs from [20] and [21].

Fig. 5. Calculated and averaged SAR of fetus and fetal brain when pregnant woman model is exposed to EM fields by half-wave dipole antenna at 40 mm from body’s surface. The radiated power is normalized to 1 W. (a) 900 MHz. (b) 2 GHz.

III. NUMERICAL CALCULATION A. Half-Wave Dipole Antenna In the numerical calculation, we analyzed the electric field around the antenna using the finite-difference time-domain method, which is the well-known effective method for the calculating SAR as the EM analysis, and calculated the SAR using the following equation: W/kg

(1)

where is the conductivity of the tissue [S/m], is the density of the tissue kg/m , and is the internal electric-field strength (rms) [V/m]. The SAR is related to heating created by the electric field in the tissue. In addition, the SAR calculated by the finite-difference time-domain method corresponds with the SAR by the experiment [18]. Fig. 3 shows the calculation model. There are several possibilities for the antenna position when calculating the SAR in a fetus. However, for our calculations, it was assumed that the SAR in the fetal brain, where the fetal head was placed lower than the mother’s navel, is higher than when an antenna is closer to the most protuberating part of the mother’s abdomen. In this paper, we changed the antenna position around the woman’s abdomen to the same height of the fetal brain. The cell size of the entire calculation region is 2 2 2 mm. The analytical region is 768 768 1668 mm. For our research, we used half-wave dipole antennas set at 900 MHz and 2 GHz as the radiators, which are typical frequencies used in Japanese mobile phone systems. The radiated power was 1 W. We used

perfect matched layer boundary conditions (eight layers) for the boundaries of the calculation region. Fig. 4 shows the positions of the antenna. The antenna is rotated on the vertical axis through the center of the fetal head at 20 increments between . The antenna is kept 40 mm from the surface of the mother’s body at the height of the antenna feed gap. Table I lists the physical properties in the intrinsic tissues of the pregnant woman model. The dielectric constants of the fetus and the amniotic fluid were defined from the values of the fetus of a rabbit [11]. In addition, the values of the fetal brain were adjusted to account for the higher water content in adults [19]. We substituted the values for the fetal eyes, placenta, and uterus wall from the values of the eyes, blood, and uterus wall of an adult from [20]. The other 51 constants were taken from [20]. The densities were taken from [21]. Fig. 5(a) and (b) illustrates the calculated SARs of the fetus averaged SAR, which is a combination of the fetus, fetal eyes, and fetal brain, and the fetal brain averaged SAR for the various antenna positions at 900 MHz and 2 GHz, respectively. At 900 MHz [see Fig. 5(a)], the fetus averaged SARs between are higher than other areas, while the fetus averaged SARs between are relatively lower. This is due to the attenuation of the EM waves in the placenta. The center of the placenta is located on the left front side of the fetus for this pregnant woman model [see Fig. 1(b)]. In Fig. 5, you can see that we were able to verify that the paths penetrating the EM waves between , especially , are longer between . We also confirmed that the fetal brain averaged SARs are higher in wide areas between because of the short distances between the antenna positions and the fetal brain in these areas. Therefore,

TOGASHI et al.: FDTD CALCULATIONS OF SAR IN FETUS

557

Fig. 7. Sagittal plane of calculation models. (a) Antenna facing free space (Direction A). (b) Antenna facing model (Direction B).

(a)

(b)

Fig. 6. Mobile radio terminal models measurements used for calculations. (a) For 900 MHz. (b) For 2 GHz.

the EM waves in these areas are less attenuated than for other areas, although the muscle tissue and amniotic fluid have higher conductivities than other tissues. In addition, at 900 MHz, it was is confirmed that the fetal brain averaged SAR at a little higher than at . This is because the amniotic fluid, which has a very high conductivity, is thinner at than at . Although the EM waves penetrate deeper into the fetus at 900 MHz, we saw similar results at 900 MHz, as we did at 2 GHz [see Fig. 5(b)]. However, both the fetus averaged SAR and the fetal brain averaged SAR at 2 GHz are lower than those at 900 MHz. From these results, it has been confirmed that the averaged SAR in the fetus is largely attributed to the lossy mediums such as the amniotic fluid and placenta. In addition, the averaged SAR is higher at 900 MHz than at 2 GHz in this model because the wavelength at 900 MHz is longer than the wavelength at 2 GHz; thus, the EM waves at 900 MHz reach deeper into the mother’s body than those at 2 GHz. B. Planar Inverted F Antenna In order to make this research more practical, the SAR in the fetus was calculated using a mobile radio terminal model using a planar inverted F antenna as the radiator. Fig. 6(a) and (b) illustrates the calculation models. The operating frequencies are 900 MHz and 2 GHz. The size of the terminal is 50 20 100 mm for both models. Fig. 7(a) and (b) shows the calculation models of the sagittal plane when the antenna plate is facing free space (Direction A) and the model (Direction B), respectively. The feeding point is on the -axis through the center of the fetal head, and is located at the same position as the feeding point of the half-wave dipole antenna at . Here, the calculation conditions are the same as for those of the half-wave dipole antennas. Fig. 8 illustrates the calculated SAR values of the fetus averaged SAR, the fetal brain averaged SAR, and the whole-body averaged SAR at 900 MHz and 2 GHz for both Directions A and B. From the results, it was found that the averaged SAR in the fetus at 900 MHz is significantly higher than that at 2 GHz, as

Fig. 8. Calculated fetus averaged SAR, fetal brain averaged SAR, and wholebody averaged SAR when pregnant woman model is exposed to EM fields from planar inverted F antenna terminals. The positions of the terminals, Directions A and B, are shown in Fig. 7. The radiated power was normalized to 1 W.

was the same for the half-wave dipole antenna. Moreover, it is shown that the averaged SAR for Direction B was higher than for Direction A in all cases because the EM waves transmission was stronger from the antenna plate to the mother’s body for Direction B. This figure also shows that the difference in the fetal brain averaged SAR for Directions A and B is larger than that of fetus averaged SARs. This is because the weight of only the fetal brain is lighter than that of the whole fetus (fetal body, fetal eyes, and fetal brain), resulting in a larger impact on the SAR due to the different distances between Directions A and B. We can also see that, at 900 MHz, the fetus averaged SAR and the fetal brain averaged SAR are higher than for the whole-body averaged SAR, while the opposite is true at 2 GHz. This is due to the difference in penetration depths between 900 MHz and 2 GHz. Moreover, we confirmed that the SAR depends on the distance from the antenna to the body’s surface. Therefore, it is noted that this phenomenon is strongly dependent on the position of the fetus. Although the placenta is located at the front of the uterus in this pregnant woman model and the fetus is located in a relatively deeper region, in actuality the placenta can be located at arbitrary positions. If the placenta is located at the back of the uterus, the fetus can be located in a relatively front region without the attenuation through the placenta. In such cases, the SAR in the fetus can increase, especially at higher frequencies. It is also noted that the SAR is a basic restriction in contemporary RF safety standards (e.g., [2] and [3]) that protect against established adverse effects associated with tissue heating. While

558

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 56, NO. 2, FEBRUARY 2008

a threshold for such effects has not been specifically established for fetuses and embryos, the SAR calculated in this paper can provide useful information for investigating the safety of fetuses and embryos exposed to EM fields. IV. CONCLUSION In this paper, we calculated the SARs in a fetus that has been exposed to the EM wave from a mobile radio terminal used in proximity to the abdomen of the pregnant woman by using the finite-difference time-domain method. For these calculations, a 26-gestational-week pregnant woman model was employed. The fetus averaged SARs, fetal brain averaged SARs, and whole-body averaged SARs were calculated for various sources, i.e., a half-wave dipole antenna and a planar inverted F antenna, each operated at 900 MHz and 2 GHz, with the radiated power normalized to 1 W. The key points of this paper are summarized as follows. A. Half-Wave Dipole Antenna To estimate the worst exposure conditions, the antenna was positioned 40 mm from the surface of the mother’s body at the height of the antenna feed gap and rotated on the vertical axis through the center of the fetal head. In the antenna position in , both the fetus vicinity to the fetal brain averaged SAR and fetal brain averaged SAR were higher than , ). the SARs in other cases ( We found that there was approximately a ten times difference in the average SAR depending on the distance and penetration path from the antenna to the fetal head. Moreover, in the above condition, the fetus averaged SAR and the fetal brain averaged SAR at 900 MHz were 10–20 times higher than those at 2 GHz. B. Planar Inverted F Antenna We simulated two conditions: one in which the antenna plate was facing free space, and another where it was directly facing the body, and calculated the averaged SARs. In both cases, the fetus averaged SARs and fetal brain averaged SARs at 900 MHz were more than five times higher than those at 2 GHz. From these calculated results, the SAR in a fetus is significantly affected by the geometrical relationship between the fetus and source, and it was also confirmed that the SAR in the fetus is dependent on the frequency, specifically the penetration depth. It is obvious from these results that the developed pregnant woman model is useful for evaluating the SAR in a mother and her fetus. In future studies, we will investigate the effect of the position of the fetus in the uterus and will also evaluate the SAR in the fetus with other antennas. ACKNOWLEDGMENT The authors would like to thank Prof. T. Ueda, Department of Radiology, Institute of Clinical Medicine, University of Tsukuba, Ibaraki, Japan, Prof. H. Ito and Dr. M. Saito, both with Radiation Oncology, Graduate School of Medicine, Chiba University, Chiba, Japan, and Prof. H. Osada, Department of Obstetrics and Gynecology, Juntendo University Shizuoka Hospital, Shizuoka, Japan, for their valuable contributions towards the development of the pregnant woman model.

REFERENCES [1] O. P. Gandhi, “Strongest dependence of whole animal absorption on polarization and frequency of radio-frequency energy,” Annu. NY Academy Sci., vol. 247, pp. 532–538, Feb. 1975. [2] ICNIRP, “Guidelines for limiting exposure to time-varying electric, magnetic, and electromagnetic fields (0 Hz to 300 GHz),” Health Phys., vol. 74, no. 4, pp. 494–522, Apr. 1998. [3] IEEE Standard for Safety Levels With Respect to Human Exposure to Radio Frequency Electromagnetic Fields, 3 kHz to 300 GHz, ANSI/ IEEE Standard C95. 1-2005, Oct. 2005. [4] “Research agenda of radio frequency fields,” WHO, Geneva, Switzerland, 2006. [Online]. Available: http://www.who.int/entity/peh-emf/research/rf_research_agenda_2006.pdf [5] A. H. J. Fleming and K. H. Joyner, “Estimates of absorption of radiofrequency radiation by the embryo and fetus during pregnancy,” Health Phys., vol. 63, no. 2, pp. 149–159, Aug. 1992. [6] W. Kainz, D. D. Chan, J. P. Casamento, and H. I. Bassen, “Calculation of induced current densities and specific absorption rates for pregnant women exposed to hand-held metal detectors,” Phys. Med. Biol., vol. 48, pp. 2551–2560, Aug. 2003. [7] P. Dimbylow, “Development of pregnant female, hybrid voxel-mathematical models and their application to the dosimetry of applied magnetic and electric fields at 50 Hz,” Phys. Med. Biol., vol. 51, pp. 2383–2394, May 2006. [8] M. L. Strydom, K. Caputa, M. A. Stuchly, and P. Gowland, “Numerical modeling interaction of RF field in MRI with a pregnant female,” IEEE/ ACES Int. Wireless Commun. Appl. Computat. Electromagn. Conf., pp. 389–392, Apr. 2005. [9] J. W. Hand, Y. Li, E. L. Thomas, M. A. Rutherford, and J. V. Hajnal, “Prediction of specific absorption rate in mother and fetus associated with MRI examinations during pregnancy,” Magn. Reson. Med., vol. 55, pp. 883–893, Apr. 2006. [10] D. Wu, S. Shamsi, J. Chen, and W. Kainz, “Evaluations of specific absorption rate and temperature increase within pregnant female models in magnetic resonance imaging birdcage coils,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4472–4478, Dec. 2006. [11] H. Kawai, K. Ito, M. Takahashi, K. Saito, T. Ueda, M. Saito, H. Ito, H. Osada, Y. Koyanagi, and K. Ogawa, “Simple modeling of an abdomen of pregnant women and its application to SAR estimation,” IEICE Trans. Commun., vol. E89-B, no. 12, pp. 3393–3400, Dec. 2006. [12] T. Togashi, T. Nagaoka, K. Saito, M. Takahashi, K. Ito, S. Watanabe, T. Ueda, M. Saito, H. Ito, and H. Osada, “Development of a Japanese 7-month pregnant woman model and evaluation of SAR generated by mobile radio terminals,” in Proc. Eur. Antennas Propag. Conf., Nov. 2006, [CD-ROM]. [13] T. Nagaoka, T. Togashi, K. Saito, M. Takahashi, K. Ito, T. Ueda, H. Osada, H. Ito, and S. Watanabe, “An anatomically realistic voxel model of the pregnant woman and numerical dosimetry for a whole-body exposure to RF electromagnetic fields,” in Proc. 28th IEEE EMBS Annu. Int. Conf., Sep. 2006, pp. 5463–5467. [14] T. Nagaoka, S. Watanabe, K. Sakurai, E. Kunieda, S. Watanabe, M. Taki, and Y. Yamanaka, “Development of realistic high-resolution whole-body voxel models of Japanese adult male and female of average height and weight, and application of models to radio-frequency electromagnetic-field dosimetry,” Phys. Med. Biol., vol. 49, pp. 1–15, 2004. [15] H. R. Chuang, “Numerical computation of fat layer effects on microwave near-field radiation to the abdomen of a full-scale human body model,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 118–125, Jan. 1997. [16] A. Hirata, T. Fujino, and T. Shiozawa, “SAR and temperature increase induced in the human body due to body-mounted antennas,” in IEEE AP-S Int. Symp. Dig., Jun. 2004, vol. 2, pp. 1851–1854. [17] M. F. Iskander, Z. Yun, and R. Q. Illera, “Polarization and human body effects on the microwave absorption in a human head exposed to radiation from handheld devices,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 1979–1987, Nov. 2000. [18] Y. Okano, K. Ito, I. Ida, and M. Takahashi, “The SAR evaluation method by a combination of thermographic experiments and biological tissue-equivalent phantoms,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 11, pp. 2094–2103, Nov. 2000. [19] J. L. Schepps and K. R. Foster, “The UHF and microwave dielectric properties of normal and tumor tissues: Variation in dielectric properties with tissue water content,” Phys. Med. Biol., vol. 25, no. 6, pp. 1149–1159, Nov. 1980. [20] C. Gabriel, “Compilation of the dielectric properties of body tissues at RF microwave frequencies,” Brooks Air Force Base, San Antonio, TX, Tech. Rep. AL/OE-TR-1996-0037, 1996. [21] F. A. Duck, Physical Properties of Tissue: A Comprehensive Reference Book. London: Academic Press, 1990.

TOGASHI et al.: FDTD CALCULATIONS OF SAR IN FETUS

Toshihiro Togashi was born in Aomori, Japan, in July 1981. He received the B.E. and M.E. degrees in electrical engineering from Chiba University, Chiba, Japan, in 2005 and 2007 respectively. His main research interests include the evaluation of the SAR in the mother’s body and her fetus with mobile radio terminals.

Tomoaki Nagaoka (M’06) received the Ph.D. degree in medical science from Kitasato University, Kanagawa, Japan, in 2004. In 2004, he joined the Biomedical Electromagnetic Compatibility (EMC) Group, Wireless Communications Department, National Institute of Information and Communications Technology, Tokyo, Japan, as an Expert Researcher. His research interests bioelectromagnetics and medical image analysis. Dr. Nagaoka is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Engineering in Medicine and Biology Society, and the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2004 Best Paper Award (The Roberts Prize) of Physics in Medicine and Biology and the 2007 Young Researchers Award of the IEICE.

Satoru Kikuchi (S’06) was born in Aomori, Japan, in March 1983. He received the B.E. and M.E. degrees in electrical engineering from Chiba University, Chiba, Japan, in 2005 and 2007 respectively, and is currently working toward the Ph.D. degree at Chiba University. His main interests include research on the evaluation of the interaction between the electromagnetic field and human body by use of numerical human model and experimental phantoms, and analysis and design of antennas for microwave hyperthermia. Mr. Kikuchi is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Japanese Society for Thermal Medicine, and the Bioelectromagnetics Society.

Kazuyuki Saito (S’99–M’01) was born in Nagano, Japan, in May 1973. He received the B.E., M.E., and D.E. degrees in electronic engineering from Chiba University, Chiba, Japan, in 1996, 1998 and 2001, respectively. He is currently an Assistant professor with the Research Center for Frontier Medical Engineering, Chiba University. His main research interest is in the area of medical applications of microwaves including microwave hyperthermia. Dr. Saito is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Image Information and Television Engineers of Japan (ITE), and the Japanese Society for Thermal Medicine. He was the recipient of the IEICE AP-S Freshman Award, the Award for Young Scientist of the URSI General Assembly, the IEEE AP-S Japan Chapter Young Engineer Award, the IEICE Young Researchers Award, and the International Symposium on Antennas and Propagation (ISAP) Paper Award in 1997, 1999, 2000, 2004, and 2005, respectively.

559

Soichi Watanabe (S’93–M’96) received the B.E., M.E., and D.E., degrees in electrical engineering from Tokyo Metropolitan University, Tokyo, Japan, in 1991, 1993, and 1996, respectively. He is currently with the National Institute of Information and Communications Technology (NICT), Tokyo, Japan. His main research interest is biomedical electromagnetic compatibility. Dr. Watanabe is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, the Institute of Electrical Engineers (IEE), Japan, and the Bioelectromagnetics Society. He is also a member of the Standing Committee on Physics and Engineering of International Commission on Non-Ionizing Radiation Protection (ICNIRP) since 2004. He was the recipient of several awards, including the 1996 International Scientific Radio Union (URSI) Young Scientist Award and the 1997 IEICE Best Paper Award.

Masaharu Takahashi (M’95–SM’02) was born in Chiba, Japan, on December, 1965. He received the B.E. degree in electrical engineering from Tohoku University, Miyagi, Japan, in 1989, and the M.E. and D.E. degrees in electrical engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1991 and 1994, respectively. From 1994 to 1996, he was a Research Associate, and from 1996 to 2000, an Assistant Professor with the Musashi Institute of Technology, Tokyo, Japan. From 2000 to 2004, he was an Associate Professor with the Tokyo University of Agriculture and Technology, Tokyo, Japan. He is currently an Associate Professor with the Research Center for Frontier Medical Engineering, Chiba University. His main interests are electrically small antennas, planar array antennas, and electromagnetic compatibility. Dr. Takahashi is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan, and the 1994 IEEE Antennas and Propagation Society (IEEE AP-S) Tokyo Chapter Young Engineer Award.

Koichi Ito (M’81–SM’02–F’05) received the B.S. and M.S. degrees from Chiba University, Chiba, Japan, in 1974 and 1976, respectively, and the D.E. degree from the Tokyo Institute of Technology, Tokyo, Japan, in 1985, all in electrical engineering. From 1976 to 1979, he was a Research Associate with the Tokyo Institute of Technology. From 1979 to 1989, he was a Research Associate with Chiba University. From 1989 to 1997, he was an Associate Professor with the Department of Electrical and Electronics Engineering, Chiba University. He is currently a Professor with the Graduate School of Engineering, Chiba University. Since April 2005, he has been appointed one of the Deputy Vice-Presidents for Research, Chiba University. In 1989, 1994, and 1998, he was an Invited Professor with the University of Rennes I, Rennes, France. Since 2004, he has been an Adjunct Professor with the Institute of Technology Bandung (ITB), Bandung, Indonesia. His main research interests include analysis and design of printed antennas and small antennas for mobile communications, research on evaluation of the interaction between electromagnetic fields and the human body by use of numerical and experimental phantoms, microwave antennas for medical applications such as cancer treatment, and antennas for body-centric wireless communications. Dr. Ito is a Fellow of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He is a member of the American Association for the Advancement of Science, the Institute of Image Information and Television Engineers of Japan (ITE), and the Japanese Society for Thermal Medicine (formerly the Japanese Society of Hyperthermic Oncology). He served as chair of the Technical Group on Radio and Optical Transmissions, ITE from 1997 to 2001 and chair of the Technical Group on Human Phantoms for Electromagnetics, IEICE from 1998 to 2006. He also served as chair of the IEEE Antennas and Propagation Society (IEEE AP-S) Japan Chapter from 2001 to 2002 and Technical Program Committee (TPC) co-chair of the 2006 IEEE International Workshop on Antenna Technology (iWAT2006). He is currently the general chair of iWAT2008, Chiba, Japan 2008 and the vice-chair of the 2008 International Symposium on Antennas and Propagation (ISAP2008), Taiwan, R.O.C., 2008. He is an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He also serves as a Distinguished Lecturer and an Administrative Committee (AdCom) member for the IEEE AP-S since January 2007.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2008.915668

Digital Object Identifier 10.1109/TMTT.2008.917705

Digital Object Identifier 10.1109/TMTT.2008.917707

Digital Object Identifier 10.1109/TMTT.2008.917708

Digital Object Identifier 10.1109/TMTT.2008.917709

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: D. DE ZUTTER, Y. KWON, J. LIN, J. PEDRO, Z. POPOVIC, S. RAMAN, R. SNYDER, K.-L. WU, R.-B. WU, A. YAKOVLEV

P. Aaen B. Abbott D. Abbott M. Abdulla M. Abe R. Abhari A. A. Abidi A. Abramowicz M. Acar R. Achar D. Adam E. Adler M. Adlerstein M. Afsar K. Agawa D. Ahn H. R. Ahn M. Aikawa J. Aikio J. S. Aine C. Aitchison M. Akaike S. Aksoy M. I. Aksun A. Al-Zayed F. Alessandri M. Ali F. Alimenti R. Allam D. Allstot A. Alphones A. Alu S. Amari T. Anada K. Andersson Y. Ando P. Andreani A. Andrenko M. Andrés K. Anemogiannis K. S. Ang I. Angelov S. Anlage G. Antonini H. Aoki R. Araneo J. Archer F. Ares J. Armstrong F. Arndt F. Aryanfar M. Asai Y. Asano K. Ashby A. Atalar J. Atherton A. Atia S. Auster I. Awai A. Aydiner M. S. Ayza A. Babakhani P. Baccarelli W. Baechtold I. Bahl S. Bakhtiari B. Bakkaloglu M. Bakr S. Balasubramaniam J. V. Balbastre J. Ball A. Banai S. Banba J. W. Bandler R. Bansal D. Barataud I. Barba A. Barbosa Z. Bardai F. Bardati I. Bardi A. Barel S. Barker D. Barlage F. Barnes R. Bashirullah D. Becker C. Bell T. M. Benson T. Berceli J. Bernhardt M. Berroth H. Bertoni E. Bertran A. Bessemoulin A. Bevilacqua W. T. Beyene A. Beyer A. V. Bezooijen S. Bharj K. Bhasin K. Bhattacharjee F. Bi M. Bialkowski E. Biebl S. Bila A. L. Billabert H. Bilzer T. Biondi A. Biswas M. Blank P. Blondy D. Boccoli G. Boeck L. Boglione R. Boix P. H. Bolivar G. Bonaguide G. Bonmassar J. C. Bor V. Boria O. Boric-Lubecke A. Borji J. Bornemann G. Borzì W. Bosch R. Bosisio

H. Boss M. V. Bossche S. Boumaiza K. Boutros C. R. Boyd M. Bozzi J. E. Bracken R. Bradley J. Brannan J. R. Bray T. J. Brazil M. Bressan P. Bretchko K. Breuer A. Brown S. Brozovich D. Budimir T. Budka K. Buell M. Bujatti C. Buntschuh G. Burdge J. Burghartz P. Burghignoli N. Buris B. Cabon P. Cabral C. C. Cadenas M. K. Caldera C. Caloz E. Camargo R. Cameron N. Camilleri C. Campbell M. Campovecchio A. Cangellaris W. Cantrell F. Capolino A. Cappy R. Carter N. B. Carvalho P. Casas F. Caspers R. Caverly M. Celuch D. Chadha S. Chakraborty H. Chaloupka B. Chambers C. H. Chan C. C. Chang C. R. Chang C. Y. Chang F. Chang K. Chang S. F. Chang H. Chapell W. J. Chappell M. Chatras A. Cheldavi C. F. Chen C. H. Chen J. Chen J. H. Chen R. Chen S. Chen Y. Chen Y. J. Chen Z. D. Chen K. K. Cheng C. Cheon S. T. Chew W. C. Chew C. Y. Chi Y. C. Chiang A. Chin B. S. Chiou A. Chizh C. S. Cho C. Choi J. Choi W. Y. Choi M. Chongcheawchamnan D. Choudhury Y. L. Chow A. Christ C. Christodoulou C. Christopoulos T. H. Chu L. H. Chua H. R. Chuang Y. H. Chun Y. Chung T. Cisco P. Civalleri J. Cloete P. Colantonio B. Colpitts M. Condon D. Consonni A. Constanzo M. Converse F. Cooray G. Copeland I. Corbella E. Costamagna C. Courtney Y. L. Coz J. Crescenzi J. Cressler T. Crowe T. J. Cui J. Culver T. Cunha C. Curry W. Curtice H. H. Dam G. Dambrine B. Danly F. Danneville I. Darwazeh A. Darwish A. Daryoush N. Das M. Davidovich C. Davis I. Davis

L. Davis F. De Flaviis D. De Zutter M. DeLisio W. Deal C. Deibele A. Deleniv V. Demir T. Denidni D. Deslandes A. Deutsch Y. Deval L. de Vreede T. Dhaene N. Dib L. Ding A. Djordjevi M. A. Do J. Dobrowolski X. T. Dong W. B. Dou P. Draxler R. Drayton A. Dreher J. L. Drewniak L. Dunleavy J. Dunsmore L. Dussopt M. W. Dvorak S. Dvorak J. East K. Eda M. L. Edwards R. Egri R. Ehlers N. Ehsan H. Eisele G. Eisenstein S. El-Ghazaly G. Eleftheriades F. Ellinger G. Ellis T. Ellis B. Elsharawy A. Elsherbeni N. Engheta K. Entesari H. Eom I. Erdin C. Ernst D. Erricolo K. Eselle I. Eshrah M. Essaaidi H. Esteban C. Eswarappa G. Ewell M. C. Fabres C. Fager M. Fahmi D. G. Fang A. Faraone M. Farina W. Fathelbab A. Fathy Y. Feng A. Fernandez P. Ferrari A. Ferrero S. J. Fiedziuszko G. Fikioris J. Fikioris F. Filicori D. Filipovic B. Floyd P. Focardi N. H. Fong K. Foster P. Foster P. Frangos P. Franzon J. C. Freire K. Fujii R. Fujimoto O. Fujiwara H. Fukushima C. M. Furse V. Fusco D. Gabbay T. Gaier B. Galwas D. Gamble O. P. Gandhi J. Gao S. Gao H. Garbe J. A. Garcia K. Gard F. E. Gardiol P. Gardner R. Garg J. L. Gautier S. Gedney F. Gekat F. German S. Gevorgian H. Ghali F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione F. Giannini J. Gilb M. Goano E. Godshalk M. Goldfarb R. Gonzalo S. Gopalsami A. Gopinath R. Gordon G. Goussetis J. Grahn G. Grau A. Grbic A. Grebennikov M. Green I. Gresham

J. Grimm A. Griol D. R. Grischowsky E. Grossman Y. Guan S. Guenneau T. Guerrero M. Guglielmi J. L. Guiraud S. E. Gunnarsson L. Guo Y. Guo A. Gupta C. Gupta K. C. Gupta M. Gupta B. Gustavsen W. Gwarek A. Görür M. Hafizi J. Haala J. Hacker S. Hadjiloucas S. H. Hagh S. Hagness D. Haigh A. Hajimiri A. Halappa D. Halchin D. Ham K. Hanamoto T. Hancock A. Hanke E. Hankui L. Hanlen Z. Hao A. R. Harish L. Harle M. Harris O. Hartin H. Hashemi K. Hashimoto O. Hashimoto J. Haslett G. Hau R. Haupt J. Hayashi L. Hayden T. Heath J. Heaton S. Heckmann W. Heinrich G. Heiter J. Helszajn R. Henderson H. Hernandez K. Herrick J. Hesler J. S. Hesthaven K. Hettak P. Heydari R. Hicks M. Hieda A. Higgins T. Hiratsuka T. Hirayama J. Hirokawa W. Hoefer J. P. Hof K. Hoffmann R. Hoffmann M. Hoft A. Holden C. Holloway E. Holzman J. S. Hong S. Hong W. Hong K. Honjo K. Horiguchi Y. Horii T. S. Horng J. Horton M. Hotta J. Hoversten H. M. Hsu H. T. Hsu J. P. Hsu C. W. Hsue R. Hu Z. Hualiang C. W. Huang F. Huang G. W. Huang K. Huang T. W. Huang A. Hung C. M. Hung J. J. Hung I. Hunter Y. A. Hussein B. Huyart H. Y. Hwang J. C. Hwang R. B. Hwang M. Hélier G. Iannaccone Y. Iida P. Ikonen K. Ikossi K. Inagaki A. Inoue M. Isaksson O. Ishida M. Ishiguro T. Ishikawa T. Ishizaki R. Islam Y. Isota K. Ito M. Ito N. Itoh T. Itoh Y. Itoh F. Ivanek T. Ivanov M. Iwamoto

Digital Object Identifier 10.1109/TMTT.2008.917704

Y. Iyama D. Jablonski R. Jackson A. Jacob M. Jacob D. Jaeger N. A. Jaeger I. Jalaly V. Jamnejad M. Janezic M. Jankovic R. A. Jaoude J. Jargon B. Jarry P. Jarry J. B. Jarvis A. Jastrzebski A. S. Jazi A. Jelenski S. K. Jeng S. Jeon H. T. Jeong Y. H. Jeong E. Jerby A. Jerng T. Jerse P. Jia X. Jiang J. M. Jin Z. Jin J. Joe J. Joubert M. Jungwirth P. Kabos W. Kainz T. Kaiser T. Kamei Y. Kamimura H. Kamitsuna H. Kanai S. Kanamaluru H. Kanaya K. Kanaya P. Kangaslahtii V. S. Kaper N. Karmakar T. Kashiwa K. Katoh R. Kaul T. Kawai K. Kawakami A. Kawalec S. Kawasaki H. Kayano H. Kazemi M. Kazimierczuk S. Kee L. Kempel P. Kenington A. Khalil A. Khanifar A. Khanna F. Kharabi S. Kiaei J. F. Kiang B. Kim B. S. Kim H. Kim I. Kim J. H. Kim J. P. Kim M. Kim W. Kim N. Kinayman P. Kinget S. Kirchoefer A. Kirilenko V. Kisel M. Kishihara A. Kishk T. Kitamura T. Kitazawa J. N. Kitchen M. J. Kitlinski K. Kiziloglu B. Kleveland D. M. Klymyshyn L. Knockaert R. Knoechel K. Kobayashi Y. Kogami T. Kolding N. Kolias J. Komiak G. Kompa A. Konczykowska H. Kondoh Y. Konishi B. Kopp B. Kormanyos K. Kornegay M. Koshiba J. Kosinski T. Kosmanis S. Koul I. I. Kovacs S. Koziel A. B. Kozyrev N. Kriplani K. Krishnamurthy V. Krishnamurthy C. Krowne V. Krozer J. Krupka W. Kruppa D. Kryger H. Ku H. Kubo A. Kucharski C. Kudsia W. Kuhn T. Kuki A. Kumar M. Kumar C. Kuo J. T. Kuo P. Kuo

H. Kurebayashi K. Kuroda N. Kuster M. Kuzuhara Y. Kwon G. Kyriacou M. K. Kärkkäinen F. Ladouceur K. Lakin P. Lampariello M. Lancaster U. Langmann G. Lapin J. Larson L. Larson J. Laskar C. L. Lau A. Lauer D. Lautru P. Lavrador G. Lazzi C. H. Lee J. F. Lee R. Lee S. Lee S. Y. Lee T. Lee T. C. Lee Y. Lee Y. H. Lee D. Leenaerts Z. Lei G. Leizerovich Y. C. Leong S. Leppaevuori G. Leuzzi Y. Leviatan B. Levitas R. Levy G. I. Lewis H. B. Li H. J. Li L. W. Li X. Li Y. Li H. X. Lian C. K. Liao S. S. Liao D. Y. Lie L. Ligthart E. Limiti C. Lin F. Lin H. H. Lin J. Lin K. Y. Lin T. H. Lin Y. S. Lin E. Lind L. Lind D. Linkhart P. Linnér A. Lipparini D. Lippens A. S. Liu J. Liu L. Liu P. K. Liu Q. H. Liu S. I. Liu T. Liu T. P. Liu I. Lo J. LoVetri S. Long N. Lopez M. Lourdiane G. Lovat D. Lovelace Z. N. Low H. C. Lu K. Lu L. H. Lu S. S. Lu V. Lubecke S. Lucyszyn N. Luhmann A. Lukanen M. Lukic A. D. Lustrac J. F. Luy G. Lyons J. G. Ma Z. Ma S. Maas G. Macchiarella J. Machac M. Madihian K. Maezawa G. Magerl S. Mahmoud F. Maiwald A. H. Majedi M. Makimoto J. Malherbe V. Manasson T. Maniwa R. Mansour D. Manstretta M. H. Mao S. G. Mao A. Margomenos R. Marques G. Martin E. Martinez K. Maruhashi J. E. Marzo D. Masotti G. D. Massa D. Masse A. Materka B. Matinpour A. Matsushima S. Matsuzawa G. Matthaei J. Mayock J. Mazierska

S. Mazumder G. Mazzarella K. McCarthy P. McClay G. McDonald F. Medina A. Á. Melcon C. C. Meng W. Menzel F. Mesa A. C. Metaxas P. Meyer P. Mezzanotte E. Michielssen D. Miller P. Miller B. W. Min R. Minasian J. D. Mingo B. Minnis S. Mirabbasi F. Miranda J. Miranda D. Mirshekar C. Mishra A. Mitchell R. Mittra K. Miyaguchi M. Miyakawa R. Miyamoto K. Mizuno S. Mizushina J. Modelski S. Mohammadi H. Moheb J. Mondal M. Mongiardo P. Monteiro G. Montoro C. Monzon T. Morawski A. D. Morcillo J. Morente D. Morgan M. Morgan K. Mori A. Morini H. Morishita N. Morita H. Moritake A. Morris J. Morsey H. Mosallaei M. Mrozowski J. E. Mueller L. Mullen S. S. Naeini Y. Nagano V. Nair K. Naishadham M. Nakajima K. Nakamura Y. Nakasha A. Nakayama M. Nakhla J. C. Nallatamby S. Nam S. Narahashi T. Narhi A. Natarajan J. M. Nebus I. Nefedov D. Neikirk B. Nelson S. O. Nelson W. C. Neo A. Neri H. Newman M. Ney D. Ngo E. Ngoya C. Nguyen T. Nichols E. Niehenke S. Nightingale N. Nikita P. Nikitin A. M. Niknejad N. Nikolova K. Nikoskinen K. Nishikawa T. Nishikawa T. Nishino G. Niu D. Nobbe T. Nojima T. Nomura C. D. Nordquist B. Notaros K. Noujeim D. Novak T. Nozokido G. Nusinovich E. Nyfors K. O D. Oates M. Odyniec H. Ogawa T. Ohira P. Y. Oijala H. Okabe Y. Okano V. Okhmatovski A. Oki M. Okoniewski G. Olbrich G. Oliveri F. Olyslager A. Omar K. Onodera B. L. Ooi S. Ootaka S. Ortiz J. Osepchuk J. Ou C. Oxley M. Pagani

A. Pages M. Paillard S. Palma G. W. Pan A. Panariello H. Y. Pao Y. Papananos J. Papapolymerou C. Papavassiliou C. S. Park E. C. Park S. Park A. E. Parker R. Parry D. Pasalic D. Pasquet H. M. Pau D. Pavlidis J. C. Pedro S. Peik B. Pejcinovic S. Pellerano G. Pelosi R. Pengelly D. Penunuri J. Pereda B. Perlman D. Peroulis L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson C. C. Peñalosa A. V. Pham L. Pichon H. Pickett M. Pieraccini P. Pieters L. Pileggi Z. Y. Ping R. Pintelon A. Piovaccari M. Pirola D. Pissoort E. Pistono F. M. Pitschi W. Platte C. Plett C. Pobanz R. Pogorzelski A. Pohl R. K. Pokharel J. L. Polleux G. Ponchak Y. Poplavko A. Porch J. Portilla M. Pospieszalski B. Potter H. Powen D. Pozar L. Pradell S. Prasad D. Prather D. Prescott H. Pretl A. Prieto H. Qian Y. Qian D. Qiao J. X. Qiu T. Quach C. K. Queck C. Quendo R. Quere R. Quéré F. Raab V. Radisic M. Raffetto T. Rahkonen R. Raich C. Railton A. Raisanen M. Ramirez C. Rauscher J. Rautio B. Rawat T. Razban R. Reano G. Rebeiz J. Rebollar M. Reddy E. Reese L. Reindl J. Reinert S. Remillard K. Remley L. Reynolds S. Reynolds J. K. Rhee A. Riddle G. Riha E. Rius I. Robertson P. Roblin J. Rockway C. Rodenbeck A. R. Rofougaran H. Rogier U. Rohde Y. Rolain P. A. Rolland R. Romanofsky S. Rondineau Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg M. Rosker R. Rotman G. Roussy D. Routledge J. Roy L. Roy M. Royer

T. Rozzi J. Rudell C. Ruppel D. Rutledge T. Ruttan A. Rydberg N. Ryskin D. Rytting D. Rönnow C. Saavedra K. Sachse R. Saedi A. Safwat M. Sagawa P. Saha J. Sahalos K. Saito I. Sakagami M. Salomaa A. Samelis A. Sanada M. Sanagi L. Sankey K. Sano A. Santarelli H. D. Santos K. Sarabandi T. Sarkar C. Sarris M. Sato A. Sawicki H. Sayadian W. Scanlon C. Schaffer G. Schimetta M. J. Schindler E. Schmidhammer L. P. Schmidt D. Schmitt J. Schoebl G. Scholl J. Schoukens D. Schreurs W. Schroeder I. Schropp A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann F. Sechi E. M. Segura T. Seki S. Selleri E. Semouchkina J. Sercu A. Serpenguzel J. Sevic O. Sevimli F. Seyfert O. Shanaa I. Shapir A. Sharma S. Sharma J. Sharp J. R. Shealy D. Sheen Z. X. Shen Y. Shestopalov C. J. Shi T. Shibata H. Shigematsu Y. C. Shih M. Shimozawa T. Shimozuma J. Shin S. Shin N. Shinohara G. Shiroma W. Shiroma K. Shu D. Sievenpiper J. M. Sill C. Silva L. M. Silveira M. G. Silveirinha W. Simbuerger G. Simin C. Simovski D. Simunic H. Singh V. K. Singh B. Sinha J. Sinsky Z. Sipus P. Sivonen A. Skalare G. M. Smith P. Smith C. Snowden R. Snyder P. P. So M. Sobhy N. Sokal M. Solal K. Solbach R. Sorrentino A. Soury N. Soveiko E. Sovero M. Soyuer P. Staecker A. Stancu S. P. Stapleton P. Starski J. Staudinger B. Stec D. Steenson A. Stelzer J. Stenarson B. Stengel M. Stern M. Steyaert S. Stitzer B. Stockbroeckx B. Strassner M. Stubbs M. Stuchly

B. Stupfel A. Suarez G. Subramanyam N. Suematsu T. Suetsugu C. Sullivan K. O. Sun K. Suzuki Y. Suzuki J. Svacina R. Svitek M. Swaminathan D. Swanson B. Szendrenyi A. Taflove Y. Tajima T. Takagi I. Takenaka K. Takizawa T. Takizawa S. Talisa S. G. Talocia N. A. Talwalkar K. W. Tam A. A. Tamijani J. Tan E. Tanabe C. W. Tang W. Tang W. C. Tang R. Tascone A. Tasic J. J. Taub J. Tauritz D. Teeter F. Teixeira R. Temkin M. Tentzeris V. Teppati M. Terrovitis A. Tessmann J. P. Teyssier W. Thiel B. Thompson Z. Tian M. Tiebout R. Tielert L. Tiemeijer E. Tiiliharju G. Tkachenko M. Tobar M. R. Tofighi P. Tognolatti T. Tokumitsu A. Tombak K. Tomiyasu C. Y. Tong A. Topa E. Topsakal G. Town I. Toyoda N. Tran R. Trew C. Trueman C. M. Tsai R. Tsai L. Tsang H. W. Tsao M. Tsuji T. Tsujiguchi M. Tsutsumi S. H. Tu W. H. Tu N. Tufillaro G. Twomey H. Uchida S. Uebayashi T. Ueda F. H. Uhlmann H. P. Urbach V. J. Urick N. Uzunoglu R. Vahldieck P. Vainikainen G. Vandenbosch A. Vander Vorst G. Vannini C. Vaucher J. Vaz G. Vazquez I. Vendik J. Venkatesan A. Verma A. K. Verma J. Verspecht L. Verweyen J. Vig A. Viitanen F. Villegas J. M. Villegas C. Vittoria S. Vitusevich R. Voelker S. Voinigescu V. Volman B. Vowinkel M. A. Vérez B. Z. Wang K. Wakino P. Waldow A. Walker D. Walker C. Walsh P. Wambacq S. Wane C. Wang C. F. Wang C. H. Wang C. L. Wang F. Wang H. Wang J. Wang N. Wang S. Wang T. Wang X. Wang Y. Wang

K. Warnick S. Wartenberg K. I. Watabe S. Watanabe B. Weaver J. Webb R. Webster S. Wedge C. J. Wei D. V. Weide R. Weigel M. Weihnacht G. Weihs R. Weikle T. Weiland D. Weile J. Weiler S. Weinreb F. Weiss S. Weiss T. Weller S. Wentworth D. D. Wentzloff R. Wenzel J. Whelehan J. Whitaker D. White L. B. White S. Whiteley J. Wiart J. Wight G. Wilkins D. Willems B. Willemsen A. Williamson J. C. Wiltse D. Wittwer M. Wnuk K. Wong K. L. Wong K. Woo W. Woo J. Wood G. Woods R. C. Woods D. Woolard M. Wren H. Wu J. Wu K. Wu K.-L. Wu Q. Wu T. Wu T. L. Wu M. Wurzer C. Xie H. Xu J. Xu S. Xu Y. Xu Y. P. Xu Y. Xuan Q. Xue M. Yagoub T. Yakabe K. Yamamoto K. Yamauchi F. Yang G. M. Yang J. Yang K. Yang L. Yang X. Yang Y. Yang H. Yano F. Yanovsky H. W. Yao J. Yao B. Yarman A. G. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto S. Ye K. S. Yeo S. P. Yeo L. K. Yeung W. Y. Yin D. Yongsheng J. G. Yook Y. Yoon Y. J. Yoon R. York B. Young P. R. Young H. K. Yu M. Yu P. Yu G. Yue P. Yue S. W. Yun K. Zaki J. Zamanillo J. Zapata L. Zappelli J. Zehentner C. Zelley H. Zhang J. Zhang Q. Zhang Q. J. Zhang R. Zhang X. Zhang A. P. Zhao J. Zhao Y. Zhao F. Zhenghe W. Zhou L. Zhu X. Zhu H. Zirath J. Ziriax S. Zouhdi T. Zwick