IEEE MTT-V054-I10 (2006-10) [54, 10 ed.]

  • Commentary
  • FANTOMASPING
  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

OCTOBER 2006

VOLUME 54

NUMBER 10

IETMAB

(ISSN 0018-9480)

PAPERS

Linear and Nonlinear Device Modeling From Frequency-Domain Physics-Based Simulation to Time-Domain Modeling of Traveling-Wave Tube Amplifiers for High Data-Rate Communication Applications . ........ ......... ......... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ..... P. N. Safier, V. Dronov, T. M. Antonsen, Jr., J. X. Qiu, B. G. Danly, and B. Levush Accurate Multibias Equivalent-Circuit Extraction for GaN HEMTs ..... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ...... G. Crupi, D. Xiao, D. M. M.-P. Schreurs, E. Limiti, A. Caddemi, W. De Raedt, and M. Germain Smart Antennas, Phased Arrays, and Radars An Efficient Method of Eliminating the Range Ambiguity for a Low-Cost FMCW Radar Using VCO Tuning Characteristics . ......... ........ ......... ......... ......... ......... ......... ........ ......... ........ J. D. Park and W. J. Kim

3605 3616

3623

Active Circuits, Semiconductor Devices, and ICs Nonlinear Design Technique for High-Power Switching-Mode Oscillators ..... .. S. Jeon, A. Suárez, and D. B. Rutledge A New Extraction Technique for the Complete Small-Signal Equivalent-Circuit Model of InGaP/GaAs HBT Including Base Contact Impedance and AC Current Crowding Effect ... ........ .. ....... W.-B. Tang, C.-M. Wang, and Y.-M. Hsin

3630

Signal Generation, Frequency Conversion, and Control Subharmonically Pumped Millimeter-Wave Upconverters Based on Heterostructure Barrier Varactors ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ . H. Xu, Y. Duan, J. L. Hesler, T. W. Crowe, and R. W. Weikle II Closed-Loop Nonlinear Modeling of Wideband Fractional- Frequency Synthesizers ..... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ..... H. Hedayati, B. Bakkaloglu, and W. Khalil

3648

Millimeter-Wave and Terahertz Technologies 70-GHz-Band OFDM Transceivers Based on Self-Heterodyne Scheme for Millimeter-Wave Wireless Personal Area Network ........ ......... ........ ......... ......... ........ ......... ......... ........ ..... Y. Shoji, C.-S. Choi, and H. Ogawa Substrate-Integrated-Waveguide Circulators Suitable for Millimeter-Wave Integration . ......... W. D’Orazio and K. Wu

3641

3654

3664 3675

(Contents Continued on Back Cover)

(Contents Continued from Front Cover) Wireless Communication Systems On the Development of an Integrated CMOS-Based UWB Tunable-Pulse Transmit Module .... M. Miao and C. Nguyen Field Analysis and Guided Waves Integral-Equation Analysis of 3-D Metallic Objects Arranged in 2-D Lattices Using the Ewald Transformation ........ .. .. ........ ......... ......... ........ ......... ..... I. Stevanovic´ , P. Crespo-Valero, K. Blagovic´ , F. Bongard, and J. R. Mosig The Solution of Waveguide Scattering Problems by Application of an Extended Huygens Formulation ........ ......... .. .. ........ ......... ......... ........ ......... ......... ........ .... R. H. Geschke, R. L. Ferrari, D. B. Davidson, and P. Meyer Interaction of an Open-Ended Rectangular Waveguide Probe With an Arbitrary-Shape Surface Crack in a Lossy Conductor ...... ......... ........ ......... ..... ..... ........ ......... ......... .... F. Mazlumi, S. H. H. Sadeghi, and R. Moini CAD Algorithms and Numerical Techniques Oblique–Oblique Projection in TLM-MOR for High- Structures ... . D. Lukashevich, A. C. Cangellaris, and P. Russer A Space-Mapping Framework for Engineering Optimization—Theory and Implementation .... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ S. Koziel, J. W. Bandler, and K. Madsen The Ellipsoidal Technique for Design Centering of Microwave Circuits Exploiting Space-Mapping Interpolating Surrogates ...... ......... ...... ... ......... ....... H. L. Abdel-Malek, A. S. O. Hassan, E. A. Soliman, and S. A. Dakroury An Efficient Full-Wave Simulation Algorithm for Multiple Vertical Conductors in Printed Circuits .... ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ . T. Onal, M. I. Aksun, and N. Kinayman Filters and Muliplexers Novel Broadside-Coupled Bandpass Filters Using Both Microstrip and Coplanar-Waveguide Resonators ...... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ....... P.-H. Deng, C.-H. Wang, and C. H. Chen Systematic Method for the Exact Synthesis of Ultra-Wideband Filtering Responses Using High-Pass and Low-Pass Sections ........ ......... ........ ......... ......... . ........ ......... ......... ........ ....... R. Gómez-García and J. I. Alonso Analytical Diagnosis and Tuning of Narrowband Multicoupled Resonator Filters ....... ......... . W. Meng and K.-L. Wu Compact Ultra-Wideband Bandpass Filters Using Composite Microstrip–Coplanar-Waveguide Structure ...... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ... T.-N. Kuo, S.-C. Lin, and C. H. Chen Dual-Band Microstrip Bandpass Filter Using Stepped-Impedance Resonators With New Coupling Schemes .. ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... . Y. P. Zhang and M. Sun Microstrip Elliptic-Function Low-Pass Filters Using Distributed Elements or Slotted Ground Structure ........ ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ......... . W.-H. Tu and K. Chang

3681

3688 3698 3706 3712 3721 3731 3739

3746 3751 3765 3772 3779 3786

Packaging, Interconnects, MCMs, Hybrids, and Passive Circuit Elements A Rigorous Study of Package and PCB Effects on W-CDMA Upconverter RFICs ...... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... F.-Y. Han, J.-M. Wu, T.-S. Horng, and C.-C. Tu Basic RF Characteristics of the Microstrip Line Employing Periodically Perforated Ground Metal and Its Application to Highly Miniaturized On-Chip Passive Components on GaAs MMIC . ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ........ Y. Yun, K.-S. Lee, C.-R. Kim, K.-M. Kim, and J.-W. Jung

3793

Information for Authors

3818

3805

IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $14.00, plus an annual subscription fee of $16.00 per year for electronic media only or $32.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE K. VARIAN, President S. M. EL-GHAZALY J. HAUSNER K. ITOH M. HARRIS D. HARVEY

J. S. KENNEY, President Elect L. KATEHI N. KOLIAS J. S. KENNEY T. LEE

W. H. CANTRELL, Secretary J. LIN V. J. NAIR J. MODELSKI B. PERLMAN A. MORTAZAWI

Honorary Life Members T. ITOH A. A. OLINER

T. S. SAAD P. STAECKER

D. RUTLEDGE W. SHIROMA

N. KOLIAS, Treasurer R. WEIGEL R. SNYDER K. WU K. VARIAN R. YORK

Distinguished Lecturers K. TOMIYASU L. YOUNG

G. BOECK W. HOEFER T. ITOH

B. KIM J. LASKAR V. LUBECKE

J. C. RAUTIO D. ROOT D. RYTTING

Past Presidents M. SHUR P. SIEGEL A. SUAREZ

K. C. GUPTA (2005) R. J. TREW (2004) F. SCHINDLER (2003)

MTT-S Chapter Chairs Albuquerque: S. BIGELOW Atlanta: D. LEATHERWOOD Austria: R. WEIGEL Baltimore: A. D. BROWN Beijing: Z. FENG Beijing, Nanjing: W. X. ZHANG Belarus: A. GUSINSKY Benelux: D. V.-JANVIER Brasilia: A. KLAUTAU, JR. Buenaventura: C. SEABURY Buffalo: E. M. BALSER Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: D. JOHNSON Central New England: K. ALAVI Central & South Italy: S. MACI Central No. Carolina: T. IVANOV Chicago: Z. LUBIN Cleveland: G. PONCHAK Columbus: F. TEIXEIRA Connecticut: C. BLAIR/R. ZEITLER Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: R. EYE Dayton: A. TERZOUOLI, JR. Denver: M. JANEZIC Eastern No. Carolina: D. PALMER Egypt: I. A. SALEM Finland: T. KARTTAAVI Florida West Coast: K. O’CONNOR

Foothill: C. ANTONIAK France: P. EUDELINE Germany: W. HEINRICH Greece: I. XANTHAKIS Hong Kong: W. Y. TAM Houston: J. T. WILLIAMS Houston, College Station: C. MADSEN Hungary: T. BERCELI Huntsville: H. G. SCHANTZ India/Calcutta: P. K. SAHA India Council: K. S. CHARI Israel: S. AUSTER Japan Council: Y. TAKAYAMA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: A. SHARMA Los Angeles, Metro: J. WEILER Malaysia: Z. AWANG Melbourne: J. KRALOVEC Milwaukee: S. G. JOSHI Mohawk Valley: P. RATAZZI Monterrey Mexico: R. M. RODRIGUEZ-DAGNINO Montreal: K. WU New Hampshire: T. PERKINS New Jersey Coast: D. REYNOLDS New South Wales: G. TOWN New Zealand: J. MAZIERSKA North Italy: G. GHIONE

North Jersey: K. DIXIT North Queensland: A. TSAKISSIRIS Northern Nevada: B. S. RAWAT Norway: S. E. WHEATLEY Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: P. WAHID Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: C. WEITZEL Poland: M. P. MROZOWSKI Portugal: C. A. CARDOSO FERNANDES Princeton/Central Jersey: W. CURTICE/A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: S. M. CICCARELLLI/J. VENKATARAMAN Romania: I. SIMA Russia, Nizhny-Novgorod: Y. BELOV Russia, Saint Petersburg: M. SITNIKOVA Russia, Moscow: V. KALOSHIN Russia, Saratov-Penza: N. RYSKIN Saint Louis: D. MACKE San Diego: J. TWOMEY Santa Clara Valley/San Francisco: J. J. SOWERS Seattle: K. POULSON Seoul Coucil: H.-Y. LEE Siberia, Novosibirsk: V. SHUBALOV Siberia, Tomsk: O. STUKACH Singapore: O. B. LEONG

Editors-In-Chief DYLAN WILLIAMS NIST Boulder, CO 80305 USA Phone: +1 303 497 3138 Fax: +1 303 497 3970 email: [email protected] AMIR MORTAZAWI Univ. of Michigan Ann Arbor, MI 48109-2122 USA Phone: +1 734 936 2597 Fax: +1 734 647 2106 email: [email protected]

South Africa: P. W. VAN DER WALT South Australia: H. HANSEN South Brazil: L. C. KRETLY Southeastern Michigan: L. M. ANNEBERG Southern Alberta: S. BOUMAIZA Spain: L. FE HARO Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: J. HESSELBARTH Syracuse: E. ARVAS Taipei: C.-S. LU Thailand: M. KRAIRIKSH Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS/S. MORALES Turkey: O. A. CIVI Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Central Kiev: Y. POPLAVKO Ukraine, East: A. A. KIRILENKO Ukraine, Rep. of Georgia: R. ZARIDZE Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West: I. ISAYEV Venezuela: M. PETRIZZELLI Victoria: A. MITCHELL Virginia Mountain: D. MILLER Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI Yugoslavia: B. MILOVANOVIC

Associate Editors

ANDREAS CANGELLARIS KENJI ITOH STEVEN MARSH Univ. of Illinois, Urbana Champaign Mitsubishi Electronics Midas Consulting USA Japan U.K. email:[email protected] email: [email protected] email: [email protected] YOSHIO NIKAWA DAVID LINTON TADEUSZ WYSOCKI Queen’s Univ. Belfast Univ. of Wollongong Kokushikan Univ. Northern Ireland Australia Japan email: [email protected] email: [email protected] email: [email protected] RUEY-BEEI WU MANH ANH DO JOSÉ PEDRO Univ. of Aveiro National Taiwan Univ. Nanyang Technological Univ. Portugal Taiwan, R.O.C. Singapore email: jcp.mtted.av.it.pt email: [email protected] email: [email protected] ZOYA POPOVIC ALESSANDRO CIDRONALI VITTORIO RIZZOLI Univ. of Colorado, Boulder Univ. of Florence Univ. of Bologna USA Italy Italy email: [email protected] email: [email protected] email: [email protected] M. GOLIO, Editor-in-Chief, IEEE Microwave Magazine G. E. PONCHAK, Editor-in-Chief, IEEE Microwave and Wireless Component Letters

SANJAY RAMAN Virginia Polytech. Inst. and State Univ. USA email: [email protected] JENSHAN LIN Univ. of Florida USA email: [email protected] RICHARD SNYDER RS Microwave Company USA email: [email protected] ALEXANDER YAKOVLEV Univ. of Mississippi USA email: [email protected] T. LEE, Web Master

IEEE Officers MICHAEL R. LIGHTNER, President and CEO SAIFUR RAHMAN, Vice President, Publication Services and Products LEAH H. JAMIESON, President-Elect PEDRO RAY, Vice President, Regional Activities J. ROBERTO DE MARCA, Secretary DONALD N. HEIRMAN, President, IEEE Standards Association JOSEPH V. LILLIE, Treasurer CELIA L. DESMOND, Vice President, Technical Activities W. CLEON ANDERSON, Past President RALPH W. WYNDRUM, JR., President, IEEE-USA MOSHE KAM, Vice President, Educational Activities STUART A. LONG, Director, Division IV—Electromagnetics and Radiation

IEEE Executive Staff JEFFRY W. RAYNES, CAE, Executive Director & Chief Operating Officer DONALD CURTIS, Human Resources ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities CECELIA JANKOWSKI, Regional Activities BARBARA COBURN STOLER, Educational Activities

MATTHEW LOEB, Corporate Strategy & Communications RICHARD D. SCHWARTZ, Business Administration CHRIS BRANTLEY, IEEE-USA MARY WARD-CALLAN, Technical Activities SALLY A. WASELIK, Information Technology

IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: ROBERT SMREK Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $77.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Available in microfiche and microfilm. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. Copyright © 2006 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, P.O. Box 1331, Piscataway, NJ 08855-1331. GST Registration No. 125634188.

Digital Object Identifier 10.1109/TMTT.2006.884914

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3605

From Frequency-Domain Physics-Based Simulation to Time-Domain Modeling of Traveling-Wave Tube Amplifiers for High Data-Rate Communication Applications Pedro N. Safier, Vasily Dronov, Thomas M. Antonsen, Jr., Senior Member, IEEE, Joe X. Qiu, Member, IEEE, Bruce G. Danly, Fellow, IEEE, and Baruch Levush, Fellow, IEEE

Abstract—We report here on a methodology to use frequency-domain physics-based nonlinear simulations of traveling-wave tubes (TWTs) in block time-domain models. We present examples using an improved version of the large-signal code CHRISTINE and a two-block baseband time-dependent model with a feedback loop that takes into account reflections internal to the TWT. The novelty in this approach is that it enables us to relate digital performance to the physical characteristics of the device, and it has the potential to significantly impact the analysis of TWT amplifiers for high data-rate applications. Index Terms—Block model, CHRISTINE, digital, helix, highpower amplifier, nonlinear model, reflections, traveling-wave tube amplifier (TWTA).

I. INTRODUCTION HE EXPLOSIVE growth in wireless telecommunications and the increasingly crowded spectrum necessitate careful design of the communication system components, and the effect of nonideal component performance on the overall system must be considered. Amplifiers for these systems must meet criteria that are usually specified in the time domain such as bit error rate (BER), error vector magnitude (EVM), and the like. However, the physics-based design and nonlinear simulation of amplifiers such as traveling-wave tubes (TWTs) has been implemented traditionally in the frequency domain, and traditional amplifier performance specifications usually relate to frequency-domain properties such as noise power ratio (NPR)—used to evaluate the nonlinear response under a wideband stimulus—and the third-order-intermodulation-product to carrier ratio (C/3IM)—when a two-tone input is used. Thus, the impact of tradeoffs of amplifier properties on digital signal performance is not directly obtainable. This is particularly true if the device under consideration has internal

T

Manuscript received February 2, 2006; revised June 8, 2006. This work was supported by the Office of Naval Research. P. N. Safier is with S&J Solutions LLC, Alexandria, VA 22314 USA (e-mail: [email protected]). V. Dronov is with ViaSat Inc., Germantown, MD 20876 USA. T. M. Antonsen, Jr. is with the Science Applications International Corporation, McLean, VA 22102 USA, and is also with the Institute for Plasma Research, University of Maryland at College Park, College Park, MD 20742 USA. J. X. Qiu, B. G. Danly, and B. Levush are with the Naval Research Laboratory, Washington, DC 20375 USA. Digital Object Identifier 10.1109/TMTT.2006.882885

reflections that produce a frequency response with structure over the bandwidth of the digital signal, thus introducing significant memory effects. Moreover, the simulation of digital waveforms’ amplification in the frequency domain can require thousands of Fourier components. Such simulation is very time consuming, and still approximate. Therefore, one must resort to time-domain analysis to evaluate the performance of these amplifiers in a digital communications system. In principle, physics-based time-domain codes are capable of simulating the performance of a TWT fed by a modulated digital signal. Kory and Andro [3] pioneered this approach and, to date, theirs is the only attempt published in the literature. Their efforts show how difficult and time consuming the simulation of the amplification of digital signals is using a full-blown physics-based time-domain code. In their study, the data stream consisted of just several symbols, and the calculation took a few hours—for an amplifier with just 12.5 dB of gain. Moreover, the computational cost of studying the effects of internal reflections using such simulations becomes prohibitive. Therefore, at this time, the use of system-level analysis seems more efficient and expedient. In system-level analysis, power amplifiers are usually represented by a block model that mimics the behavior of the amplifiers. Behavioral modeling of nonlinear amplifiers including both traveling-wave tube amplifiers (TWTAs) and solid-state power amplifiers (SSPAs) has been studied extensively because of its importance in fast prediction of system-level performance of the device without the need for computation intensive physics-based simulation. A comprehensive summary of previous study on the topic can be found in [1]. The most commonly used and simplest power amplifier model is the memoryless nonlinear model. In this model, the output of an amplifier depends only on the amplitude on the input signal and the amplifier is fully characterized by its AM–AM and AM–PM transfer curves. These transfer curves are usually implemented as lookup tables relating the output signal (amplitude and phase) to the amplitude of the input signal. Analytic forms of these transfer curves for TWTA based on empirical fitting were given in [2]. The memoryless nonlinear model is only suitable for a narrowband signal. For wideband signals, a frequency-dependent model taking into account the memory effect must be used. The general description of a nonlinear system with memory is the Volterra series

0018-9480/$20.00 © 2006 IEEE

3606

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

[4]. It is, however, too cumbersome and too general to be used in system-level simulation. Truncated and simplified Volterra series has been used to decrease computational complexity [5]. The polyspectral technique, which is a simplified derivative of the general Volterra-series representation, was used in [6] for power amplifiers (both TWTAs and SSPAs) for wideband communications. In the block models developed earlier for the TWTA, measured AM/AM and AM/PM curves by single-tone stimulus at swept frequencies were used in [2], [7], and [8] to construct the block models. In the more recent models, the responses of the TWTA to dynamic stimulus (two-tone [9] and time-domain waveforms [10], [11]) were used for the purpose of constructing the block models. There are two main causes of memory effect in TWTA. The first is the intrinsic dispersion characteristic of the slow-wave circuit (both helix and coupled cavity) and the second is possible internal reflection due to circuit mismatch. Mismatch can occur at circuit transitions such as severs and input and output couplers, but also potentially any point along the circuit due to fabrication and alignment errors. The size of a TWT circuit is inversely proportional to its operating frequency. For high-frequency applications such as millimeter-wave frequencies, the small size of the TWT circuit structures poses significant challenge for minimizing mismatch caused by these errors [12]. It is, therefore, very important that the impact of internal reflection is well understood. The nonlinear block models for the TWTA available in the literature thus far do not distinguish between these two memory effects. Instead, the models are constructed to provide the best representation of the combined nonlinear memory effect. In this paper, we will describe a block model for TWTAs that will represent the underlying physics of the two memory effects separately: a forward path for circuit dispersion and a backward feedback path for internal reflection (see [13] and [14] for preliminary work). The model is a recursive model because of the feedback [15]. Even though recursive models have been developed for SSPAs [16], to the best of our knowledge, these models have not been applied to the TWTAs. Furthermore, we present a methodology to incorporate the results of frequency-domain physics-based nonlinear simulation of TWTs into time-domain block models. This allows a system level evaluation of a particular TWT design before committing to building the power amplifier, which could account for a significant portion of the system cost. This approach is made possible by the recent advances of high-fidelity TWT design codes such as CHRISTINE. CHRISTINE is a one-dimensional (1-D)/three-dimensional (3-D) multifrequency parametric large-signal TWT code for helix and coupled-cavity TWTs and has been validated extensively through experiments by the Naval Research Laboratory (NRL), Washington, DC [19] and industry [20]. It has the ability to optimize a TWT circuit design according to an objective function such as efficiency or complex gain [21], as well as arbitrarily defined functions [22]. The current version of CHRISTINE also incorporates reflections due to impedance mismatches at the input and output port and at severs. As a first validation of our approach, we compare the block-model results with those obtained with CHRISTINE for a -band TWTA similar to one that was designed at the

Naval Research Laboratory and fabricated by Northrop-Grumann, Rolling Meadow, IL [21]. Future research will focus on validating the block model against the experimental results obtained with this particular amplifier. Even though, for the sake of definitiveness, we concentrate on the -band, our approach is valid for other frequency bands, in particular those higher than 5 GHz, which are of particular interest for high data-rate communications. Section II describes in detail the implementation of our methodology. Section III is a validation study of the resulting block model for a -band TWT. Section IV illustrates a possible application of this block model to the design of a TWT for high data-rate communications. Section V summarizes our results and plans for future work. II. IMPLEMENTATION The block model is implemented in the baseband as a twoport network of sub-blocks. Each of the sub-blocks in the network represents a particular physical process operating on the input signal: amplification with memory (the frequency-dependent linear gain), memoryless amplification (nonlinear gain at the carrier frequency), time delays to account for finite propagation times through the device, and a feedback loop with gain 1 to represent internal reflections. A diagram of this model, depicting a single-section amplifier, is presented in Fig. 1. The feedback loop describes the effect of reflections between the output and input ends of the device and any severs present; it is assumed that there is a single dominant feedback path. This feedback loop contributes a memory effect in addition to that due to the frequency dependence of the linear gain. The fundamental problem is how to implement each of these blocks using the results from a physics-based frequency-domain simulations for a particular device under study. We have developed a systematic procedure and the necessary software to program in MATLAB using SIMULINK [23], each of the blocks in Fig. 1 using the results from the large-signal code CHRISTINE (drive-curve small-signal frequency response dispersion characteristics). A. Linear Gain Block The linear gain block accounts for the amplification and time delay of signals in the TWT in the small-signal regime and in the absence of reflections. The block model operates on complex baseband time-dependent signals and , as indicated in Fig. 1. The actual input and output signals are given by (1) is a reference or carrier frequency (here chosen to where correspond to 5.4 GHz). We express the complex frequency-dependent gain in the block model as (2) and where respectively.

are the Fourier transforms of

and

,

SAFIER et al.: FROM FREQUENCY-DOMAIN PHYSICS-BASED SIMULATION TO TIME-DOMAIN MODELING OF TWTAs

3607

Fig. 1. Time-domain block model to simulate a TWT. The elements within the light gray lines are combined into one when frequency dependence is included.

The quantity represents the complex transfer function of the block labeled in the same way in Fig. 1, while is the transfer function to account for the fixed time delay (3) is to be determined to ensure causality. where the value of The value of the time delay and the parameters of the block will be determined as follows. The first step is to run CHRISTINE in single-frequency mode for a range of frequencies centered at the reference frequency , and to tabulate the complex gain , defined as (4) where and , are, respectively, the complex input and output amplitudes in CHRISTINE at frequency defined similarly to and . A portion of the phase of includes the phase change due to propagation of the wave in the absence of a beam (5)

which then constrains (8) to be the magnitude of the voltage gain at the reference frequency. Typically, we keep four to five terms in the polynomials in (6). B. Nonlinear Gain Block This block represents the standard AM–AM and AM–PM transfer characteristics of the tube. We assume that the nonlinear gain of the tube is, to first order, frequency independent, and compute the AM–AM and AM–PM curves at the reference frequency using CHRISTINE. This results in a nonlinear relation (9) is the tabulated complex nonlinear gain, and where and are, respectively, the complex amplitudes before and after the nonlinear gain (see Fig. 1). The so-obtained curves are tabulated as functions of the signal’s amplitude, and implemented in SIMULINK as lookup tables with interpolation. C. Reflections and Backward Time Delay

where is obtained from the cold structure dispersion relation (for further details, see [18]). The value of the time delay is now chosen to be the minimum of the frequency-dependent group delay obtained by taking the derivative with respect to of (5). We then model the complex block gain as a ratio of two polynomials in

In the case of a frequency-dependent reflection coefficient, we proceed as with the linear gain, and combine the frequencydependent time delay—which accounts for the travel time of reflected waves between output and input—and reflection coefficient into a transfer function (see Fig. 1) where

(6)

and and are output and reflected complex signal amplitudes. In complete analogy with the case of the linear gain block, we represent as

is causal. The coeffiThis choice generally assures that cients and are then selected to give the best fit of to in the least squares sense. Further, we let (7)

(10)

(11)

3608

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 2. Small-signal gain and phase advance for the model TWT. Shown is the 3-dB passband, which is centered at 5.4 GHz.

Fig. 3. Drive curve for the model TWT at the central frequency, 5.4 GHz. Saturation occurs at P = 23:5 dBm.

and the coefficients are chosen to match to a prescribed frequency-dependent reflection coefficient that is also implemented in CHRISTINE. Thus, the reflections plus time-delay block corresponds to passing the input signal through a complex filter, which has gain 1 (because the magnitude of the reflection coefficient is always 1), and applying the appropriate frequency-dependent phase shift induced by the time delaying, in addition to the phase change induced by the reflection. III. VERIFICATION A. Model TWT and Its Block Model Description We simulated with CHRISTINE a -band TWT similar to one that was designed at the Naval Research Laboratory and fabricated by Northrop-Grumann [21]. The physical parameters of this tube and examples of simulations with CHRISTINE are described in the Appendix. Henceforth we shall refer to this design as the model TWT. The small-signal gain of the model TWT is shown in Fig. 2, and Fig. 3 is a plot of the drive curve for the model TWT at the central frequency of 5.4 GHz. The linear gain peaks at 5.4 GHz, and the 3-dB bandwidth extends from 4.4 to 6.4 GHz. In the nonlinear regime, at 5.4 GHz, the gain is reduced from its small-signal value of 36 dB to 30 dB when the input power is raised to dBm. We refer to this value of power as the saturation level, and input backoffs (IBOs) will be referred to this value. Following the procedure described in Section II-A, we fitted the linear gain (including the phase change due to the cold dispersion characteristics of the tube) with a fifth-order filter with complex coefficients (6). The quality of the fit can be seen in Fig. 4 where we have plotted as a function of frequency the fractional error in the magnitude and absolute phase error of the complex linear gain

The first verification test consists of checking that the singletone complex gain obtained from the block model is a good match to the CHRISTINE results. To perform this test, the input to the block model is a single complex sinusoid with frequency and the equations are integrated in time until the initial transients vanish. This process is repeated for all the frequencies of interest. Without reflections, Fig. 5 shows the difference between the block model and CHRISTINE for a variety of input powers in terms of the fractional error in complex voltage gain

(12)

(14)

Fig. 4. Linear gain fit error. Shown are the fractional error in the voltage gain (top) and the absolute phase error in degrees (bottom).

and (13) B. Single-Tone Results

SAFIER et al.: FROM FREQUENCY-DOMAIN PHYSICS-BASED SIMULATION TO TIME-DOMAIN MODELING OF TWTAs

3609

Fig. 5. Comparison between the block model output and CHR1D for a pure sinusoidal input. Shown is the fractional error in complex voltage gain G=G as a function of the input sinusoid frequency for three different average input powers. The input powers are expressed as fractions (in decibels) of the average input power P that will saturate the tube when the input is a single sinusoid of frequency 5.4 GHz.

1

where is the complex voltage gain obtained from CHRISTINE and is the complex voltage gain computed from the block model results. It is clear from this figure that at the reference frequency (at which the nonlinear gain is tabulated) the error is quite small for all input powers. However, as frequency is varied away from the reference frequency, the error scales as . This is due to a nonlinear memory effect that is not captured in the current block model. C. Reflections Results To test the simulation of reflections with the block model, we used the newly added reflection computation capability added in CHRISTINE (see the Appendix). We assume, for the purpose of this test and for simplicity, that the reflections take place only at the output port of the TWT, and introduce an ad hoc reflection coefficient that is a monotonic function of frequency. Again, to perform this test, the input to the block model is a single complex sinusoid with frequency , and the equations are integrated in time until the initial transients vanish. This process is repeated for all the frequencies of interest. When reflections are introduced, a comparison between the block model and CHRISTINE results is shown in Fig. 6. The results shown in Fig. 6 were calculated in the linear regime of the TWT (30-dB IBO) to maximize the amplitude of the reflections, and near saturation (3-dB IBO) to illustrate the effect of gain compression. The fractional errors in complex voltage gain in this case are similar to those shown in Fig. 5 that correspond to the case without reflections. Therefore, this test shows that our approach to the modeling of internal reflections via the inclusion of a feedback loop is very accurate. IV. SIMULATION OF DIGITAL SIGNALS The final goal of this study is to be able to simulate the amplification of an arbitrary digital signal in the time domain with a

Fig. 6. Comparison between the single-tone 3-dB passband of the model TWT computed using CHRISTINE (circles) and the block model (dashed line). The power gain (top, in decibels) and phase advance (bottom, in radians) are shown as a function of frequency for two input powers.

block model that is based on a frequency-domain physics-based simulation of a TWT. Here, we describe the basic parameters of such a simulation, its setup, and our results. In particular, we focus on a particular class of digital signals, those that are amenable to simulation also in the frequency domain. Our purpose in doing so is to further compare the accuracy of our block model description with the output from CHRISTINE. A. Setup and Basic Parameters For a given choice of encoding and modulation, the characterizing parameters of a block model simulation, once a TWT configuration is fixed, are the bandwidth of the input signal and its average power that we choose to express in units of a fiducial power dBm. CHRISTINE is a frequency-domain code, therefore, it can handle only periodic signals. To verify against CHRISTINE the response of the block model to a complex stimulus, the input then has to be periodic in time. Furthermore, we decided to focus on only one digital modulation scheme, namely, 16 quadrature amplitude modulation (QAM). Thus, our input signal consisted of the 16-QAM representation of a fixed string of 16 symbols (or numbers)—the basic “message”—repeated over and over for periods. For the sake of definitiveness, we chose the sequence as our basic message. The signal is repeated times to allow transients to die out so that the block model is responding as if the signal were periodic. Typically is sufficient to achieve this. To realize numerically the 16-QAM modulation of the message, it is necessary to specify how many time points are to be allocated per symbol. We chose eight points per symbol, and kept this choice constant for all our simulations. Thus, the 16-QAM

3610

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 7. Basic input sequence for the digital simulation. Shown is the output obtained after 16-QAM modulation of the numerical sequence 0; 1; 2; . . . ; 15 with eight data points allocated per symbol (128 points in total.). The top panel shows the in-phase component, and the bottom panel shows the quadrature component.

Fig. 8. Basic input sequence after filtering through a raised cosine filter with = 0:5.

modulated basic message consisted of a sequence of 128 complex data points, and the entire input to the simulation comprised complex data points. Fig. 7 illustrates the modulated basic message. To limit the bandwidth of the simulation, and following standard procedure, we filtered the modulated signal shown in Fig. 7 with a raised cosine filter [1] with transfer function

otherwise (15) is half the data rate, and is the duration where of a symbol, corresponding to eight units in Fig. 7. In (15), we set the rollover parameter . The thus filtered signal is shown in Fig. 8. The next step in setting up a particular simulation is to specify the symbol duration in nanoseconds . Once this is done, our simulation is fully specified. The input signal extends for a time (16) because we have eight points per symbol, the time interval between adjacent points is (17) and the total bandwidth is (18)

Fig. 9. Power spectrum of a 1-GHz simulation. This is the power spectrum obtained after the filtered input sequence is scaled in time to correspond to a symbol length of 8 ns, and the total power is normalized to unity.

Fig. 9 shows the normalized power spectrum of the signal in Fig. 8. Note that the symbol duration has been set to ns, therefore, the total bandwidth is GHz. From Fig. 9, we estimate the 3-dB bandwidth of the power spectrum to be 100 MHz. To change the bandwidth of the simulation, we simply vary while we keep the total number of data points in the simulation constant ( data points). The input data to be fed to CHRISTINE is derived from the frequency-domain representation of the input signal whose power spectrum is shown in Fig. 9. This data must be properly normalized to correspond to a desired input power . In other words, the input to CHRISTINE consists of 128 tones, the powers and phases of which are obtained from the Fourier transform of the properly normalized signal shown in Fig. 8. Finally, the input to the block model simulation is completed by stitching copies of the signal shown in Fig. 8, and scaling the amplitudes to obtain a desired average input power in

SAFIER et al.: FROM FREQUENCY-DOMAIN PHYSICS-BASED SIMULATION TO TIME-DOMAIN MODELING OF TWTAs

3611

units of the fiducial . We found that is an appropriate value. With this choice, the initial transients are strongly damped for the data that corresponds to the last period of the message. This last period is what is compared with the CHRISTINE results. B. Performance Metrics To effect the comparison between the output from the block model and CHRISTINE, a metric must be defined. First, it is important to recall that these two simulations are performed in two different domains—time and frequency. We choose to compare the results in the time domain, and Fourier transform the CHRISTINE output to the time domain for this purpose. Let be the (complex) output amplitude obtained from the block model, and let be the corresponding amplitude obtained by Fourier transform of the CHRISTINE output. We choose as our metric the figure of merit (FOM) given by

Fig. 10. Comparison between the block model (crosses) and CHRISTINE (circles) output for the input sequence in Fig. 9. Only the last period of the message : . is compared. The FOM of this comparison is

FOM = 2 5 2 10

(19) where is the signal period, and is some arbitrary time. For , we choose the time that corresponds to the beginning of the last period of the output in the time domain—to let the initial transients die out. C. Results Without Reflections We decided to set the symbol duration to ns, and proceeded to calculate the FOM as a function of average input power . Note that, according to (17) and (18), ns corresponds to GHz. The results in Fig. 9 show that the 3-dB bandwidth is 100 MHz; however, ns yields a symbol transfer rate Msymb/s. Fig. 10 is a comparison between the output amplitudes from the block model and CHRISTINE. The total average input power was (corresponding to a 10-dB IBO in the case of a single sinusoid input at 5.4 GHz). Note, by comparing Figs. 8 and 10, that at this input power, there is significant distortion. However, the agreement between the block model and CHRISTINE is excellent, as reflected by a FOM value of . Our main result is summarized in Fig. 11. This figure is a plot of the FOM as a function of average input power for different bandwidths. Note how the FOM value saturates as the input value approaches saturation .

Fig. 11. FOM as a function of average input power. Shown is the FOM for signals with different total bandwidth f as a function of input power P (in units of the average input power P , the value that will saturate the tube when the input is a single sinusoid of frequency 5.4 GHz).

1

reflections (FOMR) in analogy to the definition of the FOM in (19) as (20)

D. Results With Reflections Since the code CHRISTINE is not yet capable to model multifrequency signals in the presence of reflections, the results here are only illustrative of the capabilities of our approach. In a forthcoming paper, we will present a detailed validation of our approach against the experimental measurements obtained at the NRL with the -band TWTA simulated here. To illustrate the effect of reflections on a digital signal, we compare the output of the block model with and without reflections. To quantify our results, we define a figure-of-merit with

where and are the outputs from the block model obtained by running it with and without reflections, respectively. To begin, we must specify the internal reflections that characterize the device. The device under study has a sever (see the Appendix) that we assume is 100% reflective across the frequency band. We assume further that the input and output ports are characterized by the same reflection coefficient . To illustrate the capabilities of our method, we choose an ad hoc that decreases monotonically from a value of at

3612

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

()

Fig. 12. Reflection coefficient  ! for the output and input ports. The left axis corresponds to  ! (solid line), and the right axis indicates the reflection phase (dashed line).

j ( )j

( )

. Fig. 14. FOMR (20) as a function of the maximum value of  ! and  The functional form of  ! is that shown in Fig. 12. The signal bandwidth is : P ( 3-dB IBO). f GHz and the input power P

1 =8

( )

=05 0

V. SUMMARY AND FUTURE WORK

Fig. 13. Comparison of the output signal with and without reflections. Plotted GHz and input power P : P is the last cycle of a signal with f ( 3-dB IBO) with  ! crosses, gray line, and with the reflection coefficient plotted in Fig. 12 (which has a maximum value of 0.08; circles, heavy line). Only the real part is shown.

0

( )=0

1 =8

=05

GHz. The absolute value and phase of are plotted in Fig. 12. Next, we choose a definite bandwidth GHz and input power ( 3-dB IBO). Fig. 13 is a plot of the last cycle of the digital signal with and without reflections present. Only the real part is plotted. As a last example, we explore the behavior of the FOMR for different values of . For simplicity, we keep the functional form shown in Fig. 12, and vary the overall normalization ; we consider the cases , , and . Fig. 14 summarizes our results as a plot of the FOMR as a function of . It is interesting to note that whereas changes by only a factor of four in Fig. 14, the FOMR changes by almost two orders of magnitude, with the worst case being illustrated in Fig 13.

We have presented a detailed methodology for using the results of physics-based frequency-domain codes in a time-domain block model. This approach allows us to directly relate the digital performance of the device to its physical parameters. As a first validation of our approach, we have compared the results of the block-model designed in this way with the results from the 1-D/3-D multifrequency parametric large-signal TWT code CHRISTINE. Even though, for the sake of definitiveness, we have concentrated on the -band, our approach is valid for other frequency bands, particularly those higher than 5 GHz, which are of particular interest for high data-rate communications. Since the code CHRISTINE is not yet capable to model multifrequency signals in the presence of reflections, only for the case of single tones we were able to quantitatively show that our approach to the modeling of internal reflections via the inclusion of a feedback loop is very accurate. The multifrequency case must await detailed validation against experimental measurements, a task that we will postpone for a forthcoming paper. Last, but not least, our simulation of realistic modulated digital signals—without reflections—shows that our approach is accurate, robust, and fast. Our benchmark case, a data stream that consisted of five cycles of 16 symbols with these last spanning a bandwidth of 1 GHz took 20 min to run on a Pentium 4 processor at a clock rate of 1.8 GHz. Our initial validations are encouraging, and we intend to compare the predictions of our block model with the actual digital performance of a -band tube designed at the Naval Research Laboratory and fabricated by Northrop-Grumann. APPENDIX TWT SIMULATIONS WITH CHRISTINE CHRISTINE [17], [18] is a parametric code developed to model helix TWTs. The code allows one to simulate the amplification of multifrequency signals and their harmonics, and

SAFIER et al.: FROM FREQUENCY-DOMAIN PHYSICS-BASED SIMULATION TO TIME-DOMAIN MODELING OF TWTAs

C

3613

TABLE I -BAND MODEL TWT PARAMETERS

The 1-D model approximates the effect that the support rods have on the dispersive characteristics of the helix circuit by reducing the dielectric permittivity of the rods to an effective smeared relative permittivity that completely fills the area between the inner radius of the vacuum envelope and the outer radius of the helix. The value of this smeared relative dielectric constant is computed using area weighting. Fig. 16. Power as a function of axial position for the forward and reflected waves. The reflection coefficient at the severs is set to unity. The boundary condition at the end of the interaction region is that no backward-traveling waves are incoming from .

+1

ACKNOWLEDGMENT The authors wish to acknowledge the assistance and support of D. Abe, J. Calame, T. Mai, J. Molnar, and R. Zborofsky, all with the Naval Research Laboratory (NRL), Washington, DC. REFERENCES

Fig. 15. Helix pitch profile for the model TWT. A sever is located between z : cm and z cm. The total interaction length is 12.294 cm. :

= 4 064

= 4 1264

it includes efficient algorithms to perform complex circuit optimizations. Whaley et al. [20] and Abe et al. [19] experimentally validated the code through an extensive comparison of simulated responses with experimental measurements of a -band [20] and an -band TWT [19]. The tube modeled here is based on a -band tube designed at the Naval Research Laboratory and fabricated by Northrop-Grumann. This last tube was designed entirely with a suite of software developed at NRL, and it is going to be used in planned high data-rate digital communications experiments at NRL with the final goal of developing improved digital TWT performance metrics and improved simulation software that meet criteria relevant to high data-rate communications systems. Table I lists the physical parameters of the model tube in this paper, and Fig. 15 shows the pitch profile of the helix circuit [21]. The latest release of CHRISTINE is capable of computing internal reflections due to severs, impedance mismatches at the input and output ports, and characteristic impedance and attenuation variations along the circuit. Part of these capabilities are illustrated in Fig. 16, which is a plot of power as a function of axial distance for our model tube assuming that the sever is 100% reflecting, and that only the output port has an impedance mismatch.

[1] M. C. Jeruchim, P. Balaban, and K. S. Shanmugan, Simulation of Communication Systems. New York: Plenum, 1992. [2] A. A. M. Saleh, “Frequency-independent and frequency-dependent nonlinear models of TWT amplifiers,” IEEE Trans. Comm., vol. COM-29, no. 11, pp. 1715–1720, Nov. 1981. [3] C. L. Kory and M. Andro, “Intersymbol interference investigations using a 3-D time-dependent traveling wave tube model,” IEEE Trans. Plasma Sci., vol. 30, no. 1, pp. 267–273, Jan. 2002. [4] M. Schetzen, The Volterra and Wiener Theories of Nonlinear Systems. Malabar, FL: Krieger, 1989. [5] A. Zhu, M. Wren, and T. J. Brazil, “An efficient Volterra-based behavioral model for wideband RF power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, 2003, vol. 2, pp. 787–790. [6] C. P. Silva, A. A. Moulthrop, and M. S. Muha, “Introduction to polyspectral modeling and compensation techniques for wideband communications systems,” in 58th Automat. RF Tech. Group Conf. Dig., San Diego, CA, 2001, pp. 1–15. [7] H. B. Poza, Z. A. Sarkozy, and H. L. Berger, “A wideband data link computer simulation model,” in Proc. IEEE Nat. Aerosp. Electron. Conf., Dayton, OH, Jun. 1975, pp. 71–78. [8] M. T. Abuelma’atti, “Frequency-dependent nonlinear quadrature model for TWT amplifiers,” IEEE Trans. Comm., vol. COM-32, no. 8, pp. 982–986, Aug. 1984. [9] C. J. Clark, M. S. Muha, A. A. Moulthrop, and C. P. Silva, “Poweramplifier characterization using a two-tone measurement techniques,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1590–1602, Jun. 1998. [10] C. J. Clark, G. Chrisikos, M. S. Muha, A. A. Moulthrop, and C. P. Silva, “Time-domain envelope measurement technique with application to wideband power amplifier modeling,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 12, pp. 2531–2540, Dec. 1998. [11] C. P. Silva, C. J. Clark, A. A. Moulthrop, and M. S. Muha, “Optimalfilter approach for nonlinear power amplifier modeling and equalization,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2000, vol. 1, pp. 437–440. [12] J. R. Legarra, J. Cusick, R. Begum, P. Kolda, and M. Cascone, “A 500-W coupled-cavity TWT for K a-band communication,” IEEE Trans. Electron Devices, vol. 52, no. 5, pp. 665–668, May 2005. [13] P. N. Safier, T. Antonsen, Jr., J. X. Qiu, B. G. Danly, and B. Levush, “From frequency-domain, physics-based modeling to time-domain simulation of high data-rate performance,” in 2nd IEEE Int. Vacuum Electron. Conf., Nordwijk, The Netherlands, 2001, pp. 125–128.

3614

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

[14] ——, “From frequency-domain, physics-based modeling to time-domain simulation of high data-rate performance II: Non-linear gain with memory,” in 3rd IEEE Int. Vacuum Electron. Conf., Monterey, CA, 2002, pp. 316–317. [15] J. Leontaritis and S. A. Billings, “Input–output parametric models for non-linear systems Part I: Deterministic nonlinear systems and Part II: Stochastic non-linear systems,” Int. J. Contr., vol. 41, no. 2, pp. 303–344, 1985. [16] M. I. Sobhy, E. A. Hosny, M. W. R. Ng, and E. A. Bakkar, “Nonlinear system and subsystem modeling in time domain,” IEEE Trans. Microw. Theory Tech, vol. 44, no. 12, pp. 2571–2579, Dec. 1998. [17] T. M. Antonsen, Jr. and B. Levush, “CHRISTINE: A multifrequency parametric simulation code for traveling-wave tube amplifiers,” Naval Res. Lab., Washington, DC, NRL Rep. 97-9845, 1997. [18] ——, “Traveling-wave tube devices with nonlinear dielectric elements,” IEEE Trans. Plasma Sci., vol. 26, no. 3, pp. 774–786, Mar. 1998. [19] D. K. Abe, M. T. Ng, B. Levush, T. M. Antonsen, Jr., and D. Chernin, “A comparison of L-band helix TWT experiments with CHRISTINE, a 1-D multifrequency helix TWT code,” IEEE Trans. Plasma Sci., vol. 28, no. 3, pp. 576–587, Mar. 2000. [20] D. R. Whaley, C. M. Armstrong, M. L. Barsanti, T. A. Hargreaves, J. A. McKay, A. J. Theiss, R. B. True, D. N. Smithe, D. Chernin, T. M. Antonsen, Jr., and B. Levush, “High purveyance TWT modeling and experimental verification,” in Proc. Int. Vacuum Electron. Conf., Monterey, CA, 2002, pp. 353–354. [21] D. K. Abe, B. Levush, T. M. Antonsen, Jr., D. R. Whaley, and B. G. Danly, “Design of a linear C -band helix TWT for digital communications experiments using the CHRISTINE suite of large-signal codes,” IEEE Trans. Plasma Sci., vol. 30, no. 3, pp. 1053–1062, Mar. 2002. [22] J. X. Qiu, D. K. Abe, T. M. Antonsen, Jr., B. G. Danly, and B. Levush, “Traveling-wave tube amplifiers performance evaluation and design optimization for application in digital communications,” IEEE Trans. Microw. Theory Tech, vol. 51, no. 8, pp. 1911–1919, Aug. 2003. [23] MATLAB. ver. 7.0.4, The MathWorks Inc., Natick, MA, 2003.

Pedro N. Safier was born in Buenos Aires, Argentina. He received the B.Sc. and M.Sc. degrees from Hebrew University, Jerusalem, Israel, in 1983 and 1985, respectively, and the Ph.D. degree in astronomy and astrophysics from the University of Chicago, Chicago, IL, in 1993. He held post-doctoral positions with the University of California at Berkeley and University of Maryland at College Park. In 1999, he began his involvement with vacuum electronics with the Naval Research Laboratory, Washington, DC, initially as an employee of the Science Applications International Corporation and, since 2003, as owner of S&J Solutions LLC, Alexandria, VA. Aside from his current research on characterization of vacuum electronic devices for broadband telecommunications, he is also involved with the cooling of high-power microelectronics.

Vasily Dronov was born in Tbilisi, Georgia, in 1975. He received the Bachelor’s degree in radio physics from Kharkov State University, Kharkov, Ukraine, in 1997, and the Master’s and Ph.D. degrees in electrical engineering from the University of Maryland at College Park, in 2001 and 2005, respectively. In 2001, he joined Viasat Inc., Germantown, MD, where he is currently a Senior RF Design Engineer involved with the design and analysis of high-frequency electronic circuits and components used in commercial and military satellite communication modems.

Thomas M. Antonsen, Jr. (M’87–SM’02) was born in Hackensack, NJ, in 1950. He received the Bachelor’s degree in electrical engineering and Master’s and Ph.D. degrees from Cornell University, Ithaca, NY, in 1973, 1976, and 1977, respectively. From 1976 to 1977, he was a National Research Council Post-Doctoral Fellow with the Naval Research Laboratory. From 1977 to 1980, he was a Research Scientist with the Research Laboratory of Electronics, Massachusetts Institute of Technology (MIT), Cambridge. In 1980, he joined the University of Maryland at College Park, where he joined the faculty of the Electrical Engineering Department and Physics Department in 1984. He is currently a Professor of physics and electrical and computer engineering with the University of Maryland at College Park. He has held visiting appointments with the Institute for Theoretical Physics (U.C.S.B.), Ecole Polytechnique Federale de Lausanne, Lausanne, Switzerland, and Institute de Physique Theorique, Ecole Polytechnique, Palaiseau, France. From 1998 to 2000, he was the Acting Director of the Institute for Plasma Research, University of Maryland at College Park. He has authored or coauthored over 250 journal papers. He coauthored Principles of Free-electron Lasers. He has served on the Editorial Board of Physical Review Letters, The Physics of Fluids, and Comments on Plasma Physics. His research interests include the theory of magnetically confined plasmas, the theory and design of high power sources of coherent radiation, nonlinear dynamics in fluids, and the theory of the interaction of intense laser pulses and plasmas. Prof. Antonsen has been a Fellow of the Division of Plasma Physics, American Physical Society since 1986. He was a corecipient of the 1999 Robert L. Woods Award for Excellence in Vacuum Electronics Technology and the 2003 IEEE Plasma Science and Applications Award. He was also the recipient of the 2004 Outstanding Faculty Research Award of the Clark School of Engineering.

Joe X. Qiu (M’03) received the B.S. and Ph.D. degrees in physics from the State University of New York at Stony Brook, in 1991 and 1997, respectively. From 1992 to 1997, he was a Graduate Research Assistant with the Accelerator Test Facility, Brookhaven National Laboratory, where he participated in a wide range of experimental studies related to high brightness electron beams and free-electron lasers. From 1997 to 2000, he was a National Research Council Post-Doctoral Research Associate with the Beam Physics Branch, Plasma Physics Division, Naval Research Laboratory, Washington, DC. Since 2000, he has been a Research Physicist with the Vacuum Electronics Branch, Electronics Science and Technology Division, Naval Research Laboratory. His current research activities are concentrated on the studies of nonlinear distortion in vacuum electronics microwave power amplifiers, particularly TWT amplifiers, their effects in high-date-rate communications, and the construction of new ultra-broadband test and measurement systems for characterizing the distortions.

Bruce G. Danly (M’87–SM’01–F’03) received the B.A. degree in physics from Haverford College, Haverford, PA, in 1978, and the Ph.D. degree in physics from the Massachusetts Institute of Technology (MIT), Cambridge, in 1983. His doctoral dissertation in the area of quantum electronics concerned high-power infrared Raman lasers. From 1983 to 1995, he was a member of the research staff with the MIT Plasma Fusion Center, initially as Research Scientist (1983–1992) and then as Principal Scientist (1992–1995). While with MIT, he participated in research on gyrotrons, free-electron lasers, relativistic klystrons, and other high-power RF source technologies for use in plasma heating and high-gradient RF linear accelerators. In 1995, he joined the Naval Research Laboratory, Washington, DC, as Head of the High Power Devices Section, Vacuum Electronics Branch. The High Power Devices Section carries out experimental research and development on new concepts for high-power microwave, millimeter-wave, and infrared sources based on both slow- and fast-wave interaction mechanisms. Technologies under investigation include

SAFIER et al.: FROM FREQUENCY-DOMAIN PHYSICS-BASED SIMULATION TO TIME-DOMAIN MODELING OF TWTAs

the class of gyrotron amplifiers (gyroklystrons, gyrotwystrons, gyro-TWTs), free-electron lasers, TWTs, and klystrons.

Baruch Levush (M’88–SM’90–F’01) received the M.Sc, degree in physics from Latvian University, Riga, Latvia, in 1972, and the Ph.D. degree in physics from Tel-Aviv University, Tel-Aviv Israel, in 1981. In 1985, he joined the Institute for Plasma Research, University of Maryland at College Park, where his research has focused on the physics of coherent radiation sources and the design of high-power microwave sources such as gyrotrons, TWTs, backward-wave oscillators, and free electron

3615

lasers. In 1995, he joined the Naval Research Laboratory (NRL), Washington, DCA, as the Head of the Theory and Design Section, Vacuum Electronics Branch, Electronics and Technology Division. In 2003, he became a Head of the Vacuum Electronics Branch, Electronics and Technology Division, NRL. He is actively involved in developing theoretical models and computational tools for analyzing the operation of vacuum electron devices and in inventing new concepts for high-power high-frequency coherent radiation sources. He is responsible for the development of a suite of new design codes for vacuum electron devices under the auspices of the Office of Naval Research Modeling and Simulation Project. He has coauthored over 150 journal papers. Dr. Levush was the recipient of the 2006 R&D 100 Award for his contribution in the development of MICHELLE: A Software Tool for Three-Dimensional Modeling of Charge-Particle-Beam Devices. He was also the recipient of the 1999 Department of Defense (DoD) Robert L. Woods Award for his role in the successful development of a 10-kW average power -band gyro-klystron.

W

3616

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Accurate Multibias Equivalent-Circuit Extraction for GaN HEMTs Giovanni Crupi, Dongping Xiao, Dominique M. M.-P. Schreurs, Senior Member, IEEE, Ernesto Limiti, Member, IEEE, Alina Caddemi, Walter De Raedt, and Marianne Germain

Abstract—This paper focuses on the determination and analysis of an accurate small-signal equivalent circuit for gallium–nitride high electron-mobility transistors under different bias conditions. Our experimental results show that a channel capacitance has to be added to the conventional forward “cold” model for modeling the device-under-test. The validity of the proposed extraction procedure has been verified by the very good agreement between simulated and measured scattering parameters up to 50 GHz. Index Terms—Gallium nitride, high electron-mobility transistor (HEMT), multibias, small-signal model.

I. INTRODUCTION INCE 1980, the development of advanced high electronmobility transistors (HEMTs) is continuously growing [1], [2], and recently AlGaN/GaN-based HEMTs have attracted a huge attention for high-power and high-temperature applications at microwave and millimeter-wave frequencies due to the wide bandgap of gallium nitride. The large conduction band discontinuity of the AlGaN/GaN heterostructure, which is related to the difference between the electron affinities of the two materials, together with the large piezoelectric and spontaneous polarization effects, leads to the formation of an extremely high density two-dimensional electron gas (2DEG), even without doping. The aim of this study is to extract an accurate multibias equivalent circuit from -parameter measurements for GaN HEMTs, as the investigation of small-signal equivalent-circuit parameters (ECPs) with respect to the working bias point is very useful for the development and improvement of design and fabrication processes. Additionally, the multibias equivalent circuit can be used for the construction of the nonlinear model [3]. Although the RF small-signal transistor behavior is completely characterized

S

Manuscript received April 18, 2006; revised June 23, 2006. This work was supported by the Information Society Technologies Programme of the European Union under Contract IST-1-507893-NOE. G. Crupi is with the Electronic Engineering Department, University of Roma “Tor Vergata,” 00133 Rome, Italy, is also with the Dipartimento di Fisica della Materia e Tecnologie Fisiche Avanzate, University of Messina, 98166 Messina, Italy, and is also with the Electronic Engineering Department, Katholieke Universiteit Leuven, B-3001 Heverlee-Leuven, Belgium (e-mail: [email protected]). D. Xiao is with the Interuniversity Microelectronics Centre, B-3001 Leuven, Belgium, and also with the Electronic Engineering Department, Katholieke Universiteit Leuven, B-3001 Leuven, Belgium. D. M. M.-P. Schreurs is with the Electronic Engineering Department, Katholieke Universiteit Leuven, B-3001 Leuven, Belgium. E. Limiti is with the Electronic Engineering Department, University of Roma “Tor Vergata,” 00133 Rome, Italy. A. Caddemi is with the Dipartimento di Fisica della Materia e Tecnologie Fisiche Avanzate, University of Messina, 98166 Messina, Italy. W. De Raedt and M. Germain are with the Interuniversity Microelectronics Centre, B-3001 Leuven, Belgium. Digital Object Identifier 10.1109/TMTT.2006.882403

by means of matricial models such as -parameters, the circuit model has several advantages. First, it allows a deeper analysis of the microwave performance as the elements can be clearly linked to the physical structure of the device. Second, the dependence of the microwave performance on the device geometrical dimensions can be quickly estimated from the conventional scaling rules of the ECPs. Third, the equivalent circuit is a very compact model as the elements are frequency independent. Fourth, the knowledge of the equivalent circuit allows the extrapolation of small-signal performance at frequencies beyond the capability of the available measurement setup. The conventional forward “cold” model [4] cannot be adopted for modeling the studied GaN HEMT since under this bias condition both and show capacitive behavior. It is well known that using a strong “forward” condition is necessary for a “cold” GaN HEMT in order to obtain a pure inductive behavior of since the AlGaN/GaN heterostructure has a conduction band discontinuity much larger in comparison to the traditional GaAs HEMT [5], [6]. A strong “forward” condition could also lead to a pure inductive behavior of as we attribute the observed capacitive behavior to the RC channel network. We ruled out that the capacitive nature of metal–semiconductor ohmic contact is the origin [7] of the capacitive behavior since the dc contact resistance of the ohmic contacts of the device-under-test is only 0.5 mm. Here, we use a new and simple T-network composed by lumped elements for representing the investigated GaN HEMT under a forward “cold” condition, which takes into account the capacitive effects of and . Therefore, the proposed extraction method avoids any gate degradation, which can be caused by excessive gate current flowing through the Schottky junction since it does not need a heavy forward bias to the gate in order to neglect capacitive effects, as reported in [5] and [6]. This paper is organized as follows. In Section II, we briefly describe the device-under-test, which is an Si N in situ passivated undoped AlGaN/GaN heterostructure on a sapphire substrate. The passivation layer eliminates, or at least reduces, surface trapping effects, allowing us to neglect the effect of surface charging on the small-signal performance modeled for unpassivated GaN HEMTs in [8]. In Section III, we give a detailed description of the proposed small-signal modeling procedure. The bias-independent elements are firstly determined from -parameter measurements performed on an open structure and “cold” transistor ( V, i.e., passive device). Subsequently, the bias-dependent elements are calculated by “hot” -parameter data ( V, i.e., active device) after deembedding the parasitic effects. In Section IV, we report and discuss the obtained experimental results. A conclusion is presented in Section V.

0018-9480/$20.00 © 2006 IEEE

CRUPI et al.: ACCURATE MULTIBIAS EQUIVALENT-CIRCUIT EXTRACTION FOR GaN HEMTs

Fig. 1. Equivalent circuit of the device-under-test. The intrinsic elements are within the dashed box.

II. DEVICE STRUCTURE AND FABRICATION Here, we briefly describe the device used in this modeling study, which is a 0.2- m gate length and 100- m gatewidth T-shaped HEMT. The passivated AlGaN/GaN heterostructure was grown by metal organic chemical vapor deposition (MOCVD) on sapphire substrate. The epitaxial layer consists of a 2.7 m unintentionally doped (UID) GaN buffer layer, a 1-nm AlN spacer layer, a 22-nm UID Al Ga N barrier layer, and a 2.3-nm Si N on top. The Al mole fraction as determined by X-ray diffraction is 30%. The Si N is deposited at high temperature by MOCVD as a final step of the epiwafer growth: it is intended to passivate and protect the surface during processing, but does not act as an insulator [9]. The sheet resistance is 300 /sq. Device fabrication [10] started with mesa isolation using Cl plasma in an inductively coupled-plasma (ICP) system. Mesa heights were 200 nm. The ohmic contacts were then formed by rapid thermal annealing of sputtered Ti/Al/Mo/Au at 800 C for 60 s in N ambient. Subsequent to that, Ni/Au metals were evaporated for gate metallization. The next processing step was the deposition of the Si N passivation layer. Finally, a field plate electrode Ni/Au was formed by evaporating a second gate on top of the passivation layer. III. EXTRACTION PROCEDURE In Fig. 1, we report the equivalent-circuit topology that we adopted for modeling our HEMT. The equivalent circuit is commonly divided into an intrinsic section , whose elements are bias dependent, and an extrinsic section , whose elements are assumed to be bias independent. The determination of the circuit model from a set of -parameters is an ill-conditioned problem, as there are only eight equations consisting of the real and imaginary parts of the four -parameters defined as a function of the ECPs. These are 20 in our case. Many procedures based on “cold” -parameter measurements have been proposed to resolve this problem [4], [5], [11]–[23]. The zero drain–source voltage bias condition is characterized by a 2DEG equivalent temperature, representing the electron average kinetic energy, which is cold

3617

Fig. 2. Lumped element equivalent circuit of a “cold” GaN HEMT.

with respect to the typical operating condition. Such bias condition considerably simplifies the intrinsic circuit. The chosen can contribute to a further simplification and to value of an easier analysis of the circuit. In the absence of an external drain–source electric field, no carriers drift in the channel. As a consequence, the voltage-controlled current source can be and eliminated. Hence, there are two equations two unknowns less. In addition to this, if the asymmetries of the structure of the intrinsic device are small enough, the gate–source and gate–drain intrinsic circuits of the “cold” transistor can be assumed equal. Basically, we determine the extrinsic elements from the adparameters of an additional open structure and mittance the -parameter measurements performed on the “cold” device. Afterwards we calculate the intrinsic elements from the “hot” -parameter measurements. and The first step is to obtain from and of an open dummy structure. These two admittance parameters and represent the coupling via the metal interconnections and the substrate, respectively, between gate source and drain source pads [24]. We added the input and output RC parallel and to take into account the networks in series with and , which we observed to be not negreal parts of ligible. Similar types of RC networks were already introduced for both AlGaN/GaN and AlGaAs/GaAs HEMTs on a silicon substrate [23], [25]-[27]. The physical origin of these parasitic networks was correlated to the relatively high electrical conductivity of the silicon substrate. In the current case, the substrate is sapphire and we attribute the origin of these RC networks to a parasitic conduction within the GaN buffer layer because the electrical conductivity of the sapphire is poor. Since there are only four equations representing the real and imaginary parts and defined in terms of the six parasitic elements, of we determine these six ECPs with an optimization approach by using starting values estimated from the analysis of the physical structure and the layout of the device. The second step is to determine the parasitic inductances and resistances from forward “cold” -parameter measurements. In Fig. 2, we report a new and simple T-network composed by lumped elements for representing the GaN HEMT under “cold” condition. The intrinsic circuit is constituted by an RC parallel network modeling the Schottky gate junction and two

3618

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

identical RC parallel networks modeling the active channel. This circuit allows us to straightforwardly determine the (impedance) -parameters as follows:

(1)

(2)

(3) This circuit model is a simplification of the distributed intrinsic network proposed in [11], where the infinitesimal channel capacitance was introduced to explain the frequency dependence of the -parameters of a weakly pinched-off “cold” GaAs HEMT. As a matter of fact, we use the assumption that and , which are constant factors taking into account the distributed intrinsic RC network under the gate, are equal to 0.5. However, the extracted values of the six parasitic elements are independent with respect to the values of and by using our procedure. We could not use the pinchoff condition [11] since the extraction of the extrinsic resistances of the GaN HEMT was difficult due to the unavoidable measurement uncertainty, as also reported in [5]. We choose a forward bias condition for determining the parasitic ECPs, as under this bias condition the influence of the intrinsic RC parallel networks becomes less. By applying higher voltage to the gate, the increases of the gate current and the 2DEG carrier density lead, respectively, to a reduction of and , which can be defined as follows:

the forward “cold” model. Already it was experimentally observed that it is necessary to use a strong “forward” condition for a “cold” GaN HEMT in order to obtain a pure inductive behavior of since the AlGaN/GaN heterostructure has a conduction band discontinuity much larger in comparison to the traditional GaAs HEMT [6]. In our case, an even higher gate voltage is required for reaching a strong forward condition as the passivation layer leads to a shift of the threshold voltage. Moreover, we believe that a higher gate voltage could also lead to a pure inductive behavior of . As a matter of fact, for obtaining a pure inductive behavior of , it is sufficient that the values of and/or increase (i.e., by increasing the applied gate voltage or the gatewidth) enough to neglect the effect of the channel RC parallel network on the behavior of the output impedance. However, in order to avoid device degradation due to an excessive gate current, we biased our GaN HEMT with a gate voltage not higher than 2 V and we used the model illustrated in Fig. 2, which takes into account the capacitive effects. At frequencies high enough to neglect the intrinsic resistances and with respect to the impedances associated to the intrinsic capacitances and , (1)–(3) can be simplified as follows:

(6) (7) (8)

(4)

Therefore, the parasitic resistances can be calculated by averaging the real parts of -parameters over frequency and the parasitic inductances can be evaluated from the slopes of straight lines interpolating the experimental data of the imaginary parts of the -parameters multiplied by the angular frequency versus . We define these interpolating lines by means of a least square regression. Finally, the intrinsic ECPs are analytically obtained from the “hot” -parameter measurements by using the equations reported in [28]. The frequency range over which the intrinsic elements are averaged is chosen in order to minimize dispersion effects.

(5)

IV. EXPERIMENTAL RESULTS AND DISCUSSION

where is the gate diode ideality factor, is the Boltzmann constant, is the device temperature in Kelvin, is the electron charge, is the gate current, and represent gate length and gatewidth of the transistor, is the carrier mobility, is the threshold voltage, and is the surface carrier density. In general, the gate and channel resistances decrease so much at a higher gate voltage that the contribution of the intrinsic capacitances under “forward” condition can be neglected [4], [11], [20]–[22]. In case of the studied AlGaN/GaN HEMTs, we observed capacitive contributions on the behavior of both and at V and V, which indicate that the effects of both gate and channel capacitances have to be considered in

fF, First, we determine the values of fF, fF, , and fF from the -parameters of an open dummy structure. We then remove their effects from all measurement data of the transistor. As can be clearly observed in Fig. 3, capacitive contributions have a great influence on the behavior of the deembedded and of the forward “cold” ( V, V) HEMT. The parameter starts from the open-circuit condition and exhibits pure capacitive behavior as the gate current ( A) is not high enough to neglect the gate capacitance with respect to the gate resistance. The parameter origins from a point on the real axis of the Smith chart strongly linked to the extrinsic dc output resistance. By increasing the frequency,

CRUPI et al.: ACCURATE MULTIBIAS EQUIVALENT-CIRCUIT EXTRACTION FOR GaN HEMTs

Fig. 3. Frequency behavior of S (thick line) and S (thin line) for a “cold” GaN HEMT at V = 2 V deembedded from C ;R ;C ;C ;R ;C effects.

3619

Fig. 5. Experimental data of Re(Z ) and Im(Z ) as function of fre= 2 V deembedded from quency for a “cold” GaN HEMT at V C ;R ;C ;C ;R ;C effects.

Fig. 4. Frequency behavior of S for a “cold” GaN HEMT under different gate voltage conditions (V = 2 V, V = 0 V, V = 5 V, V = 6 V, V = 9 V) deembedded from C ; R ;C ;C ;R ;C effects. The starting point of S moves towards the short-circuit condition by increasing the gate voltage.

0

0

0

exhibits capacitive behavior due to the fact that the value of the impedance associated to the channel capacitance decreases and, consequently, it cannot be neglected anymore with respect to the channel resistance. At frequencies higher than 25.6 GHz, the imaginary part of assumes positive values, indicating the impedances associated to the parasitic inductances are increased enough to have a dominant effect on the imaginary part of the output impedance. In Fig. 4, we report the frequency dependence of under a “cold” condition at different gate voltages. When the gate voltage is increased, the starting point of moves on the real axis towards the short condition as the channel resistance decreases and the capacitive behavior of is reduced as we observed in Section III. The frequency dependence of the relevant -parameters (see Fig. 5) is in good agreement with what was predicted by (1)–(3) and the behavior at low frequencies is clearly caused by capacitive effects. As a matter of fact, the real parts of the -parameters decrease by increasing frequency and become frequency independent at frequencies higher than 20 GHz while the imaginary parts of the -parameters are negative at low frequencies and increase following a quite linear law at higher frequencies. We use only the forward “cold” -parameter data above 20 GHz for the determination of both resistances and inductances in order to minimize the capacitive effects. We obtained pH, pH, and pH.

Fig. 6. Comparison between measured (open circles) and modeled (solid lines) of a GaN HEMT under S -parameters with relevant percentage errors E three different bias conditions. (a) V = 10 V, V = 0 V. (b) V = 7 V, V = 5 V. (c) V = 0 V, V = 7 V.

0

0

The validity of our procedure has been verified by checking the comparison between measured and simulated -parameters of the studied AlGaN/GaN HEMT. The simulated -parameters exhibit a good agreement with the measured ones for all investigated bias conditions. As an example, in Fig. 6(a)–(c) we report the optimum agreement between measured and modeled -parameters under three different bias points, which are: (a) V, V, (b) V, V, and (c) V, V, respectively. The model percentage error , which is evaluated by averaging the percentage errors between measured and modeled -parameters, is equal

3620

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 7. Three-dimensional plots of g ; C ; C ; ; R ; R

versus gate and drain voltages of a GaN HEMT.

Fig. 8. Three-dimensional plots of f versus gate and drain voltages of a GaN HEMT.

to 1.79%, 3.15%, and 2.67% under the three bias conditions of Fig. 6(a)–(c), respectively. We have extracted the equivalent circuits at 275 different bias points V V V and the behavior of the intrinsic elements matches the theoretical physical expectations. For example, in Fig. 7, we report the bias dependence of both main intrinsic elements , which determine the unity current–gain cutoff frequency of the intrinsic device, and the less dominant intrinsic elements , which are generally more difficult to accurately determine. It can be noted that the curve is less smooth near to the pinchoff and at very low drain voltage. Under this bias condition, the transit time delay does not influence the simulation results of the model since the transconductance is approximately zero. The bias dependence of the intrinsic is illustrated in Fig. 8. It results that this figure-of-merit starts to be slightly degraded at high drain voltage, as could be predicted by the plot of . The intrinsic reaches a maximum value of 40.2 GHz at and and then decreases to 37.8 GHz at and . This figure-of-merit is strongly correlated to the intrinsic saturation velocity , which is the average velocity of

the carriers in the active channel when the transistor is biased in , whichcan the saturation region. Consequently, the value of be estimated, in first approximation, as the product between the gate length and , results to be reduced from 0.50 10 m/s to 0.47 10 m/s. This indicates a weak bias dependence of the on at a drain–source voltage larger than the knee voltage. Although in GaN is much less sensitive to thermal mechanisms than in GaAs [29], [30], we should point out that significant degradations of can appear when the heat dissipation increases (higher bias applied, higher temperature, and larger devices) due to the poor thermal conductivity of the sapphire substrate [30], [31]. Moreover, the assumption that is constant with respect to the extrinsic in the saturation region can be less accurate if the parasitic resistances show a bias dependence [32]–[34]. V. CONCLUSION We have reported on the extraction of small-signal models of GaN HEMTs. Under a forward “cold” condition, we have observed that the behavior of and is strongly influenced by capacitive effects. Consequently, both the gate and channel capacitances have to be considered for modeling the forward “cold” device. The accuracy of the extracted equivalent circuit has been verified through the good level of agreement between measured and simulated -parameters under all investigated bias conditions. In addition, the robustness of the proposed procedure has been confirmed by the intrinsic elements bias dependence, which agrees with the theoretical physical expectations. ACKNOWLEDGMENT The research reported in this paper was performed under the context of the Top Amplifier Research Groups in a European Team (TARGET) network.

CRUPI et al.: ACCURATE MULTIBIAS EQUIVALENT-CIRCUIT EXTRACTION FOR GaN HEMTs

REFERENCES [1] T. Mimura, S. Hiyamizu, T. Fujii, and K. Nanbu, “A new field-effect transistor with selectively doped GaAs/n-Al Ga as heterojunctions,” Japan J. Appl. Phys., vol. 19, no. 5, pp. L225–L227, 1980. [2] D. Delagebeaudeuf, P. Delescluse, P. Etienne, M. Laviron, J. Chaplart, and N. T. Linh, “Two-dimensional electron gas MESFET structure,” Electron. Lett., vol. 16, no. 17, pp. 667–668, Aug. 1980. [3] A. Orzati, D. Schreurs, L. Pergola, H. Benedickter, F. Robin, O. Homan, and W. Bächtold, “A 110-GHz large-signal lookup-table model for InP HEMTs including impact ionization effects,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 468–474, Feb. 2003. [4] G. Dambrine, A. Cappy, F. Heliodore, and E. Playez, “A new method for determining the FET small-signal equivalent circuit,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 7, pp. 1151–1159, Jul. 1988. [5] A. Jarndal and G. Kompa, “A new small signal modeling approach applied to GaN devices,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3440–3448, Nov. 2005. [6] E. Chigaeva, W. Walthes, D. Wiegner, M. Grozing, F. Schaich, and N. Wieser, “Determination of small signal parameters of GaN based HEMTs,” in Proc. IEEE/Cornell High-Performance Devices Conf., Aug. 2000, pp. 115–122. [7] J. Burm, W. J. Schaff, L. F. Eastman, H. Amano, and I. Akasaki, “An improved small signal equivalent circuit model for III–V nitride MODFET’s with large contact resistances,” IEEE Trans. Electron Devices, vol. 44, no. 5, pp. 906–907, May 1997. [8] D. Xiao, D. Schreurs, W. De Raedt, J. Derluyn, K. Vaesen, and W. Ruythooren, “Small-signal model of GaN HEMTs including surface charge effects,” in Integrated Non-Linear Microwave and MillimetreWave Circuits Workshop, Rome, Italy, Nov. 2004, pp. 117–120. [9] J. Derluyn, S. Boeykens, K. Cheng, R. Vandersmissen, J. Das, and W. Ruythooren, “Improvement of AlGaN/GaN high electron mobility transistor structures by in situ deposition of a Si N surface layer,” J. Appl. Phys., p. 6, 2005. [10] R. Vandersmissen, W. Ruythooren, J. Das, M. Germain, D. Xiao, and D. Schreurs, “Transfer from MHEMT to GaN HEMT technology: Devices and integration,” in Int. Compound Semicond. Manuf. Technol. Conf., New Orleans, LA, Apr. 2005, pp. 237–240. [11] M. Y. Jeon, B. G. Kim, Y. J. Jeon, and Y. H. Jeong, “A technique for extracting small-signal equivalent-circuit elements of HEMTs,” IEICE Trans. Electron., vol. E82-C, no. 11, pp. 1968–1976, Nov. 1999. [12] A. Caddemi, G. Crupi, and N. Donato, “Microwave characterization and modeling of packaged HEMTs by a direct extraction procedure down to 30 K,” IEEE Trans. Instrum. Meas., vol. 55, no. 2, pp. 465–470, Apr. 2006. [13] A. Caddemi, G. Crupi, and N. Donato, “A robust and fast procedure for the determination of the small signal equivalent circuit of HEMTs,” Microelectron. J., vol. 35, pp. 431–436, May 2004. [14] A. Caddemi, N. Donato, and G. Crupi, “A robust approach for the direct extraction of HEMT circuit elements versus bias and temperature,” in IEEE Int. Telecommun. Modern Satellite, Cable, Broadcast. Syst. Conf., Nis, Yugoslavia, Oct. 2003, pp. 557–560. [15] A. Caddemi, G. Crupi, and N. Donato, “Temperature effects on DC and small signal RF performance of AlGaAs/GaAs HEMT’s,” Microelectron. Reliab., vol. 46, pp. 169–173, Jan. 2006. [16] S. Yanagawa, H. Ishihara, and M. Ohtomo, “Analytical method for determining equivalent circuit parameters of GaAs FET’s,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 10, pp. 1637–1641, Oct. 1996. [17] R. Tayrani, J. E. Gerber, T. Daniel, R. S. Pengelly, and U. L. Rhode, “A new and reliable direct parasitic extraction method for MESFETs and HEMTs,” in Proc. 23rd Eur. Microw. Conf., Madrid, Spain, 1993, pp. 451–453. [18] A. Miras and E. Legros, “Very high-frequency small-signal equivalent circuit for short gate length InP HEMT’s,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 7, pp. 1018–1026, Jul. 1997. [19] N. Rorsman, M. Garcia, C. Karlsson, and H. Zirath, “Accurate small signal modeling of HFET’s for millimeter-wave applications,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 3, pp. 432–437, Mar. 1996. [20] H. O. Vickes, “Determination of intrinsic FET parameters using circuit partitioning approach,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 363–366, Feb. 1991. [21] M. Berroth and R. Bosch, “High frequency equivalent circuit of GaAs FET’s for large-signal applications,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 2, pp. 224–229, Feb. 1991. [22] S.-W. Chen, O. Aina, W. Li, L. Phelps, and T. Lee, “An accurately scaled small signal model for interdigitated power P-HEMT up to 50 GHz,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 700–703, May 1997.

3621

[23] P. M. Cabral, J. C. Pedro, and N. B. Carvalho, “Nonlinear device model of microwave power GaN HEMTs for high power-amplifier design,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2585–2592, Nov. 2004. [24] E. P. Vandamme, D. Schreurs, and C. van Dinther, “Improved three-step de-embedding method to accurately account for the influence of pad parasitics in silicon on-wafer RF test-structures,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 737–742, Apr. 2001. [25] E. Chumbes, A. T. Schremer, J. A. Smart, Y. Wang, N. C. MacDonald, and D. Hogue, “AlGaN/GaN high electron mobility transistors on Si(111) substrates,” IEEE Trans. Electron Devices, vol. 48, no. 3, pp. 420–426, Mar. 2001. [26] M. Goto, Y. Ohta, T. Aigo, and A. Moritani, “A small-signal linear equivalent circuit of HEMTs fabricated on GaAs-on-Si wafers,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 668–673, May 1996. [27] S. Manohar, A. Narayanan, A. Keerti, A. Pham, J. Brown, and R. Borges, “Characteristics of microwave power GaN HEMT’s on 4-inch Si wafers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 449–452. [28] Y. A. Khalaf, “Systematic optimization technique for MESFET modeling,” Ph.D. dissertation, Dept. Elect. Eng., Virginia Polytech. Inst. and State Univ., Blacksburg, VA, 2000. [29] M. A. Khan, Q. Chen, M. S. Shur, B. T. Dermott, J. A. Higgins, and J. Burm, “GaN based heterostructure for high power devices,” Solid State Electron., vol. 41, no. 10, pp. 1555–1559, 1997. [30] S. Nuttinck, B. K. Wagner, B. Banerjee, S. Venkataraman, E. Gebara, and J. Laskar, “Thermal analysis of AlGaN/GaN power HFETs,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2245–2252, Dec. 2003. [31] J. Kuzmik, P. Javorka, A. Alam, M. Marso, M. Heuken, and P. Kordos, “Determination of channel temperature in AlGaN/GaN HEMTs grown on sapphire and silicon substrates using DC characterization method,” IEEE Trans. Electron Devices, vol. 49, no. 8, pp. 1496–1498, Aug. 2002. [32] C. H. Oxley, “Method for measuring source resistance Rs in saturation region of GaN HEMT device over bias conditions (V gs; V ds),” Electron. Lett., vol. 40, no. 5, pp. 334–346, Mar. 2004. [33] R. J. Trew, “AlGaN/GaN HFET amplifier performance and limitations,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2002, pp. 1811–1814. [34] C. H. Oxley and M. J. Uren, “Measurements of unity gain cutoff frequency and saturation velocity of a GaN HEMT transistor,” IEEE Trans. Electron Devices, vol. 52, no. 2, pp. 165–169, Feb. 2005.

Giovanni Crupi was born in Lamezia Terme, Italy, in 1978. He received the degree in electronic engineering (cum laude) from the University of Messina, Messina, Italy, in 2003, and is currently working toward the Ph.D. degree at the University of Messina. He is currently a Visiting Scientist with the Katholieke Universiteit (K.U.) Leuven and with the Interuniversity Microelectronics Center (IMEC), Leuven, Belgium, where he is involved with smalland large-signal modeling of GaN HEMTs and fin field-effect transistors (finFETs).

Dongping Xiao was born in Jiangxi, China, in 1978. She received the B.S. degree in physics from Beijing Normal University, Beijing, China, in 2000, the M. S. degree in electrical engineering from the Microelectronics Research and Development Center, Chinese Academy of Sciences, Beijing, China, in 2003, and is currently working toward the Ph.D. degree in electrical engineering at the Katholieke Universiteit (K.U.) Leuven, Belgium. Her main research interests are in GaN-based power device characterization and large- and small-signal modeling, as well as thermal analysis.

3622

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Dominique M. M.-P. Schreurs (S’90–M’97– SM’02) received the M.Sc. degree in electronic engineering and Ph.D. degree from the Katholieke Universiteit (K.U.) Leuven, Belgium. She is currently a Post-Doctoral Fellow of the Fund for Scientific Research-Flanders, and a Part-Time Associate Professor with K.U. Leuven. She has been a Visiting Scientist with Agilent Technologies, Eidgenössische Technische Hochschule Zürich (ETH Zürich), and the National Institute of Standards and Technology (NIST). Her main research interests concern the (non-)linear characterization and modeling of active microwave devices, and (non-)linear hybrid and integrated circuit design. Dr. Schreurs is chair of the IEEE MTT-11 Technical Committee on Microwave Measurements. She is a Steering Committee member of the Network of Excellence TARGET and serves as workshop chair on the Executive Committee of the ARFTG organization.

Ernesto Limiti (S’87–M’93) was born in Rome, Italy, in 1965. He received the Electronic Engineering degree from the University of Roma “Tor Vergata,” Rome, Italy, in 1989. In 1991, he became a Research and Teaching Assistant with the University of Roma “Tor Vergata,” where since 1998 he has been a Professor of electronic instrumentation and measurements. He is currently a Full Professor of electronics. Has authored or coauthored over 180 papers in refereed international journals and conferences. He serves as a reviewer for numerous scientific journals and international conferences. His main scientific interests are in the field of design methodologies for nonlinear microwave circuits, nonlinear analysis methods, and noise characterization and modeling of microwave active devices for high-performance applications and extreme operating conditions. Prof. Limiti has organized and chaired the 2004 INMMiC International Workshop.

Alina Caddemi received the Electronic Engineering degree (with honors) and Ph.D. degree from the University of Palermo, Palermo, Italy, in 1982 and 1987, respectively. In 1984, she was a Visiting Researcher with the Electrical Engineering Department, University of Utah. In 1985, she was a Visiting Researcher with the Electrical and Computer Engineering Department, University of Colorado at Boulder. From 1990 to 1998, she was an Assistant Professor with the Department of Electrical Engineering, University of Palermo. In 1998 she joined the University of Messina, Messina, Italy, where she is currently an Associate Professor of electronics. Her current research interests are in the field of temperature-dependent linear and noise characterization techniques for solid-state devices, cryogenic measurements and modeling of field-effect transistors (FETs) and HEMTs, noise modeling of bipolar and FETs for hybrid microwave integrated circuit (HMIC) and monolithic microwave integrated circuit (MMIC) design, neural network and genetic algorithm modeling of devices, design and realization of hybrid low-noise circuits based on either conventional and superconductive materials, and characterization and modeling of thin-film sensors.

Walter De Raedt received the M.S. degree in electrical engineering from the Katholieke Universiteit Leuven (K.U. Leuven), Leuven, Belgium, in 1981. Until 1984, he was a Research Assistant involved with electron beam lithography at the Electronics, Systems, Automation, and Technology (ESAT) Laboratory, K.U. Leuven. In 1984, he joined the Interuniversity Microelectronics Centre (IMEC) at its startup and, until 1997, he was a Project Leader in charge of research on submicrometer technologies for advanced HEMT devices. In 1987, he was a Visiting Scientist with the IBM Rüschlikon, where he was involved with fast III–V circuits. In 1997, he became Head of the Design, Modelling, and Characterisation Group, where he was involved with analog and microwave packaging and interconnect technologies. He has coauthored over 100 papers in the area of microwave devices and circuits. He holds several patents in the field of microwave packaging and antennas. His current main interest is heterogeneous RF systems in a package integration techniques for telecommunications systems. Dr. De Raedt was the recipient (along with his team) of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Prize for their work on the modeling of microwave passives.

Marianne Germain received the M.Sc. and Ph.D. degrees in electrical engineering from the University of Liege (ULg), Liege, Liege, Belgium, in 1993 and 1999, respectively. Until 2001, she was a Research Assistant with the Physical Department, ULg, where she was involved in electrical and optical characterization of compound semiconductor heterostructures in collaboration with RWTH Aachen. She was an Invited Visiting Scientist with both Purdue University and Wuerzburg University. In 2001, she joined the Interuniversity Microelectronics Centre (IMEC), Leuven, Belgium, where she took part in the development of gallium–nitride technology for high-power/ high-frequency applications. Since 2004, she has been the Program Director of IMEC’s “Efficient Power” program, which aims at developing high-efficiency solutions for power applications beyond the Si limits enabled by wide bandgap technology. Her current main research interests are in the field of III-nitride devices for telecommunication and power conversion systems. She has authored or coauthored over 60 international journal papers and conference communications. She co-holds several patents in the field of GaN material and devices.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3623

An Efficient Method of Eliminating the Range Ambiguity for a Low-Cost FMCW Radar Using VCO Tuning Characteristics Jung Dong Park and Wan Joo Kim

Abstract—This paper describes a simple method of eliminating the range ambiguity and the design approach for low-cost and small-size homodyne frequency-modulated continuous-wave (FMCW) radars. The range measurement accuracy could be efficiently improved by proper time gating of the beat signal without any closed-loop linearity compensation circuitry for typical varactor-tuned voltage-controlled oscillators (VCOs). The presented selectively gated fast Fourier transformation method is immune to -band FMCW radar using the frequency shift of the VCOs. A a raw varactor-tuned VCO having the percent linearity of 7.16% was implemented, and achieved less than 2% ranging error of the target lying at 200 m. Owing to the simple structure and fast processing speed, this method is applicable to the various low-cost radar sensors for ranging and detection. Index Terms—Frequency-modulated continuous wave (FMCW), linearity, radar, range resolution.

I. INTRODUCTION OMPACT frequency-modulated continuous-wave (FMCW) radar have been developed for various applications such as active sensors of the proximity fuzing system, the anticollision radar of the vehicles, and various industrial sensors [1]–[3]. It uses the frequency difference between transmitted and reflected waves. Frequency of the transmitter signal varies linearly according to the modulation signals. The echo signal has the time delay due to the round-trip propagation of the transmitter signal. By mixing the transmitted and reflected signal, the small time difference is replaced by the frequency difference of two signals. As the signal transmission and reception are simultaneous, it is very difficult to achieve sufficient isolation between the transmitter and receiver. The leakages of the transmitted signal desensitize the receiver, and the beat signals of the valid targets can be swamped with the leakages of the transmitted signal. The transmitter leakages cause the IF noise that resembles the modulation signal used for sweeping the voltage-controlled oscillator (VCO) [4]. Therefore, wide sweep bandwidth of the transmitted signal is essential to separate the beat signals from the transmitter leakages. Although the range resolution of the ideal FMCW radar is proportional to the VCO’s sweep bandwidth [5], [6], the range

C

Manuscript received November 17, 2005; revised May 23, 2006. The authors are with the Agency for Defense Development, Daejeon 305-600, Korea (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882869

resolution of the real FMCW radar becomes degraded when the sweep bandwidth is increased without any linearity correction of the VCO. The frequency sweep of the source becomes nonlinear due to changes of load impedance and nonlinear active components of the VCO. Therefore, FMCW radar requires the VCO sweep linearizer to compensate the degradation of the range resolution [7], [8]. The closed-loop compensation method for the nonlinear VCO provides high range resolution [9], but requires complex configuration, high cost, and longer processing time than that of the open-loop compensation. On the contrary, the open-loop method can be implemented with relatively low-cost and fast linearization speed [10]; however, it is very weak to the frequency shift of the signal source caused by the ambient temperature variation. In this paper, an efficient method of eliminating the range ambiguity is described for a linear FMCW radar using selectively gated fast Fourier transformation (FFT) of the beat signal in detail. We discuss a design approach of the homodyne FMCW radar using a typical varactor-tuned VCO focused on the nonlinearity effects in Section II. Section III discusses simulation results of the designed FMCW radar for a specific VCO. The fabrication and measurement results of the FMCW radar prototype sensor for fusing systems are presented in Section IV, and are then followed by a summary in Section V. II. DESIGN CONSIDERATION OF HOMODYNE FMCW RADAR A. VCO Sweep Bandwidth Requirement When a triangular wave is used as the modulation signal, the beat signals due to the transmitter leakages generated within the sweep interval are vertically symmetrical at . Due to the sweep repetition, the spectrum of the IF noise resembles that of the VCO modulation waveform, except the low-frequency elements in the vicinity of dc. The harmonics of the IF noise due to the transmitter leakages can be analyzed by the triangular wave having the same amplitude of the measured IF noise. Therefore, the power spectral density of the IF noise can be modeled as follows [4]:

(1)

is amplitude of the IF noise, is the Dirac’s where delta function, is the system impedance, and is the modulation frequency of the triangular wave.

0018-9480/$20.00 © 2006 IEEE

3624

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

From (1), the IF noise is a function of and the transmitter leakage power, and most of the energy of the IF noise is confined to low-frequency elements, as is proportional to . Therefore, the sweep bandwidth of the VCO has to be wide enough to separate the beat signals from the harmonics. In the millimeter-wave band of a miniaturized transceiver, this way of eliminating transmitter leakage is more suitable than physical isolation of the transmitter leakage from the receiver. For the linear FMCW radar with the IF noise of , the required sweep bandwidth of the VCO can be given by (2) where m/s, is the minimum required beat frequency to overcome the IF noise by the is the minimum detection range of transmitter leakage, the FMCW radar, and is the noise floor of the sensor in the IF passband. B. Characteristics of the Varactor-Tuned VCO The varactor-tuned method is generally served as a voltagevariable oscillator in a tuned circuit to control the frequency of the oscillator because of the extremely fast tuning speed. According to a varactor model, the relationship between voltage and frequency is given by [11]

Fig. 1. Frequency tuning characteristics of the varactor-tuned VCO.

where

, , is the number of the sampled data, is the th applied voltage, and is the th output frequency of the VCO. When a triangular wave is used as the modulation signal, the linear frequency change due to the applied voltage can be mapped into time domain as follows:

(6) (3) of the first term are constants, which denote where and tuning characteristics of the abrupt varactor, the second term denotes characteristics of frequency pulling by load, and is the input voltage of the VCO. Therefore, the nonlinearity of the VCO increases with the increase of the VCO sweep bandwidth. Frequency nonlinearity error of the VCO with input voltage can be expressed as follows: (4) is the nonlinear output frequency of the VCO where in use and is the linear output frequency of the ideal VCO. Since the VCO linearity is degraded with broad sweep bandwidth, the beat frequency spectrum without nonlinearity compensation is widely smeared due to . From the measured output frequency data, a model of the output frequency is extracted with curve fitting. A Boltzmann function is used to model a sigmoidal curve caused by the tuning characteristics of the varactor-tuned VCO. Fig. 1 presents the modeled tuning characteristics of the VCO.

where and are the amplitude and modulation period of the triangular wave, respectively. From (4), the output frequency of the transceiver in time domain can be expressed as follows: (7) is the ideal output frequency and is the frequency nonlinearity error of the output signal. The echo signal has the time delay given by

where

(8) The linearity of the varactor-tuned VCO is a variable depending upon the tuning voltage and sweep bandwidth because the varactor-tuned VCO has nonlinear tuning characteristics. In order to evaluate the sweep linearity of the transmitter signal within observation time of , the concept of linearity can be applied in two ways. The effective maximum instantaneous linearity and the effective mean square root linearity can be defined as follows:

C. Analysis of the VCO Nonlinearity The linear output frequency of the ideal VCO can be expressed with the linear regression method as follows: (5)

(9)

PARK AND KIM: EFFICIENT METHOD OF ELIMINATING RANGE AMBIGUITY FOR LOW-COST FMCW RADAR USING VCO TUNING CHARACTERISTICS

where

3625

is an arbitrary interval within full sweep time and is the full sweep bandwidth of the

VCO. By sampling beat signals within a short time interval having the best frequency tuning characteristics, we can sweep the output frequency of the VCO widely enough to separate the beat signals from the leakages of the transmitter signal without range resolution degradation due to the nonlinearity of the VCO. When the transmitted and reflected signals are mixed, the beat frequency of the transceiver can be written by [12]

(10) denotes a linear mapping constant, which converts where the range to the beat frequency, is the range of the target, and is beat frequency ambiguity caused by .

Fig. 2. Functional block diagram of the FMCW radar sensor using the selectively gated FFT method.

The variance of the beat frequency error expressed as

within

is

D. Range Resolution Estimation (14)

When the observation time of the Fourier transformation is , the processed beat signal can be expressed as follows:

where is the center of the selective sampling interval . Using the mapping constant from (10), the range resolution can be given by (11) is the rectangular function, which denotes the where time gating of . Frequency-domain expression of the processed signal can be expressed as follows:

(12) is an ideal where denotes the convolution symbol, beat signal component, is the Fourier transformation of , and is beat frequency ambiguity component due to the nonlinearity of the VCO. When a signal is expressed as the convolution of two components, the frequency bandwidth of the signal spectrum can be estimated by the square root of the sum of the squares (RSS) of the spectral widths of the two components [6]. Since the processed beat spectrum is the convolution of the ideal beat signal spectrum having the effective bandwidth of and the frequency ambiguity component, the frequency resolution of the beat signal with an observation time of is given by [12]

(13)

(15) When the maximum detection range for the modeled VCO is given, we can choose the optimum observation time and of the FFT that minimize of the . E. Selectively Gated FFT Method A single antenna configuration can be a good practice to develop low-cost and small-size active sensors. From (2), should be wide enough to overcome the effect of the transmitter leakages. The nonlinearity of the VCO increases with the increase of the VCO sweep bandwidth, which degrades the range resolution. We show that range resolution can be dramatically improved without any sweep linearizer through the selective sampling of the beat signals within a short time interval having the best tuning characteristics of the source. Fig. 2 shows a schematic diagram of the FMCW radar with the proposed nonlinearity correction mechanism. The time-domain beat signals are digitized with an analog-to-digital (A/D) converter. Range information of the target is extracted using the discrete Fourier transformation (DFT) of the beat signals by a digital signal processor (DSP). With this simple method of range correction, typically a single DSP would be enough to process many other jobs such

3626

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 3. Schematic diagram of the signal flows in the selectively gated FFT method.

as target detection and discrimination during the rest of the selective gating of the beat signals. Fig. 3 illustrates how to perform the selectively gated FFT of the beat signal. As shown in Fig. 3(a), the modulation signal is controlled by the DSP through a very short rectangular pulse. When the short rectangular pulse triggers the triangular signal generator, a rectangular pulse with the period of is generated as shown in Fig. 3(b) and integrated, which creates the triangular wave with the period of . The generated modulation signal sweeps the VCO, and the transmitted and received signal is presented in Fig. 3(c). By finding minimum from (14), the starting points of the selective time gating of the beat signals can be predetermined to be and for the up and down chirp, respectively. The DSP counts clock numbers to select the sampling position of the input beat signals accurately, as shown in Fig. 3(d).

Fig. 4. Beat frequency error: (a) during the full sweep time and (b) during the optimum observation time for a target located 200 m away.

III. SIMULATION A. Optimization of the Selective Time Gating Simulations were performed using the extracted empirical model of the varactor-tuned VCO shown in Fig. 1. The frequency sensitivity is approximately 77 MHz/V, and is set to be 770 MHz to eliminate the transmitter leakage effects. of the VCO is 7.16 10 . The sampling rate of the FFT is 30 Ms/s. Fig. 4 shows the calculated beat frequency errors for ms and . The minimum beat frequency error occurs at s, when the calculated is used as a reference for the range measurement. The beat frequency error was distinctively reduced through the optimum gating time of the beat signal. The optimum observation time can be easily extracted from (13). Fig. 5 shows the calculated range resolution of the FMCW radar sensor depending on . From (13), becomes small as is getting narrower as the frequency sweep of the VCO varies monotonically; however, when becomes

Fig. 5. Calculated range resolution of the FMCW radar sensor depending on the observation time for a target located 200 m away.

much narrower, the range resolution is limited by the DFT resolution . The simulation shows that the range resolution of the FMCW radar using this VCO is approximately 45 m for m without any nonlinearity corrections; however, the optimized for the same FMCW radar is 4.28 m with s, which is an approximate ten times improvement of the original range resolution without any linearizer. When the selective sampling of the beat signal is applied, of the VCO becomes 2.83 10 . Fig. 6 shows the beat signal spectra with and without nonlinearity correction including a Bartlett window for a target located at 200 m. The sidelobe amplitude due to the VCO nonlinearity degrades the

PARK AND KIM: EFFICIENT METHOD OF ELIMINATING RANGE AMBIGUITY FOR LOW-COST FMCW RADAR USING VCO TUNING CHARACTERISTICS

3627

Fig. 8. Calculated range ambiguity and optimum observation time depending on the maximum ranging distance.

Fig. 6. Simulated beat signal spectrum: (a) without nonlinearity correction and (b) with nonlinearity correction for a target located 200 m away.

ambiguity using this method, the percentage of linearity of the source should be less than 1%. C. Maximum Ranging Distance Versus Range Ambiguity

Fig. 7. Simulated range ambiguity of a target located 200 m away depending on the linearity of the varactor-tuned VCOs.

range resolution. After selective sampling of the beat signal, the sidelobe is dramatically decreased due to the reduction of . The selectively sampled beat signal is mapped into the range by a predetermined linear mapping constant, i.e., Hz/m for this case.

Since the proposed method uses a fixed gating time for a good linear sweep region, the range ambiguity within the detection range is limited by . The minimum range ambiguity is given by . For a given VCO with linearity of , the effect of the nonlinearity is increased with because the correlation between transmitter and echo frequency decreases with distance. Fig. 8 shows the calculated range ambiguity depending on the maximum ranging distance . For a given VCO with linearity of , the effect of the nonlinearity is increased with , which results in narrower optimum observation time . can be further improved with depending on the range of each target using a multiple ranging process. When a number of echoes are detected as the target, times of ranging are sequentially performed from to for the targets detected from the farthest distance to the nearest distance. Initial ranging of the targets is performed with for a predetermined , and an approximate range of the th target is estimated from , which is used for calculating . At the ranging process, the th target at the nearest range is extracted. Finally, the range information of the detected targets is displayed on the screen with improved range resolution. D. Effects of the Frequency Shift of the VCO

B. VCO Linearity Requirement In order to examine the VCO linearity requirement for a specific range ambiguity, we characterized five varactor-tuned VCOs having different sweep characteristics. The nonlinearity of the VCOs tends to increase with the sweep bandwidth. Fig. 7 presents the simulated range ambiguity of a target located at 200 m depending on the linearity of the VCOs. Although is different depending on the nonlinearity of each VCO, the range ambiguity is proportional to the percentage of linearity of the VCO. In order to achieve less than 1% range

The change of the ambient temperature will cause the frequency shift of the VCO. As the range information of the target is calculated based on the predefined time gating of the beat signal, the effect of the frequency shift on the accuracy of the range measurement was examined. Fig. 9 presents the calculated percentage of error of the ranging accuracy. In order to achieve the percentage of error of the ranging accuracy less than 1%, the frequency shift should be kept less than 100 MHz. The frequency stability of the characterized VCO is 6 MHz C. Therefore, the maximum available thermal variation of the source is

3628

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 9. Effects of the frequency shift of the VCO on the ranging error.

approximately 16 C. The allowed tolerance of the thermal variation could be easily achieved using a commercially available low-power heater. The ranging error caused by the frequency shift is then within the calculated range resolution. IV. FABRICATION AND MEASUREMENT OF THE FMCW RADAR PROTOTYPE SENSOR The proposed selectively gated FFT method was applied to a -band FMCW radar prototype for fuzing applications. Fig. 10(a) shows the block diagram of the implemented FMCW radar. A single Cassegrain antenna with 40-dBi gain was used to simplify the structure. The fabricated -band transceiver transmitted more than 10 dBm. The photograph of the -band FMCW radar sensor is shown in Fig. 10(b). In order to eliminate the effect of the IF noise caused by the transmitter leakages of the single antenna configuration, the delay time of the LO signal was matched to the measured delay time of the leakage path of the maximum antenna reflection by inserting an extra waveguide section. Fig. 11 is the transmitter leakages measured at the position of in Fig. 10(a). A single-balanced diode mixer having the conversion loss less than 10 dB at a low LO power of 6 dBm was used as a down converter of the front-end. The IF circuitry was implemented with a first stage highpass filter (HPF), an IF low-noise amplifier (LNA), and bandpass filter (BPF). From (1) and (2), the cutoff frequency of the HPF was determined to be 200 kHz. In order to achieve better sensing performances for targets in severe Weibull and log normal clutter, a logarithmic envelope detector was used [13]. The logarithmic envelope detector converts the received power into the proportional voltage in logarithmic scale. The automatic gain control circuitry parallel with the detector is required to compensate the dynamic range of the radar signal that is greater than 8 bit. The processed IF signal is digitized with an 8-bit A/D converter. Range information of the target is extracted using the selectively gated FFT of the beat signals by the DSP. Target detection and discrimination are performed during the rest of the selective gating of the beat signals.

Fig. 10. (a) Function block diagram and (b) photograph of the radar using the proposed selectively gated FFT method.

W -band FMCW

Fig. 11. Transmitter leakages measured between transmitter and receiver ports.

Beat signal spectrum of a target 200 m away was measured. The top and bottom signals of Fig. 12 are the measured spectra of the target with and , respectively. The varactor-tuned VCO characterized above was used as a signal source. The optimum time gating interval and position were extracted for the VCO with the sweep bandwidth of 770 MHz. The frequency resolution of the beat signal was distinctively improved. The test results corresponded very well

PARK AND KIM: EFFICIENT METHOD OF ELIMINATING RANGE AMBIGUITY FOR LOW-COST FMCW RADAR USING VCO TUNING CHARACTERISTICS

3629

[3] K. W. Chang, H. Wang, G. Shreve, J. G. Harrision, M. Core, A. Paxton, M. Yu, C. H. Chen, and G. S. Dow, “Forward-looking automotive radar using a -band single-chip transceiver,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1659–1668, Jul. 1995. [4] J. D. Park and W. J. Kim, “An efficient method for decreasing the problems of transmitter leakages on low-cost homodyne FMCW radar with a single-antenna configuration,” Microw. Opt. Technol. Lett., vol. 46, no. 5, pp. 512–515, Sep. 2005. [5] J. A. Scheer and J. L. Kurtz, Coherent Radar Performance Estimation. Norwood, MA: Artech House, 1993, pp. 303–306. [6] S. O. Piper, “Homodyne FMCW radar range resolution effects with sinusoidal nonlinearities in the frequency sweep,” in Proc. IEEE Int. Radar Conf., May 1995, pp. 563–567. [7] “Voltage-controlled oscillators evaluated for system design,” Agilent Technol., Palo Alto, CA, Applicat. Note-M024, 1999. [8] B. K. Kang, H. J. Kwon, B. K. Mheen, H. J. Yoo, and Y. H. Kim, “Nonlinearity compensation circuit for voltage-controlled oscillator operating in linear frequency sweep mode,” IEEE Microw. Guided Wave Lett., vol. 10, no. 12, pp. 537–539, Dec. 2000. [9] N. G. Hedtke, “Closed loop linearizer for ramp modulated VCO,” U.S. Patent 5 379 001, Jan. 3, 1995. [10] G. R. Weaver, D. H. Theis, B. L. Walsh, and B. M. Smith, “Voltage controlled oscillator with frequency sensitivity control,” U.S. Patent 4736169, Apr. 5, 1988. [11] F. Zhenghe, L. Yang, L. Liwan, P. Weifeng, and C. Yaqin, “Requirement analysis of linearity for FMCW source using open-loop correction,” in Proc. 2nd Int. Microw. Millimeter Wave Tech. Conf., Sep. 2000, pp. 679–682. [12] J. D. Park, W. J. Kim, and C. W. Lee, “A novel method for beat frequency error correction for low cost FMCW radar using VCO sweep characteristics,” in Proc. 2nd Eur. Radar Conf., Oct. 2005, pp. 371–374. [13] D. C. Scheler, “Radar detection in Weibull clutter,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-12, no. 6, pp. 736–743, Nov. 1975.

W

Fig. 12. Measured spectra of a target located 200 m away with (bottom) and without (top) the optimized time gating of the beat signals.

with the simulation results in Fig. 6. With reference to the characterized mapping constant of , the measured ranging error of the fabricated FMCW radar was approximately 2%, which was within the estimated range ambiguity. V. SUMMARY It has been shown that the selectively gated FFT of the beat signal within an optimum linear sweep interval could significantly reduce the VCO nonlinearity effects on the ranging error of the FMCW radar. The proposed method has very fast processing speed and can be easily implemented because of its simple configuration. A single-antenna configured FMCW radar was demonstrated by applying the wide sweep bandwidth enough to separate the beat signal from the transmitter leakages. Using the selectively gated FFT method, we achieved satisfactory range resolution in spite of the increased sweep nonlinearity of the VCO. The measurement data agreed well with the simulated beat spectrum and the calculated range ambiguity. REFERENCES [1] A. G. Stove, “Linear FMCW radar techniques,” Proc. Inst. Elect. Eng., vol. 139, no. 5, pt. F, pp. 343–350, Oct. 1992. [2] J. Otto, “Radar applications in level measurement, distance measurement and non-destructive material testing,” in Proc. 27th Eur. Microw. Conf., Sep. 1997, vol. 2, pp. 1113–1121.

Jung Dong Park received the B.S. degree in electronic engineering from Dongguk University, Seoul, Korea, in 1998, and the M.S. degree in information and communications from the Gwangju Institute of Science and Technology (GIST), Gwangju, Korea, in 2000. He then joined the Institute for Advanced Engineering (IAE), Yongin, Korea. In 2002, he joined the Agency for Defense Development (ADD), Daejeon, Korea, where he is responsible for the design and development of millimeter-wave passive/active sensors for fuzing systems. His current research interests are in the areas of the miniaturized millimeter-wave transceiver and its related millimeter-wave components.

Wan Joo Kim received the B.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 1984, and the M.S. and Ph.D. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 1987 and 1994, respectively. In 1987, he joined the Agency for Defense Development (ADD), Daejeon, Korea, where he is responsible for the development of fuzzy systems. His main research area is the development of millimeter-wave passive/active sensors for various fuzzy systems.

3630

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Nonlinear Design Technique for High-Power Switching-Mode Oscillators Sanggeun Jeon, Member, IEEE, Almudena Suárez, Senior Member, IEEE, and David B. Rutledge, Fellow, IEEE

Abstract—A simple nonlinear technique for the design of high-efficiency and high-power switching-mode oscillators is presented. It combines existing quasi-nonlinear methods and the use of an auxiliary generator (AG) in harmonic balance. The AG enables the oscillator optimization to achieve high output power and dc-to-RF conversion efficiency without affecting the oscillation frequency. It also imposes a sufficient drive on the transistor to enable the switching-mode operation with high efficiency. Using this AG, constant-power and constant-efficiency contour plots are traced in order to determine the optimum element values. The oscillation startup condition and the steady-state stability are analyzed with the pole-zero identification technique. The influence of the gate bias on the output power, efficiency, and stability is also investigated. A class-E oscillator is demonstrated using the proposed technique. The oscillator exhibits 75 W with 67% efficiency at 410 MHz. Index Terms—Class-E tuning, high-efficiency oscillator, nonlinear optimization, oscillation stability, startup criterion.

I. INTRODUCTION F AND microwave high-power sources have diverse applications in the industrial and scientific fields, including induction heating, electric welding, RF lighting, and plasma generation [1], [2]. For power sources, the efficiency is an important aspect because high power loss and its resulting thermal stress will degrade the reliability of transistors and increase the cost for thermal management. A number of papers [3]–[9] have been devoted to improve the dc-to-RF conversion efficiency of oscillators by adopting the switching-mode amplifier concepts. In [3] and [4], class-E oscillators are designed by synthesizing the required phase shift with a feedback network. However, the assumption of lumped elements and the approximate calculation of the transistor phase shift make the technique difficult to apply to high-frequency oscillators. In [5] and [6], an experimentally tunable feedback network is added to standalone class-E and class-F amplifiers, respectively, to give an oscillation at higher frequency. The smallsignal circular function [5] and the required attenuation of the

R

Manuscript received November 3, 2005; revised March 16, 2006. This work was supported by the Lee Center for Advanced Networking, California Institute of Technology. S. Jeon and D. B. Rutledge are with the Department of Electrical Engineering, California Institute of Technology, Pasadena, CA 91125 USA (e-mail: [email protected]; [email protected]). A. Suárez is with the Communications Engineering Department, University of Cantabria, 39005 Santander, Spain (e-mail: [email protected]). Color versions of Figs. 2, 6, and 13 are available online at http://ieeexplore. ieee.org. Digital Object Identifier 10.1109/TMTT.2006.882406

feedback network [6] are calculated to fulfill the oscillation condition, but no systematic nonlinear technique is proposed for the design. In [7], the design criterion is also linear and based on the calculation of a small-signal loop gain providing high efficiency in the high-power oscillator. On the other hand, [8] and [9] present systematic nonlinear-design procedures based on the load–pull optimization of the transistor harmonic terminations. These load–pull techniques are versatile and powerful since they are not constrained to a specific embedding topology. However, the performance of the final design is closely dependent on the synthesis accuracy at the different harmonic frequencies. In this paper, a new systematic nonlinear technique to optimize the output power and efficiency of switching-mode oscillators is proposed. Although constrained to a specific feedback-network topology, the technique enables a simple and reliable design of high-efficiency oscillators, taking into account an arbitrary number of harmonic components. It combines existing quasi-nonlinear methods [10], [11] with the use of an auxiliary generator (AG) [12] in harmonic balance (HB). An AG is an ideal voltage generator introduced into the circuit only for simulation purposes. It operates at the oscillation frequency and fulfills a nonperturbation condition of the steady-state solution [12]. In the optimization of the switching-mode oscillators, the AG has a twofold role. First, the AG is used to set the oscillation frequency to the desired steady-state value. Hence, circuit parameters can be optimized to maximize the output power and efficiency without affecting the oscillation frequency. Second, the AG with large voltage amplitude drives the transistor in deep saturation region, which leads to the switching-mode operation with high efficiency. To achieve a robust convergence of the HB system including the AG, the provision of suitable initial values is of importance. Accordingly, a quasi-nonlinear design is initially performed using the techniques developed in previous papers [10], [11]. This gives the proper circuit topology and the initial values for the circuit elements. Here, a nonlinear optimization of the amplifier is also carried out, tracing contour plots of the output power and drain efficiency versus critical circuit elements. The appropriate embedding network for the oscillator circuit is then determined from the resulting terminal voltages and currents. The oscillator optimization is performed with an AG, the amplitude of which is made equal to that of the input-terminal voltage in the former amplifier design. This ensures the switching-mode operation of the transistor. Using the AG, the circuit parameters are tuned to achieve high output power and efficiency at the specified oscillation frequency. Contour plots are traced to determine the optimum element values. The oscillation startup and steady-state stability are verified with

0018-9480/$20.00 © 2006 IEEE

JEON et al.: NONLINEAR DESIGN TECHNIQUE FOR HIGH-POWER SWITCHING-MODE OSCILLATORS

3631

The loaded factor of the series resonator is set to 18. This is higher than usual in class-E amplifiers, where the is usually below 10. The higher increases losses slightly, but helps to obtain a more stable oscillation. and can be calculated using the well-known class-E design equations [15] (1) Fig. 1. Schematic of the class-E amplifier. The input-drive frequency is set to the oscillation frequency. TL is a transmission line added at the gate to facilitate the layout of the feedback network, which will be synthesized in Section II-B. Dashed lines represent the reference planes for the synthesis.

(2) for , the equations give pF and nH. Since this transistor already has an output is completely absorbed capacitance that is near 36 pF [16], into the transistor. Starting from these initial values, an HB optimization is performed next using the nonlinear transistor model provided by the vendor. The considered value of the input-drive amplitude is V for which the transistor operates in the saturated region. For the HB simulation, 11 harmonic components are taken into account. Contour plots of constant output power and constant drain efficiency are traced, respectively, as functions of and , shown in Fig. 2. As can be seen, the optimum element values to achieve the highest drain efficiency are not the same as the ones providing the highest output power. The output power keeps increasing until becomes zero, whereas a small detuning inductance is required to satisfy the ZVS condition for the highest drain efficiency. This is due to the fact that the output power has its maximum value at the net resonance frequency of the output LC tank including . We choose nH and , which give the highest efficiency at the expense of some loss of output power. After setting and to the above optimum values obtained for V, the influence of the input-drive level is analyzed. A sweep in is carried out, the results of which are shown in Fig. 3. As a compromise between the saturated operation and the maximum voltage rating of the transistor, the initially considered value V is chosen. This provides an output power of 58 W and a drain efficiency of 73%. Assuming 2

the pole-zero identification technique [13], [14]. The influence of the gate bias on the oscillator output power, efficiency, and stability is analyzed, together with the causes for the common observation of hysteresis versus the gate bias in high-power oscillators. The techniques have been applied to the design of a class-E oscillator, which showed an output power of 75 W from a single transistor and 67% dc-to-RF conversion efficiency at 410 MHz. This paper is organized as follows. Section II presents the optimization of the initial class-E amplifier and the synthesis of the embedding network. Section III presents the nonlinear optimization of the class-E oscillator, the verification of the oscillation startup, and the stability analysis of the steady-state solution. Section IV presents the analysis of the influence of the gate bias on the oscillator output power and efficiency. Finally, Section V presents the experimental results. II. OPTIMIZATION OF CLASS-E AMPLIFIER AND SYNTHESIS OF EMBEDDING NETWORK The class-E oscillator considered in this study consists of a transistor that operates in the saturation region, and an embedding network that includes the output load. The transistor in an oscillator operates in the same way as in an amplifier under the same set of terminal voltages and currents [10]. Thus, a class-E amplifier with optimized performance is first designed. The embedding network is then synthesized, applying the substitution theorem to the optimized terminal voltages and currents [11]. It should be noted that this synthesis considers only the fundamental frequency, thus the performance of the designed oscillator will be further investigated with the proposed fully nonlinear technique in Section III. A. Optimization of Class-E Amplifier The schematic of the class-E amplifier is shown in Fig. 1. The active device is the MRF183 LDMOS from Freescale Semiconductor Inc., Austin, TX. The series LC tank resonates at the input-drive frequency , which must be the same as the oscillation frequency, i.e., MHz. Note that the detuning inductance required for the zero voltage switching (ZVS) [15] is separated from the LC tank. The input-drive level and the output circuit parameters ( and ) are optimized so that the amplifier achieves a proper class-E tuning, which leads to high drain efficiency.

B. Synthesis of Embedding Network Once the HB optimization of the amplifier has been carried out, the next step is to synthesize the embedding network from the terminal voltages and currents at the reference planes of Fig. 1 (indicated via dashed lines). For convenience, both the transmission line and the series LC tank are taken inside the reference planes. This choice of the output-reference plane facilitates the synthesis of the embedding network. Although the optimum terminal voltages and currents are calculated with 11 harmonic components, the strong bandpass-filtering action of the LC tank allows a synthesis of the embedding network at the fundamental frequency only, without substantial degradation of the design accuracy. At all other harmonic frequencies, the drain of the transistor will be terminated by a shunt capacitance or , which is the proper harmonic loading for a class-E oscillator.

3632

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 4. Basic structure of the class-E oscillator consisting of a transistor, a series LC tank, and an embedding network. The embedding network substitutes for the input-drive source and output load circuitry of the class-E amplifier in Fig. 1, keeping the same set of terminal voltages and currents.

as shown in Fig. 4. It consists of three reactive elements and one resistive element representing the load resistance. For this particular network, the two-port -parameters relating the terminal currents and voltages are

(3) Fig. 2. (a) Simulated output power and (b) drain efficiency of the class-E amplifier as functions of detuning inductance and load resistance. The drain and gate bias voltages are 25 and 4 V, respectively.

Thus, the four element values are calculated in terms of the terminal voltages and currents as follows:

(4)

(5)

Fig. 3. Simulated output power and drain efficiency as a function of the inputand R are tuned to the maximum drain efficiency drive level V . L point. The dotted line at 40 V represents the determined input-drive level for the saturated operation.

The embedding network is usually configured as a T-network or -network [11]. In this study, a -network is chosen,

In order for (4) and (5) to be solvable, the matrices on the right-hand side must be invertible (not singular). As derived in [11], this requires two conditions, easily fulfilled by the amplifier: must not be zero and a phase difference between and must exist. The element values for the T-network could also be derived in a similar way. The fundamental components of the terminal voltages and currents of the optimized amplifier in Section II-A are shown in Table I. The phase of is set to 0 without loss of generality. The element values of the embedding network, obtained from (4) and (5), are shown in Table II. As can be seen, the network will be composed of two capacitors for and , an inductor

JEON et al.: NONLINEAR DESIGN TECHNIQUE FOR HIGH-POWER SWITCHING-MODE OSCILLATORS

TABLE I OPTIMIZED TERMINAL VOLTAGES AND CURRENTS AT FUNDAMENTAL FREQUENCY

TABLE II EVALUATED ELEMENT VALUES OF EMBEDDING NETWORK AND CORRESPONDING CIRCUIT ELEMENTS

3633

steady-state oscillation condition. The oscillation startup should be investigated separately to check whether or not the oscillation is truly triggered and growing to the designed power level. To overcome those limitations, a new optimization technique taking into account all the generated harmonic components will be employed, together with the stability analysis based on polezero identification [13]. A. Nonlinear Optimization Through the AG Technique The AG technique was initially proposed to avoid trivial solutions in the HB simulation of autonomous circuits [12]. However, the AG can also be used for nonlinear oscillator design at a specific frequency using HB. The AG, composed of a voltage source and a bandpass filter in series (Fig. 5), is connected in parallel at a circuit node. The AG frequency is made equal to the oscillation frequency . The series bandpass filter is an ideal short circuit at and an open circuit at all the other frequencies. Thus, the AG amplitude agrees with the fundamental component of the voltage amplitude at the connection node. Since the AG is introduced only for simulation purposes, it should have no influence on the steady-state oscillatory solution. This is imposed by the following nonperturbation condition: (6)

Fig. 5. Complete schematic of the class-E oscillator. The embedding network is implemented by capacitors (C ; C ; C ), transmission lines (TL ; TL ), and a 50-! load (R ). The AG, consisting of a voltage source and an ideal bandpass filter inside the dashed box, is not a part of the oscillator, but will be used for the nonlinear simulation of oscillatory solutions in Section III.

for , and a resistive component transformed from the output load. Fig. 5 shows the complete schematic of the class-E oscillator with the implemented embedding network. A shunt transmission line of 110- characteristic impedance is used for the implementation of . The 50- output load is transformed to by a simple L-section matching ( pF and a transmission line ) [17]. This oscillator configuration with the determined element values will serve as the starting point for the new nonlinear optimization, which will be presented in Section III. III. NONLINEAR OPTIMIZATION OF THE OSCILLATOR PERFORMANCE In Section II, the class-E oscillator was optimized in terms of the output power and efficiency, taking into account the saturated operation of the transistor. However, the design has two intrinsic limitations. As already stated, the synthesis of the embedding network is carried out, considering only the fundamental frequency. In spite of the judicious choice of the output-reference plane to reduce the influence of the other harmonic components, the approach is not appropriate to accurately predict the performance of switching-mode oscillators in which many harmonics are strongly generated. Moreover, the onset of the oscillation from a small-signal level is not guaranteed by the

is the current through the AG at . Equation (6) is where solved through error-minimization or optimization procedures with the HB system as the inner loop. For the optimization of the power oscillator, the AG is connected to the same node considered in the definition of the inputreference plane in Fig. 1. Thus, the AG amplitude is made equal to the input-drive amplitude V obtained in Section II, i.e., V. In this way, the transistor is in deep saturation during the nonlinear simulation, which leads to the switching-mode operation of the oscillator. The AG frequency is set to the desired oscillation value of 410 MHz. With both the AG amplitude and frequency imposed by the designer, two circuit element values must be determined in order to fulfill the nonperturbation condition (6). In our oscillator, two capacitors in the feedback network, i.e., and , are calculated. The rest of elements are set to the values obtained in Table II. Equation (6) is solved through optimization in HB, considering 11 harmonic components. The simulation predicts 61-W output power with 71% dc-to-RF conversion efficiency for the imposed value, V. This agrees well with the amplifier performance for the same input-drive voltage V in Section II-A. To investigate the influence of the feedback-element values on the oscillator performance, two nested sweeps are carried out in and . For each pair of capacitance values , the oscillation amplitude and the capacitance (or the inductance) in the series LC tank, i.e., (or ) are optimized in order to fulfill the nonperturbation condition . It is important to note that the oscillation frequency keeps the desired value during the entire double sweep, which is ensured by setting the AG frequency to MHz. In contrast, the oscillation amplitude is modified during the sweep since is

3634

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 7. Simulated voltage and current waveforms at the extrinsic drain terminal, corresponding to the square point in Fig. 6. The drain and gate bias voltages are 25 and 4 V, respectively.

Fig. 6. Contour plots of the: (a) simulated dc-to-RF conversion efficiency and (b) output power in the plane of (C ; C ). For the entire solutions, the oscillation frequency is fixed to 410 MHz. The points of a star and a square represent, respectively, the original values of (C ; C ) obtained in Section II and the new values nonlinearly optimized in the output power and efficiency.

one of the considered optimization variables, together with (or ). The simulated contours of constant output power and constant efficiency in the plane of are shown in Fig. 6. The efficiency in Fig. 6(a) exhibits its maximum value near the point resulting from the quasi-nonlinear analysis, corresponding to 2.6 pF of and 23.5 pF of (marked by a star). It means that the effect of harmonic components on the efficiency is not too significant in this class-E oscillator. This is mainly due to the series LC tank with a high- factor, which prevents the harmonics generated at the drain from affecting the output load. This confirms the assumptions in the synthesis technique discussed in Section II-B. It is also interesting to see that the contour plot in Fig. 6(a) has a narrow ridge of the efficiency along the dashed line. By changing along this line, the oscillator output power could be optimized further, maintaining a high efficiency of more than 67%. As can be seen in Fig. 6(b), the output power does not show its peak at the point marked with a star, but keeps increasing along

the dashed line toward the point marked with a square. Hence, the feedback elements can be modified from the original values determined in Section II in order to obtain a higher output power without impairing the efficiency significantly. For the circuit-element values corresponding to the square point ( pF, pF), the oscillation amplitude fulfilling the nonperturbation condition (6) at the imposed frequency MHz is V. The predicted output power is 85 W with 68% dc-to-RF conversion efficiency. We did not want to further increase the output power because the transistor might become too hot. Fig. 7 shows the simulated voltage and current waveforms at the extrinsic drain terminal. Due to the series LC tank and the complete absorption of into the transistor output capacitance, the drain current exhibits an almost sinusoidal waveform. The above analysis and optimization are applied to the circuit in its steady-state oscillatory regime. However, even if the obtained solutions are accurate and valid, the oscillator might fail to start up from its dc solution with the optimized values of the circuit elements. The oscillation startup from the dc regime is due to the instability of the dc solution at the oscillation frequency. Thus, the startup condition depends on this dc solution and its stability properties. The stability of the dc solution and that of the steady-state oscillatory solution must be separately analyzed, as will be shown in Section III-B. B. Stability Analysis To verify the oscillation startup, the stability of the dc solution, coexisting with this oscillation, must be analyzed. This is done with the pole-zero identification technique [13], which, in the case of a dc solution, requires the calculation of the input impedance function at a given circuit node through ac analysis. A sweep in the frequency is carried out, applying pole-zero identification to the resulting function . In our class-E oscillator, the considered observation port, at which is calculated, is defined between the gate node and ground.

JEON et al.: NONLINEAR DESIGN TECHNIQUE FOR HIGH-POWER SWITCHING-MODE OSCILLATORS

3635

IV. ANALYSIS OF THE OSCILLATING SOLUTION VERSUS THE GATE BIAS

Fig. 8. Evolution of the critical pole pair with the values of C and C varied along the dashed line in Fig. 6. C is varied from 1 to 9 pF in steps of 1 pF along the dashed line and the corresponding value of C is calculated from the dashed-line equation.

For the stability analysis, the parameters and are varied along the dashed line in Fig. 6 by changing in 1-pF steps and calculating the corresponding from the linear equation of the dashed line. Pole-zero identification is applied to the dc solution associated with each pair of values and . For all the points in the line, a pair of complex-conjugate poles, with frequency close to the oscillation frequency, is located on the right-hand side of the complex plane. The evolution of this critical pair of poles along the dashed line is shown in Fig. 8. All the pairs are located on the right-hand side of the plane with a nearly constant imaginary part. This implies that all the points along the dashed line satisfy the oscillation startup condition around 410 MHz. The nearly constant value of this frequency is explained by the fact that the oscillation frequency was kept constant at the nonlinear design stage by setting MHz. However, the real part of the pole pair, indicating the instability margin for startup, is very small at low values of and increases as approaches the square point along the line. This means that the square point is less likely to be affected in startup by inaccuracies of the circuit model. The stability of the steady-state oscillation at this optimum point must also be analyzed, which will also be carried out using the pole-zero identification technique [13]. For this analysis, the AG is maintained at the amplitude V and frequency MHz, which fulfill at the point marked with a square in Fig. 6. A small-signal current source at frequency is then added to the circuit. By the conversion-matrix approach, the impedance function is calculated as the ratio between the node voltage and the introduced current [13]. Pole-zero identification is applied to this impedance function. For a rigorous analysis, several frequency intervals are considered in the range from 0 to . When sweeping near , a pair of complex-conjugate poles at this oscillation frequency is located on the imaginary axis, as expected in an oscillatory regime [12]. The rest of poles, for all the different frequency sweeps, are located on the left-hand side of the complex plane, which indicates a stable oscillation.

Typically, class-E amplifiers and oscillators exhibit higher efficiency for gate bias below the threshold voltage. However, in the case of oscillators, the startup does not occur for gate bias below this threshold because no actual gain is exhibited by the transistor and, thus, the dc solution is stable. Nevertheless, after the oscillation buildup for gate bias above the threshold voltage, it might be possible to experimentally reduce this bias voltage below the threshold while the oscillatory regime is still observed. This may lead to an oscillation with higher efficiency. As an example, a triggering signal is used in [18] to start up a high-efficiency oscillation at low gate bias voltage. The requirement for this signal must be due to the coexistence of the desired oscillatory regime with a stable dc solution. The evolution of the steady-state oscillation when reducing the gate bias is analyzed here using an AG. For the simulation, the element values of the optimized design corresponding to the square point in Fig. 6 are considered. The gate bias is reduced from V, calculating, at each sweep step, the oscillation and frequency in order to fulfill the nonamplitude perturbation condition . When performing this gate-bias sweep, the bias can be reduced below the threshold voltage with the HB solution still converging to a steady-sate oscillation. A switching-parameter algorithm [12] must be applied to obtain the entire oscillation curve. Below a certain gate bias, the AG amplitude is swept instead of and reduced to zero, determining, at each sweep step, the bias voltage and oscillation frequency in order to fulfill the nonperturbation condition . In Fig. 9, the oscillation curve has been traced for four different values of the drain bias voltage. Fig. 9(a) shows the output power variation and Fig. 9(b) shows the efficiency variation versus the gate bias. Each curve has a turning point that divides it into a stable and an unstable section. As will be shown later, the solidline section corresponds to stable solutions, whereas the dashedline section corresponds to unstable ones. All curves start from zero amplitude at the threshold voltage V. At this voltage value, a Hopf bifurcation takes place in the dc solution [12], i.e., a pair of complex-conjugate poles at the oscillation frequency crosses the imaginary axis. The dc solution is unstable above the threshold voltage. The Hopf bifurcation is of subcritical type [12], [19]. Thus, after the bifurcation, no stable oscillation exists in the neighborhood of the dc solution, which gives rise to a jump to the upper section of the oscillation curve in Fig. 9. On the other hand, when the gate bias is reduced from a voltage above the threshold, the oscillation persists until it reaches the turning point, below which no oscillation is possible. Thus, a hysteresis phenomenon is obtained versus the gate bias. The stability of the oscillation curves in Fig. 9 has been analyzed with pole-zero identification. A turning point in a periodic-solution curve corresponds to the Floquet multiplier crossing the unit circle through [19]. Due to the nonunivocal relationship between poles and multipliers, this is equivalent to the simultaneous crossing of the imaginary axis by a real pole and infinite pairs of poles with being a positive integer and being the oscillation frequency [19]. For the pole-zero identification, a frequency sweep about

3636

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 10. Evolution of the critical pole pair for the steady-state oscillating solution as the gate bias varies from the stable section to the unstable one near the turning point in Fig. 9. The solid squares close to the imaginary axis represent another pair of complex-conjugate poles at each bias point, exhibited due to the singularity of the HB system for oscillating solutions.

Fig. 9. Simulated output power and dc-to-RF conversion efficiency in the oscillatory regime as a function of the gate bias voltage. Four drain bias voltages (V ) are considered. The solid- and dashed-line sections represent the stable and unstable oscillating solutions, respectively, in each solution curve.

will be initially considered. Near the turning point, a pair of complex-conjugate poles is obtained at the oscillation frequency. This is an additional pair of poles different from the one located on the imaginary axis at , which exists for all points in the curve due to the solution autonomy. In the solid-line section of Fig. 9, near the turning point, the pair of poles is located on the left-hand side of the complex plane. When varying the gate bias from the solid-line section to the dashed-line one around the turning point, the pair of poles approaches the imaginary axis and crosses it at the turning point. The pair of poles then stays on the right-hand side for all the entire dashed-line section, thus this section is unstable. This is shown in the pole locus of Fig. 10, corresponding to V. For all the considered solution points, another pair of poles at , very close to the imaginary axis, is also obtained. This is represented by solid squares. It must be pointed out that to clearly obtain the two distinct pairs of poles at and , high accuracy is necessary in the HB calculation of the steady-state oscillating solution. The hysteresis in the oscillation curves of Fig. 9 comes from the fact that a high power oscillation is built up when the gate

Fig. 11. Gate voltage waveforms at different gate bias voltages. The threshold voltage is represented by a thin solid line. The considered drain bias is 25 V.

bias reaches the threshold voltage. This is related with the high input-drive voltage considered in the initial amplifier design of Section II in order to ensure the switching-mode operation. In the oscillator design, the embedding network is synthesized from the terminal voltages and currents associated with this high-amplitude solution. Once the oscillation builds up at gate bias above the threshold, there exists a high-amplitude oscillating signal at the gate over the quiescent gate bias voltage. This signal turns the transistor on and off as in a switch, as shown in Fig. 11 (the solid waveform). When the gate bias is reduced below the threshold voltage, this self-generated inputdrive signal decreases in a continuous manner so it is still large enough to make the transistor operate as a switch (see the dashed waveform in Fig. 11). The situation is different when the gate bias is increased from a dc regime. In that case, no oscillation is possible until the threshold is reached because there is no input-drive signal to the transistor. When the gate bias is decreased to the turning point, the self-generated input-drive signal becomes marginal to turn on the transistor and thus to sustain the steady-state oscillation (see

JEON et al.: NONLINEAR DESIGN TECHNIQUE FOR HIGH-POWER SWITCHING-MODE OSCILLATORS

Fig. 12. Simulated evolution of the oscillating solution as the gate bias changes from 4 V to different values. The fundamental component of the voltage at the AG connection node is represented. The AG is disconnected at 0.1 s. The considered drain bias is 25 V.

the dotted waveform in Fig. 11). If the gate bias is further decreased, no oscillation is observed. As can be seen in Fig. 9, and in agreement with the previous discussion, the gate bias voltage at the turning point decreases with the drain bias because a larger swing is obtained in the gate voltage waveform. In switching-mode amplifiers and oscillators, the output power is usually modified by varying the drain-bias voltage [5], [7], [9], [20], [21]. The reduction of output power, which might be required for some applications, is achieved by decreasing the drain bias, which generally gives rise to a severe degradation of the drain efficiency [5], [7], [9], [21]. This can be avoided by taking advantage of the possibility to maintain the oscillation at the gate bias below the threshold voltage. It enables the reduction of the output power without the efficiency degradation. As shown in Fig. 9(a), the output power decreases as the gate bias approaches the turning point. The efficiency, however, increases with lower gate bias [see Fig. 9(b)], as expected in a class-E oscillator. Hence, the output power can be varied by changing the gate bias down to the turning point, which provides higher efficiency. It must be noted, however, that the stable oscillation and the stable dc solution coexist in the interval comprised between the turning point and the Hopf bifurcation. Each of these two stable solutions has its own basin of attraction in the phase space [12]. Thus, the oscillatory solution will be robust under noise and small perturbations, but a big perturbation such as a high amplitude pulse may lead the system back to the stable dc solution. For a rough test for the robustness of the oscillation below the threshold voltage, envelope-transient simulations [22], [23] are performed. A sweep is carried out in the gate bias, which is reduced from V to lower values. At each bias-sweep step, the HB solution corresponding to V is used as the initial value for the envelope-transient equations. Actually, an AG with the steady-state values V and MHz, corresponding to V, is connected to the circuit for a short initial time interval and disconnected afterwards. This disconnection is carried out with a time-varying resistor [24]. Fig. 12 shows the time variation of the first harmonic amplitude of the voltage at the AG connection node. At 0.1 s, the AG

3637

Fig. 13. Class-E oscillator built on FR-4 board. The transistor is mounted directly on a heatsink through a slot in the board. The circuit size is 49 mm 35 mm.

2

Fig. 14. Measured output power and dc-to-RF conversion efficiency versus the drain bias voltage.

is disconnected for all the values. After a certain transient time, each solution reaches the steady-state amplitude, which , as expected from Fig. 9. When decreases with smaller is reduced below the turning point, e.g., V, the oscillation is extinguished. The simulation of Fig. 12 shows that, below the threshold voltage, stable oscillation can be reached even when the initial conditions are not in the immediate neighborhood of the steady-state values. The robustness of the oscillation will actually depend on the size of the basin of attraction for this solution in the phase space [12], which would be extremely difficult to determine. V. EXPERIMENTAL RESULTS The class-E oscillator designed following the proposed technique is fabricated on FR-4 board. Fig. 13 presents a photograph of the oscillator mounted on a heatsink. An air-core inductor from Coilcraft, Cary, IL, with a current rating of 7.2 A is used for the inductor in the output LC tank. For tuning purposes, Giga-Trim variable capacitors from Johanson, Boonton, NJ, are

3638

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE III PERFORMANCE COMPARISON OF PUBLISHED SWITCHING-MODE OSCILLATORS

employed along with ATC multilayer capacitors. Initially, the capacitors are tuned to the values synthesized in Section II-B (marked by the star in Fig. 6). No oscillation starts up with these component values, which is partly attributed to a small instability margin predicted in Fig. 8. The capacitors are then tuned to the nonlinearly optimized values obtained in Section III (marked by the square in Fig. 6), which are 9.0 pF for and 18.7 pF for . The output power is measured by a Bird 4022 power sensor and a 4421 power meter. Fig. 14 shows the measured output power and dc-to-RF conversion efficiency versus the drain bias voltage at three different gate bias voltages. The gate biases are slightly above the threshold voltage of the transistor to give a free-running oscillation. The output power increases as the square of the drain bias, as expected in a switching-mode operation [20]. The efficiency increases rapidly at low drain bias and saturates at high drain bias. As the gate bias increases, the efficiency is reduced, but the oscillator exhibits higher output power. This is due to the fact that the class-E tuning shows the highest efficiency with the gate bias below the threshold voltage. The oscillator achieves the highest efficiency of 69% with 67-W output power, and 67% efficiency with 75 W at higher bias voltage. These results are compared with those of other switching-mode oscillators of high efficiency in Table III. The hysteresis in terms of the gate bias is also experimentally verified. After the oscillation builds up at a gate bias of 4 V, the bias voltage is reduced gradually down to 0 V. The oscillation is sustained for all the gate bias voltages. Fig. 15 shows the measured output power and efficiency versus the gate bias. The output power decreases when the gate bias is reduced, whereas the efficiency improves, in comparison with the values corresponding to the gate bias of V. The output power spectrum, measured by an Agilent E4407B spectrum analyzer, is shown in Fig. 16. The simulated spectrum is superimposed with square marks. The largest harmonic level is 46 dB below the fundamental, which corresponds to the second harmonic component. High-frequency ringing is observed at the fifth and sixth harmonics in the measured spectrum. It is due to a parasitic resonance when the transistor is turned on

Fig. 15. Measured output power and dc-to-RF conversion efficiency versus the gate bias voltage. The applied drain bias voltage is 23 V.

Fig. 16. Measured and simulated output power spectrum. The largest harmonic is the second, which is at 46 dB below the fundamental.

and off abruptly [25]. The phase noise is quency offset of 100 kHz.

117 dBc/Hz at a fre-

JEON et al.: NONLINEAR DESIGN TECHNIQUE FOR HIGH-POWER SWITCHING-MODE OSCILLATORS

VI. CONCLUSION A systematic technique for the nonlinear design of highpower switching-mode oscillators has been presented. The technique is based on the use of an AG on HB. After an initial quasi-nonlinear design, the AG provides the transistor with the required input-drive amplitude for switching operation and allows obtaining the contour plots of constant output power and constant efficiency without affecting the oscillation frequency. Following this technique, a class-E oscillator has been designed and characterized. The oscillation startup and the steady-state stability have been analyzed with pole-zero identification. The influence of the gate bias voltage on the oscillation power and efficiency has been investigated, together with the reasons for the common observation of hysteresis versus the bias. The designed class-E oscillator exhibited 75-W output power with 67% dc-to-RF conversion efficiency. ACKNOWLEDGMENT The authors would like to thank J.-M. Collantes, University of the Basque Country, Bilbao, Spain, for helpful comments.

3639

[15] F. Raab, “Idealized operation of the class E tuned power amplifier,” IEEE Trans. Circuits Syst., vol. CAS-24, no. 12, pp. 725–735, Dec. 1977. [16] “Freescale Semiconductor LDMOS data sheet for MRF183,” Freescale Semiconductor Inc., Austin, TX. [Online]. Available: http://www.freescale.com [17] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [18] M. Matsuo, H. Sekiya, T. Suetsugu, K. Shinoda, and S. Mori, “Design of a high-efficiency class DE tuned power oscillator,” IEEE Trans. Circuits Syst., vol. 47, no. 11, pp. 1645–1649, Nov. 2000. [19] J. M. T. Thompson and H. B. Stewart, Nonlinear Dynamics and Choas. New York: Wiley, 1986. [20] M. Albulet, RF Power Amplifiers. New York: Noble, 2001. [21] A. Adahl and H. Zirath, “An 1 GHz class E LDMOS power amplifier,” in 33rd Eur. Microw. Conf., Munich, Germany, 2003, pp. 285–288. [22] E. Ngoya and R. Larcheveque, “Envelope transient analysis: A new method for the transient and steady state analysis of microwave communication circuits and systems,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 1996, pp. 1365–1368. [23] J. C. Pedro and N. B. Carvalho, “Simulation of RF circuits driven by modulated signals without bandwidth constraints,” in IEEE MTT-S Int. Microw. Symp. Dig., Seattle, WA, Jun. 2002, pp. 2173–2176. [24] E. de Cos, A. Suárez, and S. Sancho, “Envelope transient analysis of self-oscillating mixers,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1090–1100, Apr. 2004. [25] J. F. Davis and D. B. Rutledge, “A low-cost class-E power amplifier with sine-wave drive,” in IEEE MTT-S Int. Microw. Symp. Dig., Baltimore, MD, Jun. 1998, pp. 1113–1116.

REFERENCES [1] F. H. Raab, R. Caverly, R. Campbell, M. Eron, J. B. Hecht, A. Mediano, D. P. Myer, and J. L. B. Walker, “HF, VHF, and UHF systems and technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 888–899, Mar. 2002. [2] V. A. Godyak, “Radio frequency light sources,” in IEEE Ind. Appl. Conf., Oct. 8–12, 2000, vol. 5, pp. 3281–3288. [3] J. Ebert and M. Kazimierczuk, “Class E high-efficiency tuned power oscillator,” IEEE J. Solid-State Circuits, vol. SSC-16, no. 2, pp. 62–66, Apr. 1981. [4] D. V. Chernov, M. K. Kazimierczuk, and V. G. Krizhanovski, “Class-E MOSFET low-voltage power oscillator,” in Proc. IEEE Int. Circuits Syst. Symp., Phoenix, AZ, May 2002, vol. 5, pp. 509–512. [5] E. W. Bryerton, W. A. Shiroma, and Z. B. Popovic´ , “A 5-GHz highefficiency class-E oscillator,” IEEE Microw. Guided Wave Lett., vol. 6, no. 12, pp. 441–443, Dec. 1996. [6] M. Prigent, M. Camiade, G. Pataut, D. Reffet, J. M. Nebus, and J. Obregon, “High efficiency free running class F oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 1995, pp. 1317–1320. [7] A. Gitsevich, D. Kirkpatrick, and L. Dymond, Jr., “Solid-state high power RF oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., Phoenix, AZ, May 2001, pp. 1423–1426. [8] M.-Q. Lee, S.-J. Yi, S. Nam, Y. Kwon, and K.-W. Yeom, “High-efficiency harmonic loaded oscillator with low bias using a nonlinear design approach,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 9, pp. 1670–1679, Sep. 1999. [9] S. V. Hoeye, F. Ramirez, and A. Suarez, “Nonlinear optimization tools for the design of high-efficiency microwave oscillators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 189–191, May 2004. [10] K. L. Kotzebue, “A technique for the design of microwave transistor oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 7, pp. 719–721, Jul. 1984. [11] Y. Xuan and C. M. Snowden, “A generalized approach to the design of microwave oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1340–1347, Dec. 1987. [12] A. Suárez and R. Queré, Global Stability Analysis of Microwave Circuits. Boston, MA: Artech House, 2003. [13] J. Jugo, J. Portilla, A. Anakabe, A. Suárez, and J. M. Collantes, “Closed-loop stability analysis of microwave amplifiers,” Electron. Lett., vol. 37, pp. 226–228, Feb. 2001. [14] F. Ramirez, A. Suarez, and S. Sancho, “Harmonic-balance technique for the shortening of the initial transient of microwave oscillators,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 805–808.

Sanggeun Jeon (S’05–M’06) received the B.S. and M.S. degrees in electrical engineering from Seoul National University, Seoul, Korea, in 1997 and 1999, respectively, and the M.S. and Ph.D. degrees in electrical engineering from the California Institute of Technology (Caltech), Pasadena, in 2004 and 2006, respectively. From 1999 to 2002, he was a Full-Time Instructor of electronics engineering with the Korea Air Force Academy, Cheongwon, Korea. Since 2006, he has been with the High-Speed Integrated Circuits Group, Caltech, as a Post-Doctoral Scholar, where he is involved with CMOS phased-array receivers. His research interests include high-efficiency power amplifiers, oscillators, and nonlinear stability analysis. Dr. Jeon was the recipient of the Third Place Award in the Student Paper Competition at the 2005 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium.

Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics and Ph.D. degrees from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. In 1987, she joined the Electronics Department, University of Cantabria, where she was involved with nonlinear simulation. From May 1990 to December 1992, she was on leave with the Institute de Recherche en Communications Optiques et Microondes (IRCOM), University of Limoges. Since 1993, she has been an Associate Professor (permanent since June 1995) with the University of Cantabria, where she is a member of its Communications Engineering Department. She coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). Her areas of interest include the nonlinear design of microwave circuits, especially nonlinear stability and phase-noise analysis and the investigation of chaotic regimes.

3640

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

David B. Rutledge (S’77–M’77–SM’89–F’93) received the B.A. degree in mathematics from Williams College, Williamstown, MA, the M.A. degree in electrical sciences from Cambridge University, Cambridge, U.K., and the Ph.D. degree in electrical engineering from the University of California at Berkeley. He is currently the Tomiyasu Professor of Electrical Engineering and Chair of the Division of Engineering and Applied Science, California Institute of Technology (Caltech), Pasadena. He has authored the electronics textbook The Electronics of Radio (Cambridge Univ. Press, 1999) and coauthored the microwave computer-aided-design software package, Puff,

which has sold 30 000 copies. He is a cofounder of the Wavestream Corporation, a company that produces solid-state microwave and millimeter-wave transmitters. His research has been in integrated-circuit antennas, active quasi-optics, computer-aided design, and high-efficiency power amplifiers. Prof. Rutledge was the recipient of the Microwave Prize, the Distinguished Educator Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the Teaching Award of the Associated Students of Caltech, the Doug DeMaw Award of the American Radio Relay League (ARRL), and the IEEE Third Millennium Award. He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, and a Distinguished Lecturer of the IEEE Antennas and Propagation Society (IEEE AP-S).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3641

A New Extraction Technique for the Complete Small-Signal Equivalent-Circuit Model of InGaP/GaAs HBT Including Base Contact Impedance and AC Current Crowding Effect Wen-Bin Tang, Che-Ming Wang, and Yue-Ming Hsin, Senior Member, IEEE

Abstract—In this paper, both ac current crowding and base contact impedance are considered and included in the T-type small-signal equivalent circuit of InGaP/GaAs heterojunction bipolar transistors. The ac current crowding effect and base contact circuit, respectively. impedance are modeled as a parallel Devices parameters of the equivalent circuit are obtained by a new parameters extraction technique. The technique is to directly analyze the two-port parameters of multibias conditions (cutoff-bias, open-collector, and active-bias modes). The parallel capacitances and bi ), base resistances ( and bi ), and base inductance ( ( ) are especially determined under the active-bias mode without numerical optimization. In addition, the small-signal equivalent circuits of cutoff-bias and open-collector modes are directly derived from the active-bias mode circuit for consistency. By considering base contact impedance and intrinsic base impedance effects in the presented small-signal equivalent circuit, the calculated -parameters agree well with the measured -parameters. The observed difference in the slope for the unilateral power gain ( ) versus frequency at high frequency is mainly attributed to the ac emitter current crowding effect and well modeled in this study. Index Terms—Current crowding, heterojunction bipolar transistor (HBT), small-signal equivalent circuit.

I. INTRODUCTION aAs- AND InP-based heterojunction bipolar transistors (HBTs) have been applied to millimeter-wave integrated circuits (ICs) extensively in which devices with several hundred gigahertz of cutoff frequencies are required. A number of small-signal equivalent-circuit topologies exist to model microwave HBTs. The main differences among them are the intrinsic equivalent-circuit types (T- and -topology), extrinsic elements topology, and the parasitic elements location (parasitic inductor, resistor, and capacitor). Among the differences, the base impedance and the distributed base–collector junction capacitance topologies are two major measures for the accuracy of the model. Most of the published models only consider pure base resistance instead of the base impedance. Consequently, in predicting the maximum oscillation frequency , it is crucial to examine both base impedance and base–collector junction capacitance.

G

Manuscript received February 1, 2006; revised April 15, 2006. The authors are with the Department of Electrical Engineering, National Central University, Jhongli 32001, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882411

The base impedance consists of base contact impedance and intrinsic base impedance. Since the base contact metal is usually formed by a nonannealing (nonalloy) process, the interfacial layer is formed between the base contact metal and base semiconductor layer [1]; this interfacial layer contributes to the base contact capacitance for the base contact impedance. The behavior of base contact impedance has shown that the real part of base contact impedance is decreased with increasing frequency [2], and this effect was investigated in the HBT’s small-signal equivalent circuit [2], [3]. The intrinsic base capacitance is physically related to the ac current crowding. The ac current crowding happens at high operation frequency while the small-signal voltage drops across the intrinsic base region, thus small-signal emitter current tends to crowd near the periphery of the base–emitter junction [4]. This effect has been included in the Mextram model [5] and described in [6]. The base contact impedance has been analyzed by the transmission-line model and represented by a parallel combination in series with the lateral resistance of the semiconductor underneath the base contact metal [1], [2]. The base contact capacitance in the base contact impedance can be obtained by measuring the special test structure or by the optimization method [2], [3]. The exact formula of intrinsic base impedance with an ac current crowding effect is complicated [7], but a simple circuit to model the ac current crowding was used in [5] and [6]. However, Rhee et al. [6] extracted the intrinsic base capacitance by -parameter equations of the simple -model equivalent circuit without considering distributed base–collector junction capacitance and some extrinsic elements. In this paper, the series resistances located between these two circuits and from base contact metal are absorbed into the resistances and , respectively. If a resistance was included in series with the parallel circuit; its value cannot be determined directly from the two-port parameters. Therefore, a simple and efficient model including these effects is presented and shows excellent experimental agreement. Although base contact impedance and intrinsic base impedance effects are neglected for typical HBTs with low base resistance, both effects become significant for HBTs with high base resistance, particularly in the high-frequency range. This is important for HBTs designed with a thin base layer to improve the base transit time [8] and SiGe HBTs with a scaled-down self-aligned process [9].

0018-9480/$20.00 © 2006 IEEE

3642

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 2. Equivalent-circuit model under cutoff bias condition derived from Fig. 1. Fig. 1. Small-signal equivalent-circuit model of the InGaP/GaAs HBT including base contact impedance (C ), ac current crowding effect (C ), and parasitic capacitances.

(2) In this study, the physically based T-model equivalent circuit, which includes the base contact impedance and intrinsic base impedance, is considered. Those impedances are represented in parallel circuits and determined directly by the analytical procedure without a special test structure. The small-signal equivalent-circuit model, along with its two-port -parameters, are deduced and presented in Section II. The new extraction methodologies of the equivalent-circuit elements including the parasitic capacitances, extrinsic elements, and the intrinsic elements are presented in Sections III–V, respectively. Due to the complexities of the model, multiple bias procedures are used to extract the equivalent-circuit elements. Finally, the unilateral power gain and cutoff current gain are discussed and correlated with base impedance and ac current crowding effect. The observation of the slope for the unilateral power gain versus frequency being different from 20 dB/decade at high frequencies is explained by in the equivalent-circuit model.

(3)

(4) where (5)

II. SMALL-SIGNAL EQUIVALENT CIRCUIT

(6)

In this paper, the non-self-aligned InGaP/GaAs HBT with emitter size of 2 12 m was used for analysis. The base contact width is 2 m and the spacing between the base contact and emitter mesa is 1.2 m, respectively. The epitaxial layer consists of a 3000-GaAs sub-collector layer doped at 5 10 cm , a 10 000-GaAs collector layer doped at 1 10 cm , an 800-GaAs base layer doped at 1 10 cm , a 400-InGaP emitter layer with doping level of 3 10 cm , a 1200-GaAs layer with doping level of 4 10 cm , and a 1000- InGaAs cap layer. The breakdown voltage is higher than 19 V. The normal bias conditions for modeling are V and A, respectively. The small-signal T-equivalent circuit of the HBT with pad parasitic capacitances is shown in Fig. 1. The components in this circuit are basically the same as [10, Fig. 2], expect and . The -parameters of the extrinsic section of the HBT equivalent circuit enclosed in the extrinsic box in Fig. 1 are

(1)

(7) in the expression of given above is the gain at where low frequencies. The InGaP/GaAs HBT with an emitter area of 2 12 m in a ground–signal–ground (GSG) probing configuration was used in this study. The small-signal measurements were performed from 0.5 to 40 GHz on a Cascade Microtech on-wafer probe station using an HP8510 network analyzer. The extraction procedure for the equivalent-circuit model will be described in the following sections using multibias steps. III. CUTOFF BIAS FOR THE PARASITIC CAPACITANCES The parasitic capacitors ( and ) between probe tips and pads were estimated from a cutoff biasing condition [11]–[13]. The HBT small-signal equivalent circuit, including the and effects, under a cutoff bias condition is shown in Fig. 2, as long as the influence of the inductances ( and ) and extrinsic resistances ( and ) remain negligible.

TANG et al.: NEW EXTRACTION TECHNIQUE FOR COMPLETE SMALL-SIGNAL EQUIVALENT-CIRCUIT MODEL OF InGaP/GaAs HBT

3643

The small-signal equivalent circuit in Fig. 2 is directly derived from the active-bias mode circuit in Fig. 1 for consistency. Due to the small , the following approximations can be deduced from Fig. 2 as:

(8)

(9)

Fig. 3. Equivalent-circuit model under open-collector bias condition derived from Fig. 1.

(10) At high frequencies, under the conditions of and (9) are approximately expressed as

, and , (8) and

(15)

(16) (11) and (12) respectively. At the frequency where is satisfied, (10) is simplified to (13) can be determined directly from (12). Both Therefore, and in (11) and (13) can be derived after fitting the junction capacitances ( and ) [11]–[13]. By using the typical junction capacitance at different reverse and , both and are deduced. The obtained values of and are 40.051 and 1.262 fF, respectively.

approaches zero. Hence, At a high base current, in (14) is approximated as the extrinsic base resistance . In addition, the real part of and are approximately inversely proportional to . The extrapolated intercepts at the -coordinate from and give the values of and , respectively. The extracted parasitic resistances are and , respectively. In addition, the extrinsic inductances can be determined at high frequencies (and high ) from the imaginary parts of the -parameters. At high frequencies, the imaginary parts of the -parameters are deduced as follows:

(17) IV. OPEN-COLLECTOR BIAS FOR

AND

The open-collector method is used to extract the values of the extrinsic inductances and resistances [11]–[13]. The opencollector condition is achieved by biasing the HBT at a forward bias and especially at a high base current to make the collector current equal to zero. The T-model, as shown in Fig. 3, including ac current crowding, base contact capacitance, and extrinsic base–collector resistance is used to represent the equivalent circuit of the HBT under an open-collector bias condition. The T-model here is also derived from the active-bias mode circuit. and in Fig. 3 are the dynamic resistances of the base–emitter and base–collector junction under forward bias, respectively. At low frequencies, the real parts of the -parameters can be deduced as follows:

(14)

(18) (19) where and . The imaginary part in (17) is larger than that in (18) because the existence of and . Hence, in the presence of and , higher base current is required to obtain the straight line of versus frequency. The imaginary parts of and versus frequency are shown in Fig. 4(a) and (b), respectively. Fig. 4(a) indicates that the slopes for both and versus frequency become constant at mA, thus and can be obtained from the slope, respectively. The calculated and are 0.0785 and 0.109 nH, respectively, but the curves for versus frequency, as shown in Fig. 4(b), still have the capacitive effect even at mA. To obtain the straight line, the current needs

3644

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

0

Fig. 5. Frequency dependence of real part of (Z Z ). The total base resistance and contact-base resistance are determined at the low and high frequency, respectively.

B. Extrinsic-Base Resistance Resistance

and Intrinsic Base

from the extrinsic shell is expressed as follows: (22) Assume

0

Fig. 4. Frequency dependence of: (a) Im(Z Z ); Im(Z ) and (b) Im(Z Z ) at I = 0, and I = 2–14 mA, step = 2 mA.

0

to be very large (in this case, 50 mA). Moreover, the injection current should be high enough to obtain the value. The high current may damage the HBT devices, and the value of and obtained at the high current may not be accurate. Hence, a new extraction procedure of and in the presence of both ac emitter current crowding and contact-base capacitance is proposed in Section V. V. ACTIVE BIAS FOR THE CIRCUIT PARAMETERS After the pad parasitic capacitance and most of the extrinsic parameters ( and ) have been determined, the remaining circuit parameters can be determined in the active mode. As shown in Fig. 1, the -parameters related to the extrinsic shell in an HBT under an active bias condition are used in Section V-A. A. Base–Collector Capacitance (

and

)

At high frequencies where are agreed and both are assumed, then from (6) and (7)

and and

. At extremely low frequencies , and , the total base resistance can then be obtained from the at low frequencies as follows: (23) in (22) apAt high frequencies, the real part of proaches zero, while . Thus, the real part of is equal to . Moreover, in the condition of with small , the real part of is approximately approaching to . The frequency dependence of the real part of is shown in Fig. 5. The extracted and are 16 and 100 , respectively. The decrease in with increasing frequency obviously shows the effect of ac emitter crowding. Once and are obtained, can be deduced. C. Intrinsic Base Capacitance Contact-Capacitance

, Base Inductor

At high frequencies, the imaginary part of proximated as

(20) Hence, the total base–collector capacitance be obtained from and (20) as follows:

, and is ap-

(24)

can and (24) can be further deducted at frequency inary part of is zero as follows:

when the imag-

(21) where

.

(25)

TANG et al.: NEW EXTRACTION TECHNIQUE FOR COMPLETE SMALL-SIGNAL EQUIVALENT-CIRCUIT MODEL OF InGaP/GaAs HBT

TABLE I EQUIVALENT-CIRCUIT ELEMENTS (V

0

Fig. 6. Frequency dependence of Im(Z Z ) at V 150 A. As shown, L is approximately equal to the slope.

= 4 V,

Fig. 7. Extracted contact-base capacitance C versus frequency at V I = 150 A.

where

I

= 4 V,

(26) and is approximated as

,

=4V

;I

= 150

A)

=

is

Since (25) can be neglected and

3645

in

(27) At high frequencies, the value of becomes positive when the inductive effect dominates, as shown in Fig. 6. is approximately equal to the slope of the curve versus frequency in the region where the value of is positive. Once is known, can be obtain in (27). Since the term of approaches pure imaginary at high frequencies, can be determined from the imaginary part of (22) in high frequencies and is approximated as

(28) Once and are known, is determined. The frequency dependency of is shown in Fig. 7. In this device, the extracted value of F, , and nH, respectively. They show

Fig. 8. Comparisons of calculated and measured base transport factor (! ) at V = 4 V.

that in (26) is indeed smaller than and can be neglected in the determination of . The other parameters, such as base–emitter dynamic resistance , base–emitter capacitance , and base–collector resistance can be determined using the methods described in [3], [10], and [14], respectively. The extracted values are listed in Table I. Three unknown parameters and in (5) can be extracted using the same procedure in [10]. Measured and calculated magnitudes of at different are plotted in Fig. 8. The observed decrease in with increasing is due to the current-induced base width at high current . If is high enough to compensate the ionized donor in the depleted collector region, then the current induced base width will increase the base transit time, and thus decrease the value. VI. RESULTS AND DISCUSSION Fig. 9 shows the calculated -parameters of the small-signal equivalent circuit of the HBT including base contact capacitance and ac emitter crowding capacitance compared with the measured data. The comparison shown in Fig. 9 demonstrates good agreement from 0.5 to 40.0 GHz, which verifies the validities of the model and extraction techniques. Table I lists the equivalent-circuit elements of an HBT operating at V and A. The maximum oscillation frequency and current gain cutoff frequency are generally obtained by extrapolating to unity gain of the common-emitter unilateral power gain and short-circuit gain at a slope of 20 dB/decade. The

3646

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

with the slope Hence, the extrapolated 20 dB/decade will be smaller than the actual value. In addition, is expressed as [7]

of

(30)

where

is expressed as

(31)

Fig. 9. Comparisons of the measured (open circle) and calculated S -parameters (solid line) at V = 4 V, I = 150 A over the frequency range of 0.5–40 GHz.

where and are the base–emitter and base–collector junction capacitance, respectively. is the base thickness, is the electron diffusivity, and the value of factor depends on the magnitude of the base quasi-electric field. is the base–collector depletion region and usually approximated to collector thickness, and is the saturation velocity. In (30) and (31), unless the intrinsic base resistance is abnormally high or the extrinsic base–collector capacitance is quite large, the term of can be neglected. Equation (31) shows that the current that charges the capacitances mainly injects through the emitter, and it is primarily related to and , and not to the intrinsic base resistance. Hence, the one-pole approximation holds for in (30) and, thus, leads to the slope for versus frequency is closely proximate to 20 dB/decade even with the presence of an ac emitter current crowding effect. VII. CONCLUSION

Fig. 10. Comparisons of measured U ;H , and the calculated U ’s in the presence of C ; C ; U (with C ; C ) is the calculated U including both C and C ; U (with C ) including C only; U (with C ) including C only; U (without C ; C ) not including C and C .

measured unilateral power gain is obtained from the following equation [15]: (29) Fig. 10 shows the measured and the calculated . As shown in Fig. 10, the slope for versus frequency follows 20 dB/decade, but the slope for versus frequency is different from 20 dB/decade. However, the calculated with and agrees well with . To understand the effects of and on , the calculated ’s with/without or are plotted. These calculated curves of show that is the primary attribution to cause the deviation of at high frequency, and the effect of on is neglected. In this study, the slope for versus frequency with the ac emitter current crowding is approximated as 15 dB/decade.

In summary, we have presented a complete small-signal T-type equivalent circuit including the base contact impedance and current crowding effect for an HBT. The base contact impedance and current crowding effect are all modeled as parallel circuits. A novel parameters extraction method to directly determine the equivalent-circuit elements without the numerical optimization is deduced. It is based on the analysis of the two-port parameters of multibias equivalent circuits, which are derived from an active bias model for consistency. At high frequencies, the slope for unilateral power gain versus frequency is larger than 20 dB/decade in the presence of ac current crowding effect. Hence, will be underestimated if 20 dB/decade is used to extrapolate, but the slope for versus frequency obeys 20 dB/decade. By considering both base contact impedance and intrinsic base impedance effects in our small-signal equivalent circuit, good agreement between calculated and measured -parameters have demonstrated. The unilateral power gain at high frequencies is especially well modeled. REFERENCES [1] H. H. Berger, “Models for contacts to planar devices,” Solid State Electron., vol. 15, pp. 145–147, Jun. 1972. [2] D. Costa, W. Liu, and J. S. Harris, Jr., “Direct extraction of the AlGaAs/GaAs heterojunction bipolar transistor small-signal equivalent circuit,” IEEE Trans. Electron Devices, vol. 38, no. 9, pp. 2018–2024, Sep. 1991.

TANG et al.: NEW EXTRACTION TECHNIQUE FOR COMPLETE SMALL-SIGNAL EQUIVALENT-CIRCUIT MODEL OF InGaP/GaAs HBT

[3] J. M. M. Rios, “A self-consistent method for complete small-signal parameter extraction of InP-based heterojunction bipolar transistors,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 39–45, Jan. 1997. [4] R. L. Pritchard, Electrical Characteristics of Transistors. New York: McGraw-Hill, 1967. [5] “Information about the most recent model descriptions, source code, and documentation,” Philips Semiconductors, Eindhoven, The Netherlands, 2005. [Online]. Available: http://www.semiconductors.Philips. com/Philips_Models [6] H. S. Rhee, S. Lee, and B. R. Kim, “DC and AC current crowding effects model analysis in bipolar junction transistors using a new extraction method,” Solid State Electron., vol. 38, no. 1, pp. 31–35, 1995. [7] W. Liu, Handbook of III–V Heterojunction Bipolar Transistors. New York: Wiley, 1998. [8] M. Ida, K. Kurishima, and N. Watanabe, “Over 300 GHz f and f InP/InGaAs double heterojunction bipolar transistors with a thin pseudomorphic base,” IEEE Trans. Electron Devices, vol. 23, no. 12, pp. 694–696, Dec. 2002. [9] K. Washio, E. Ohue, R. Hayami, A. Kodama, H. Shimamoto, M. Miura, K. Oda, I. Suzumura, T. Tominari, and T. Hashimoto, “High-speed scaled-down self-aligned SEG SiGe HBTs,” IEEE Trans. Electron Devices, vol. 50, no. 12, pp. 2417–2424, Dec. 2003. [10] D. R. Pehlke and D. Pavlidis, “Evaluation of the factors determining HBT high-frequency performance by direct analysis of S -parameter data,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2367–2373, Dec. 1992. [11] Y. Gobert, P. J. Tasker, and K. H. Bachem, “A physical, yet simple, small-signal equivalent circuit for the heterojunction bipolar transistor,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 149–153, Jan. 1997. [12] S. Bousnina, P. Mandeville, A. B. Kouki, R. Surridge, and F. M. Ghannouchi, “Direct parameters-extraction method for HBT small-signal model,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 2, pp. 529–536, Feb. 2002. [13] C. J. Wei and J. C. M. Huang, “Direct extraction of equivalent circuit parameters for heterojunction bipolar transistors,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 9, pp. 2035–2039, Sep. 1995. [14] A. Samelis and D. Pavlidis, “DC to high-frequency HBT-model parameter evaluation using impedance block conditioned optimization,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 6, pp. 886–897, Jun. 1997. [15] M. S. Gupta, “Power gain in feedback amplifiers, a classic revisited,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 5, pp. 864–879, May 1992.

3647

Wen-Bin Tang was born in Taoyuan, Taiwan, R.O.C., in 1962. He received the B.S. and M.S. degrees in physics from TamKang University, Taiwan, R.O.C., in 1985 and 1988, respectively, and is currently working toward the Ph.D. degree in electrical engineering at National Central University, Jhongli, Taiwan, R.O.C. His current research interests include microwave characterization and modeling of HBTs, and RF integrated-circuit design for wireless communication applications.

Che-Ming Wang was born in PingTung, Taiwan, R.O.C., on July 5, 1979. He received the B.S. degree in electrical engineering from Feng-Chia University, Taichung, Taiwan, R.O.C., in 2001, and is currently working toward the Ph.D. degree at National Central University, Jhongli, Taiwan, R.O.C. His research interests include InGaP/GaAs HBTs, InGaAs/InP double heterojunction bipolar transistors (DHBTs), and power amplifier design.

Yue-Ming Hsin (S’91–M’92–SM’05) was born in Tainan, Taiwan, R.O.C., in 1965. He received the Ph.D. degree in electrical engineering from the University of California at San Diego, La Jolla, in 1997. In 1997, he joined Anadigics, Warren, NJ, where he was involved in the development of MESFETs and pseudomorphic high electron-mobility transistors (pHEMTs) for wireless and optical fiber communications. In 1998, he joined the Department of Electrical Engineering, National Central University, Jhongli, Taiwan, R.O.C. From 2004 to 2005, he was a Visiting Associate Professor with the University of Illinois at Urbana-Champaign. His research interests include the development of devices and circuits based on Si/SiGe, III–V compound, and heterostructure semiconductors. Dr. Hsin is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan.

3648

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Subharmonically Pumped Millimeter-Wave Upconverters Based on Heterostructure Barrier Varactors Haiyong Xu, Member, IEEE, Yiwei Duan, Member, IEEE, Jeffrey L. Hesler, Member, IEEE, Thomas W. Crowe, Senior Member, IEEE, and Robert M. Weikle II, Senior Member, IEEE

Abstract—A heterostructure barrier varactor subharmonic upconverter is investigated for the first time. Odd sidebands are suppressed due to the symmetric capacitance–voltage (C–V) characteristic of the varactor and high output power is possible through epitaxial stacking of barriers. The upconverter is pumped using a millimeter-wave local oscillator, and can be used as a transmission-type double-sideband suppressed carrier modulator. The initial measured conversion loss is 8–16 dB at 100 and 200 GHz. The results are significantly improved by using external impedance tuners, which is verified through both simulations and measurements. Index Terms—Converters, heterostructure barrier varactor (HBV), millimeter-wave modulation, varactors.

I. INTRODUCTION HE heterostructure barrier varactor (HBV) diode was first proposed in 1989 as a device technology for realizing high-order frequency multipliers at millimeter and submillimeter wavelengths [1], [2]. Since the HBV exhibits a symmetric capacitance–voltage (C–V) characteristic, it inherently generates odd-order harmonics of an applied pump signal, making it suitable for direct frequency tripling without the need for intermediate idling circuits or dc bias [3]–[6]. Moreover, the device structure readily permits stacking of epitaxial layers, which provides a convenient means for tailoring the device impedance and power-handling capacity. Although the HBV has received considerable attention over the past decade for its use as a frequency multiplier, few researchers have investigated exploiting the properties of this device for other applications such as parametric upconversion or sideband generation (SBG). To date, the majority of the sideband generators reported in the literature have been based on the abrupt-junction Schottky-barrier diode. Schottky diode upconverters were exhaustively researched in the early 1960s for their application to multichannel frequency division communication

T

Manuscript received January 7, 2006; revised July 7, 2006. This work was supported by the U.S. Army National Ground Intelligence Center under Contract DASC01-01-C-0009 and by the Department of Defense under Grant AROMURI-DAAD19-00-R-0010. H. Xu is with the Department of Physics, University of Virginia, Charlottesville, VA 22904-4714 USA (e-mail: [email protected]). Y. Duan, J. L. Hesler, and T. W. Crowe are with Virginia Diodes Inc., Charlottesville, VA 22902-6172 USA. R. M. Weikle II is with the School of Engineering and Applied Science, University of Virginia, Charlottesville, VA 22904-4743 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882890

systems [7]–[10]. Although the advent of GaAs- and InP-based field-effect transistors during the 1970s and 1980s largely eliminated the need for parametric upconverters for communication systems, varactor-based sideband generators have remained a viable and useful technique for realizing tunable sources at submillimeter wavelengths. State-of-the-art sideband generators based on Schottky diodes have yielded conversion losses near 30 dB with an power output of 10 W at 1.6 THz when the devices are utilized as resistive upconverters (or amplitude modulators) [11]. Alternatively, sideband generators employing Schottky varactors as phase modulators operating at 1.6 THz have produced conversion losses as low as 14 dB with an associated output power of 55 W [12]. In this paper, a different approach to frequency upconversion that is based on the unique properties of the HBV is described and investigated. In particular, the HBVs symmetric C–V characteristic only permits generation of even-order sideband products at frequencies of , where is the frequency of the large-signal pump and is the frequency of the applied small-signal input. Consequently, when used in this mode, the HBV upconverter acts effectively as a double-sideband suppressed carrier (DSB-SC) modulator. II. CIRCUIT AND DEVICE DESIGN Two implementations of the subharmonically pumped upconverter are investigated in this study—one designed for operation at 100 GHz (with a 50-GHz pump) and a scaled version for 200-GHz operation (with a 100-GHz pump). The device structure and circuit design for these upconverters are detailed below. A. Device Parameters The HBV devices used in this study were fabricated in the University of Virginia’s Microfabrication Laboratory. The device material was grown with molecular beam epitaxy (MBE) by Globe Communication Semiconductors Inc., Torrance, CA, and the parameters for the overall device structure are given in Table I. From this material, a variety of single-device HBV chips with various mesa areas (ranging from 30 to 50 m ) were fabricated using the process described by Duan et al. [13] and Xu et al. [14]. A scanning electron micrograph of a finished device (prior to dicing) is shown in Fig. 1. The measured zero-bias junction capacitance of the HBVs vary from 30 fF (for 30- m devices) to 60 fF (for 50- m devices). Due to the symmetric layout of the device (illustrated

0018-9480/$20.00 © 2006 IEEE

XU et al.: SUBHARMONICALLY PUMPED MILLIMETER-WAVE UPCONVERTERS BASED ON HBVs

3649

TABLE I HBV EPITAXIAL LAYER CHARACTERISTICS

Fig. 3. Measured current characteristic of a four-layer 30-m HBV chip for bias voltages ranging from 15 to 15 V.

0

+

Fig. 4. Equivalent-circuit model for an HBV upconverter. It consists of variable capacitor C , series resistor R , finger inductor L , finger-to-pad capacitor C , and pad-to-pad capacitor C .

Fig. 1. Scanning electron micrograph of an HBV chip with the mesa in the middle of the picture, and fingers and contact pads on the sides.

Fig. 5. Equivalent circuit used to simulate the HBV upconverter.

resistor , a finger inductor , a finger-to-pad capacitor , and a pad-to-pad capacitor . The epitaxial layer series resistance of the 30- m -area HBV chip is estimated to be 4 [4]. Fig. 2. Measured capacitance characteristic of a four-layer 30-m HBV chip for bias voltages ranging from 15 to 15 V.

0

+

in Fig. 1), which consists of two air-bridge finger contacts, the chips comprise two sets of epilayers in series, totaling four barriers. Measured capacitance and current versus voltage relations for a typical device are given in Figs. 2 and 3. An equivalent-circuit model for the HBV chip is shown in Fig. 4. The HBV is modeled as a variable capacitor , a series

B. Circuit Design and Simulation Agilent’s Advanced Design System (ADS) software is initially used to determine the conversion loss of the upconverter (defined as the power ratio of the output sideband to the input microwave signal). The overall upconverter equivalent-circuit model is shown in Fig. 5. A millimeter-wave local-oscillator (LO) source is used to pump the HBV. The device is described, for simulation purposes, by the quasi-static model given by Stake et al. [5] and Dillner et al. [6]. Equivalent-circuit models

3650

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 7. Simulated conversion gain with IF terminated in 1500 . Fig. 6. Conversion gain contour map of a 100-GHz HBV upconverter with IF impedance set to 1500 .

for the devices were determined directly from the HBV physical parameters by the relations [5]

(1) (2) where is the number of barriers, is the barrier thickness, is the undoped spacer layer thickness, is the device area, is the doping concentration in the modulation layer, and are the dielectric constants in the barrier material and modulation layer, respectively, is the device temperature, is the elementary charge, and is the charge stored in the HBV. The HBV parameters specific to the current study are nm, nm, cm , and . To bring the simulated device performance into agreement with the measured C–V characteristics, an additional parasitic capacitor of fF was included in the model, as shown in Fig. 5. A conversion gain contour map corresponding to different embedding impedances is shown in Fig. 6. For this simulation the IF embedding impedance was fixed at 1500 , which is the optimum value as determined by simulation. The simulation predicts a 3-dB conversion gain can be achieved. However, it is difficult to realize a wideband IF impedance transformer from 50 to 1500 . Therefore, the design involves a tradeoff between conversion gain and bandwidth. The simulated optimum conversion gain over a 10-GHz frequency range is shown in Fig. 7. Various available HBV chips were used in this simulation with the IF impedance set at 50 . For the 100-GHz upconverter, the HBV chip with 60-fF zero bias junction capacitance was chosen as closest to optimum where a conversion loss of 9 dB was achieved. The HBV chips were flip-chip mounted to the upconverter circuits across a 75- m gap in the microstrip line. A diagram of the circuit architecture is shown in Fig. 8. The millimeter-wave pump signal enters from a -band waveguide channel and couples through a waveguide-to-microstrip transition and low-pass filter to the HBV. The output sideband (at ) is blocked by the low-pass filter and couples to the

Fig. 8. Diagram of the subharmonically pumped HBV upconverter circuit layout.

Fig. 9. Measurement setup used to characterize the HBV parametric upconverter.

output -band waveguide through a second waveguide-to-microstrip transition. This 100-GHz upconverter design is also scaled for operation at 200 GHz. This scaled version is essentially identical to the 100-GHz design, but with dimensions two times smaller. Based on computer simulations, HBV chips with zero-bias capacitance of 34 fF were chosen as optimum for the 200-GHz upconverter, providing approximately 12 dB of conversion loss without tuners. To verify the modeling, both upconverters were assembled and measured for assessment. III. MEASUREMENT Fig. 9 shows the measurement setup used to characterize the 100-GHz HBV upconverter. The millimeter-wave pump signal at 50 GHz (available power of 300 mW) is generated with a frequency multiplier chain from Virginia Diodes Inc. (VDI model D50) and is fed to the input port of the waveguide.

XU et al.: SUBHARMONICALLY PUMPED MILLIMETER-WAVE UPCONVERTERS BASED ON HBVs

3651

Fig. 10. Measured spectrum of the 200-GHz subharmonically pumped upconverter. The downconverted sidebands occur at 1 and 3 GHz.

Fig. 12. Measured upper sideband conversion loss of the 100- and 200-GHz subharmonically pumped HBV upconverters.

Fig. 11. Measured upper and lower sideband conversion loss of the 100-GHz subharmonically pumped HBV upconverter.

Fig. 13. Conversion loss of the 100-GHz upconverter with optimized impedance.

The microwave signal for upconversion is injected through a subminiature A (SMA) panel mount connector and microstrip feed line. The upconverter output is downconverted with a mixer (HP 11970W) and the resulting output spectrum is measured with a spectrum analyzer (Agilent 8564). A typical output spectrum after down-conversion is shown in Fig. 10. After calibrating for the mixer conversion loss, the 100-GHz HBV upconverter upper and lower sideband conversion losses were measured, and the results are given in Fig. 11. The conversion loss varies from 8 to 16 dB over a 10-GHz frequency range closely matching the ADS simulation. The 200-GHz HBV frequency upconverter measurement setup is based on the 100-GHz version. A doubler is added to the source chain to provide 100 mW of pump power at 100 GHz. In addition, the receiver is replaced with a VDI model SHM WR-5 subharmonic mixer (6-dB double-sideband conversion loss). The measured upper sideband conversion losses for both 100- and 200-GHz HBV upconverters are shown in Fig. 12. The measured result shows that the 200-GHz upconverter conversion loss is approximately 3 dB higher than that of the 100-GHz HBV upconverter. The modulation bandwidth is over 10 GHz for the 200-GHz HBV upconverter. Dielectric and conductive losses are estimated using analytical expressions [15], and the total loss is found to be approximately 0.5 dB. Other possible sources of discrepancy include inaccuracy in the measured value of and load impedance mismatch. To improve the impedance match, RF and IF tuners were added to the 100-GHz upconverter setup. An external doublestub impedance tuner at the microwave signal port and an –

Fig. 14. 100-GHz upconverter measured power relationship between input microwave and output RF.

waveguide tuner at the RF port were placed in the setup. The upper sideband conversion losses for the 100-GHz upconverter with and without the tuner are shown in Fig. 13. Compared with the tunerless case, the conversion loss is improved by approximately 8 dB over a frequency range from 2 to 6 GHz. The measured conversion loss, however, remains larger than that predicted by simulation. One possible reason is that the optimized impedance cannot be achieved with these stub tuners. In addition to loss measurements, the power-handling capacity was tested. The sideband output power was measured with an input microwave signal power at 5 GHz. The output power begins to saturate when the input power reaches 5 dBm. The RF output power, however, will still increase to 5 dBm with 15-dBm microwave power input. The result is shown in Fig. 14.

3652

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

IV. SUMMARY Harmonic HBV frequency upconverters are investigated for the first time. High power-handling capacity can be obtained and odd-order sidebands are suppressed. For the high-frequency pumped 50–100- and 100–200-GHz HBV upconverters, measured conversion losses are between 8–16 dB without an external impedance tuner. These results were improved to be 3 dB with RF and IF impedance tuners. This proof-of-principle investigation will provide valuable information for the design of microwave and millimeter-wave upconverters with the HBV device. ACKNOWLEDGMENT The authors are grateful for the assistance of Prof. B. S. Deaver, Jr. and Prof. A. Isin, both with the Department of Physics, University of Virginia, Charlottesville. In addition, the authors thank Virginia Diodes Inc., Charlottesville, VA, for providing test equipment for characterizing the upconverter.

[14] H. Xu, J. L. Hesler, Y. Duan, T. W. Crowe, and R. M. Weikle, “A heterostructure barrier varactor sideband generator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 3, pp. 2031–2034. [15] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: McGraw-Hill, 1994.

Haiyong Xu (S’00–M’06) received the B.S. and M.S. degrees from the University of Science and Technology of China, Hefei, China, in 1995 and 1998, respectively, the M.Eng. degree from the National University of Singapore, Singapore, in 2001, and the Ph.D. degree in electrical and computer engineering from the University of Virginia, Charlottesville, in 2005. He is currently a Post-Doctoral Research Associate with the Department of Physics, University of Virginia. His current research interests include microwave and millimeter-wave circuit analysis and design, novel device development, and system testing.

REFERENCES [1] E. Kollerg and A. Rydberg, “Quantum-barrier varactor diodes for high efficiency millimeter-wave multipliers,” Electron. Lett., vol. 25, no. 25, pp. 1696–1698, Dec. 1989. [2] T. David, S. Arscott, J. M. Munier, T. Akalin, P. Mounaix, G. Beaudin, and D. Lippens, “Monolithic integrated circuits incorporating InP-based heterostructure barrier varactors,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 8, pp. 281–283, Aug. 2002. [3] J. R. Jones, W. L. Bishop, S. H. Jones, and G. B. Tait, “Planar multibarrier 80/240 GHz HBV’s triplers,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 512–518, Apr. 1997. [4] X. Mélique, A. Maestrini, R. Farré, P. Mounaix, M. Favreau, O, Vanbésien, J. M. Goutoule, F. Mollot, G. Beaudin, T. Märhi, and D. Lippens, “Fabrication and performance of InP-based heterostructure barrier varactors in a 250 GHz waveguide tripler,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 6, pp. 1000–1006, Jun. 2000. [5] J. Stake, S. H. Jones, L. Dillner, S. Hollung, and E. Kollerg, “Heterostructure-barrier-varactor design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 4, pp. 667–682, Apr. 2000. [6] L. Dillner, W. Strupinski, S. Hollung, C. Mann, J. Stake, M. Beardsley, and E. Kollerg, “Frequency multiplier measurements on heterostructure barrier varactors on a copper substrate,” IEEE Trans. Electron Device Lett., vol. 21, no. 5, pp. 206–208, May 2000. [7] P. Penfield and R. P. Rafuse, Varactor Applications. Cambridge, MA: MIT Press, 1962. [8] B. S. Perlman, “Current-pumped abrupt-junction varactor power frequency converters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 150–161, Mar. 1965. [9] J. G. Gardiner and S. I. Ghobrial, “Distortion performance of the abrupt-junction current-pumped varactor frequency converter,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 3, pp. 150–161, Mar. 1965. [10] A. I. Grayzel, “The overdriven varactor upper sideband upconverter,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 10, pp. 561–565, Oct. 1965. [11] E. R. Mueller and J. Waldman, “Power and spatial mode measurements of sideband generated, spatially filtered, submillimeter radiation,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 10, pp. 1891–1895, Oct. 1994. [12] D. S. Kurtz, J. L. Hesler, T. W. Crowe, and R. M. Weikle, “Submillimeter-wave sideband generation using varactor Schottky diodes,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 11, pp. 2610–2617, Nov. 2002. [13] Y. Duan, Q. Xiao, J. L. Hesler, and T. W. Crowe, “Heterostructure barrier varactor frequency triplers to 220–325 GHz,” in 14th Int. Space Terahertz Technol. Symp., Apr. 2003, pp. 229–306.

Yiwei Duan (S’01–M’05) was born in Xining, China, in 1973. He received the B.S. and M.S. degrees in physics from the University of Science and Technology of China (USTC), Hefei, Anhui, China, in 1994 and 1997, respectively, and the Ph.D. degree in electrical engineering from the University of Virginia, Charlottesville, in 2004. From 1995 to 1996, he was a Research Assistant with the National Synchrotron Radiation Laboratory (NSRL), USTC, where he was involved in the study of the surface nitridation of III–V compound semiconductors (GaAs and InP) promoted by alkali metals (Na and K). From 1998 to 2003, he was a Research Assistant with the Microfabrication Laboratory, University of Virginia, where he was involved in the development of the 100/300and 40/200-GHz InP-based InGaAs/InAlAs HBV frequency multipliers, and 260/780-GHz InGaAs-based low barrier Schottky diode frequency triplers. He is currently with Virginia Diode Inc., Charlottesville, where he develops frequency multipliers, frequency mixers, and detectors in the terahertz frequency range.

Jeffrey L. Hesler (S’88–M’89) was born in Seattle, WA, on July 8, 1966. He received the B.S.E.E. from the Virginia Polytechnic Institute and State University, Blacksburg, in 1989, and the M.S.E.E. and Ph.D. degrees from the University of Virginia, Charlottesville, in 1991 and 1996, respectively. He is a member of the Board of Directors and shareholder of Virginia Diodes Inc., Charlottesville, VA, as well as the corporation’s Vice-President. In addition, he is affiliated with the University of Virginia, as a Visiting Research Assistant Professor with the Department of Electrical and Computer Engineering. His research interests include millimeter- and submillimeter-wave device and circuit design, modeling, and testing. His current research interests include the development of compact terahertz sources and mixers. He has authored over 70 technical papers in refereed international conferences and journals.

XU et al.: SUBHARMONICALLY PUMPED MILLIMETER-WAVE UPCONVERTERS BASED ON HBVs

Thomas W. Crowe (S’82–M’82–SM’95) received the B.S. degree in physics from Montclair State College, Montclair, NJ, in 1980, and the M.S.E.E. and Ph.D. degrees from the University of Virginia, Charlottesville, in 1982 and 1986, respectively, both in electrical engineering. In March 1986, he joined the faculty of the University of Virginia, as a Research Assistant Professor of electrical engineering, and became a Research Professor in August 1997. From 1987 to 2003, he was the Director of the Semiconductor Device Laboratory. He has authored over 50 journal publications and directed 12 Ph.D. dissertations. He is also a founder and President of Virginia Diodes Inc. (VDI), Charlottesville, VA. His career has focused on the creation of the technology necessary to open the terahertz portion of the electromagnetic spectrum for routine scientific and commercial exploitation. He has led the research team that has developed and fabricated many of the best GaAs Schottky barrier diodes for terahertz applications including radio astronomy, plasma diagnostics, and studies of the chemistry of the upper atmosphere. Integrated diode circuits now being developed by VDI are opening the way for greater levels of system integration and increased reliability, making possible a host of new applications in this critical frequency range.

3653

Robert M. Weikle II (S’89–M’92–SM’05) received the B.S. degree in electrical engineering and physics from Rice University, Houston, TX, in 1986, and the M.S. and Ph.D. degrees from the California Institute of Technology, Pasadena, in 1987 and 1992, respectively. During 1992, he was a Post-Doctoral Research Scientist with the Department of Applied Electron Physics, Chalmers University of Technology, Göteborg, Sweden. In 1993, he joined the faculty of the University of Virginia, Charlottesville, where he is currently an Associate Professor of electrical engineering. His current research interests include submillimeter electronics, high-frequency instrumentation and measurement systems, and quasi-optical techniques for millimeter-wave power combining, imaging, and beam forming.

3654

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Closed-Loop Nonlinear Modeling of Wideband Fractional-N Frequency Synthesizers

61

Hiva Hedayati, Student Member, IEEE, Bertan Bakkaloglu, Member, IEEE, and Waleed Khalil, Member, IEEE

61

Abstract—Wideband low-noise fractional- synthesizers pose several design challenges due to the nonlinear time-varying nature of synthesizer building blocks such as phase frequency detectors (PFDs), charge pump, and frequency dividers. Loop nonlinearities can increase close-in phase noise and enhance spurious tones due to intermodulation of high-frequency quantization noise and tonal content; therefore, an accurate simulation model is critical for successful implementation of loop parameters and bandwidth widening techniques. In this paper a closed-loop nonlinear simulation model for fractional- synthesizers is presented. Inherent nonuniform sampling of the PFD is modeled through an event-driven dual-iteration-based technique. The proposed technique generates a vector of piecewise linear time–voltage pairs, defining the voltage-controlled oscillator (VCO) control voltage. This method also lends itself to modeling of cyclostationary thermal and flicker noise generated by time-varying charge-pump current pulses. A flexible third-order modulated RF synthesizer core with integrated loop filter and LC-tank VCO is designed and fabricated in 0.13- m CMOS process in order to validate the technique experimentally. The proposed modeling technique was able to predict in-band spur power levels with 1.8-dB accuracy, and spur frequency offsets with lower than 400-Hz accuracy with several programmable nonidealities enabled.

61

Index Terms—Fractionalfrequency synthesizers, phaselocked loops (PLLs), phase noise, quantization noise, sigma–delta modulation, spurs.

I. INTRODUCTION RACTIONALsynthesizers are becoming increasingly attractive for high spectral purity applications since they offer fine frequency resolution while achieving low phase noise [1]–[6]. Traditional fractional- frequency synthesizer designs have been used in narrow loop bandwidth, single standard radios where channel spacing and modulation bandwidth is fixed. Future broadband wireless standards utilizing dense modulation schemes such as orthogonal frequency division multiplexing (OFDM) are presenting new challenges to fractionalsynthesizers in several areas as follows. 1) The synthesizer should cover multiple bands across a wide frequency range. 2) It should dynamically support different channel bandwidths for link adaptation and variable data rates.

F

Manuscript received February 21, 2006; revised June 28, 2006. This work was supported by the Semiconductor Research Cooperation under Award 2005-HJ1323. H. Hedayati and B. Bakkaloglu are with the Ira A. Fulton School of Engineering, Arizona State University, Tempe, AZ 85287-08406 USA (e-mail: [email protected]). W. Khalil is with the Intel Corporation, Chandler, AZ 85226 USA. Digital Object Identifier 10.1109/TMTT.2006.882872

3) It should have low integrated phase noise over multiple frequency decades allowing wide range of OFDM subchannel frequencies. 4) It should enable a fast settling time between transmit and receive time slots and between different transmission frequencies. For example, for the emerging wireless broadband access standard 802.16 (WiMAX), the synthesizer is required to span a frequency range from 2 to 5.5 GHz with adjusted channel bandwidths ranging from 1.25 to 20 MHz while achieving less than 1 of integrated phase noise over a frequency range that can start as close as 100 Hz and extend to multiple megahertz [7], [8]. These requirements demand fast settling, high spectral purity, and wideband RF synthesizers. In a typical fractional- synthesizer, the quantization noise generated by the divider controller is filtered by the loop filter; therefore, loop bandwidth cannot be widened arbitrarily [5], [6]. Deterministic quantization noise generated by the divider controller can be utilized to cancel in-band noise, enabling a wideband loop filter. The correction charge can be generated by a separate D/A converter [9], [10] or by integrating the D/A converter into performing fractional division (PFD) itself [11], [12]. These bandwidth extension techniques depend on precise matching of timing and loop parameters between calibration circuitry and the RF synthesizer core. Although analog techniques can be utilized to minimize these matching errors, short time constants associated with wideband synthesizers make this approach difficult. Another critical problem with wideband fractionalfrequency synthesizers is reduced suppression of high energy spurious tones generated by the digital noise shaper. Due to the time-varying nonlinear nature of fractional- synthesizers, out-of-band quantization noise and spurious emissions can intermodulate and fold in-band, increasing rms phase error. Therefore, a comprehensive closed-loop simulation model that can incorporate time-varying nonlinear behavior of an RF synthesizer is critical for performance prediction of bandwidth extension circuits. As noted in earlier publications [13], utilizing a fixed time step, a transient modeling technique for fractional- PLLs gives rise to very long simulation times, and they require big memory size. This is mainly due to high over-sampling required to capture divider edge variations specific to fractional- synthesizers. Several modeling and simulation approaches have been proposed to predict the spectral performance of fractional- synthesizers [13]–[17]. In [13] and [16], a fast linear time-domain simulation technique is proposed. This time-domain approach utilizes a parameterized impulse sequence for the PFD output based on the modulator output sequence,

0018-9480/$20.00 © 2006 IEEE

HEDAYATI et al.: CLOSED-LOOP NONLINEAR MODELING OF WIDEBAND

61

Fig. 1. Top level diagram of the fractionaltrating modeling and simulation variables.

FRACTIONAL-

FREQUENCY SYNTHESIZERS

3655

N frequency synthesizer illus-

enabling a fast phase-noise analysis. In the feedback path of the synthesizer, the frequency divider works on a sampled version of the phase deviations at the VCO output. In [17], a similar linear model is used to predict jitter in fractional- PLLs. In [14], a similar event-driven technique is utilized with modeling PFD current pulse nonlinearities, voltage-controlled oscillator (VCO) tuning curve nonlinearities, as well as a charge-pump dead zone. The feedback path for this model assumes that the VCO frequency is fixed at its nominal value with an ideally locked loop condition. The loop is closed by monitoring the number of RF pulses at the divider output, which is controlled by the modulator. In [15], a combined time- and frequency-domain model is proposed. This model addresses several nonidealities, including dynamic and static mismatches in charge-pump PFD, PFD reset delays, and time-varying duty cycle of the charge-pump, with an assumption of the VCO output frequency being constant. In this paper, an event-driven closed-loop nonlinear simulation technique for accurate phase noise and spurious tone modeling of fractional- synthesizers is presented. The proposed technique generates a vector of time–voltage pairs at charge-pump update intervals, defining a time-domain piecewise linear VCO control voltage. The piecewise linear time series is utilized to update divider output for the next iteration step. Compared to ideally locked models, where VCO output frequency is assumed to be fixed during a reference cycle, this iterative model captures the transient nature of the VCO instantaneous output frequency and predicts spurious content with higher accuracy. Fig. 1 represents the top-level diagram of a typical fractional- frequency synthesizer and associated loop signals that are used to demonstrate the modeling approach. This paper is organized as follows. In Section II, sources of systematic spurious emissions are summarized and sources of systematic and random nonlinearities in frequency synthesizers are presented. The proposed closed-loop nonlinear modeling technique is illustrated in Section III. In Section IV, the proposed modeling approach is compared with experimental data utilizing a flexible monolithic CMOS fractional- synthesizer with a third-order modulator. A comparison of this approach with respect to previous nonlinear modeling approaches is also provided in Section IV. II. SPURIOUS EMISSIONS AND NONLINEARITIES A. Sources of Spurious Tones There are several random and periodic interferences that can cause spurious tones in an integrated RF synthesizer [9]. Here,

Fig. 2. Typical tristate charge-pump PFD and loop filter, modeling nonlinearities.

we describe the following three main sources of systematic spurs in fractional- synthesizers. 1) Fractional spurs: For ideal noise shaping, where digital modulator output has minimum spurious emissions, successive input samples to the modulator should be uncorrelated [18]. However, in fixed frequency fractionalsynthesizer applications, the input to the modulator can be a static dc signal. Most digital modulator implementations utilize statistical dithering, but can still suffer from high-power discrete spectral tones at their output [18]–[20]. Fractional spurs are usually located at offset frequencies of , where is the accumulator size of the modulator, is the digital input to the modulator, and is the reference frequency [6]. Spurious tone frequencies due to the modulator control word from the digital noise shaper could be characterized with autocorrelation techniques. 2) Reference spurs: Fractional- synthesizers can also exhibit reference spurs due to modulation of the loop filter by the reference clock, which generates sidebands at and its harmonics with respect to the carrier [21]. The reference spurs are mainly due to leakage current in the PFD and static/dynamic mismatch between the up/down currents in the charge pump, which results in a static phase deviation at the input of PFD. The relative reference spur level to the carrier signal is related to the phase error by (1) is the charge-pump leakage current, where is the loop filter transfer function, is the VCO gain, and represents the integer harmonics of the reference clock . For greater than PLL unity gain frequency, the second-order loop filter shown in Fig. 2 can be approximated as . Substituting loop filter impedance in (1) yields (2) When the loop is in the locked condition, charge-pump mismatch dominates and the effect of charge-pump leakage can be neglected. However, for deep-submicrometer CMOS processes, specifically for effective gate lengths below 100 nm, leakage has a considerable effect that can no longer be ignored in the spur characterization.

3656

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

For the case of an integer- PLL in a locked state, the PFD generates a reset pulse with finite width. The charge-pump current mismatch generates an extra charge in the duration of this reset pulse. This charge gets integrated in the LPF, creating a periodic voltage signal that modulates the VCO frequency at the reference frequency. However, for the fractional- case, the loop is never locked, as the divider ratio could be changing in every cycle. Therefore, the effect of the charge-pump current mismatch is greater than the integer- case since more periodic error charge is generated. On the other hand, in typical fractionalsynthesizers, the reference frequency is selected to be much higher than the integer- case since it is not limited to channel spacing. With lower loop bandwidths, reference spurs can be further attenuated in fractional- synthesizers compared to wideband integer- synthesizers. 3) Near-integer boundary spurs: Near-integer boundary spurs appear when the frequency difference between the VCO output and closest integer related output frequency is within the loop bandwidth of the PLL. Since these spurs are below the bandwidth of the PLL, they are filtered minimally. All digital communication systems require that the power spectral density at the transmitter output falls below a predetermined mask. For example, in the global system for mobile communication (GSM) with 26-MHz reference frequency at a channel frequency of 884.4 MHz, the near-integer spur at 400-kHz offset falls right at the critical point of GSM transmit mask requirements, creating a formidable design challenge. The spurs tend to lessen when the VCO drive level into the loop divider port is lowered. This mechanism can also generate two power sub-harmonics of the reference frequency. The spectral impurities stated above pass through different filtering provided by the phase-locked loop (PLL) ac response. The spectral content at the fractional- frequency synthesizer output can be defined in terms of continuous (Gaussian) and discrete (tonal) spectral components represented by and in the following equation: (3) where is the total output phase-noise power spectral density. The continuous part of the noise spectral density at the synthesizer output is defined by (4) where , , and are the contributions from charge-pump PFD, VCO and modulator quantization noise. and can be extracted from the linearized ac model of the PLL [13] (5) (6) where noise.

is the charge-pump noise and represents the VCO is the closed-loop transfer function of PLL and can

be defined based on the open-loop transfer function of the PLL as follows: (7) Given the noise transfer function of an th-order modulator is , the spectral density of the quantization noise can be calculated as (8) where is the sampling frequency. Using the spectral density of the modulator quantization noise, the impact of the randomized quantization noise on the PLL output can be expressed as follows:

(9) Due to time-varying nonlinear characteristics of the synthesizer, the noise and tonal content can be enhanced, intermodulated at different frequency offsets within the loop bandwidth. B. Loop Nonlinearities Nonlinearities in PLL building blocks, mainly due to chargepump PFD I/O characteristics, result in a significant increase in phase-noise floor. This increase is usually due to down-conversion of high-frequency tones and folding of shaped quantization noise in the modulator output spectrum [5], [6], [10], [23], [24]. Major error sources in a PFD can include dead zone, leakage current in the charge pump, mismatch between up and down charge-pump current sources, and switching transient mismatch in charge-pump circuits. Several approaches have been proposed to alleviate the effect of these error sources. In [5], a programmable charge pump is designed in order to minimize turn-on time of the PFD without creating a dead zone. In [6], a zero dead-time PFD with a dual charge pump is employed to minimize spurious emissions and nonlinearities. In [23], charge-pump PFD is forced to work in a linear region by injection of periodic current pulses into the loop filter. In [10], a pair of extended duration up/down pulses are generated from the PFD, controlling an equal current charge pump working in parallel. This approach improves the linearity of the PFD by reducing the problem from mismatch between pMOS and nMOS transistors to mismatch between the same type of transistors. In [24], a fast transient response PFD is utilized to minimize the dead zone. The charge-pump PFD I/O characteristic corresponding to small phase errors at its input is the most nonlinear portion of its transfer function. This nonlinearity can increase in-band phase noise even after linearization techniques are employed [23]. A typical tristate PFD and charge-pump circuit is shown in Fig. 2. Critical signals and associated timing in a charge-pump PFD with moderate phase error between the reference edge and divided VCO edge is shown in Fig. 3. and represent charge-pump currents with different settling behavior and mismatched dc levels. Time delay represents the digital delay in the reset path of the PFD to alleviate the dead-zone problem,

HEDAYATI et al.: CLOSED-LOOP NONLINEAR MODELING OF WIDEBAND

FRACTIONAL-

FREQUENCY SYNTHESIZERS

3657

Fig. 3. Time-domain illustration of the PFD-CP associated signals for moderate phase errors at the charge-pump PFD input.

Fig. 4. Time-domain illustration of the charge-pump PFD signals with phase error close to zero.

and are the rise- and fall-time constants of the current source in the charge pump, and and are the riseand fall-time constants of the current sink. represents the period of the reference signal and is the time equivalent of the phase error between reference and divider output signals in the PFD input. Residual correction charge after a phase-error correction cycle is represented by . As shown in Fig. 4, with the phase error approaching zero, the contribution of error sources to PFD nonlinearity increases dramatically. Around 0 phase error, the nonidealities discussed earlier would start impacting the spectral response of the fractional- synthesizer, and a piecewise linear transfer function assumption for a PFD will lead to incorrect modeling of the loop response. Considering the timing diagram shown in Fig. 3, the charge carried by the up and down current sources for a given correction cycle can be written as

Fig. 5. Charge-pump PFD nonlinearity characteristics. (Color version available online at http://ieeexplore.ieee.org.)

(10) (11) where and are the leakage currents of the up and down switching devices, respectively. The net charge delivered to the loop filter is defined as (12) Imbedding (10) and (11) in (12), the total net charge is calculated, shown as follows in (13):

defined by . represents the static mismatch between the leakage currents of the up and down switching devices. The first term in (13) defines the desired charge component, and the second term is the nonlinear input dependent offset charge resulting from the static mismatch in up and down current sources. The following terms are constant charge offsets, which are the direct result of the dynamic and static mismatches in the charge-pump circuit. Based on Fig. 3 and using (11)–(13), the transfer function of a charge-pump PFD can be represented by Fig. 5. A polynomial transfer function of the nonlinear region for charge output from the charge-pump PFD can be modeled by (14) where represents the normalized phase deviation with respect to the standard deviation of the input phase error

where match and

(13)

(15)

is the charge-pump static gain misis the nominal value of the charge-pump current

The polynomial coefficients are approximated based on PFD charge-pump circuit-level nonidealities. Considering the total

3658

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

charge delivered to the loop filter from (13), nonlinear and constant offset terms define the term in (14), shown in (16) as follows:

(16) The phase error at the PFD output is determined by the running sum of the fractional portion of the multimodulus divider control word, which makes the net gain of the combined chargedigital input word [10], pump PFD block a function of the [13]. Considering the aforementioned fact, the linear part of the charge-pump PFD polynomial transfer function can be approximated by the following: (17) where represents the fractional part of the divider control word. A similar approach can be used to estimate higher order terms and . Another source of nonlinearity in a synthesizer is the loop divider. The delay through the loop divider can be modulated by the divider control code generated by the digital modulator. This signal dependent delay may introduce folding of high-frequency quantization noise, increasing in-band phase noise and spurious content at the synthesizer output. Finally, there is an inherent nonlinearity associated with fractional- synthesizers due to nonuniform sampling of phase errors between the reference and the divider output. The PFD generates phase-error information in a time-varying manner. Depending on the reference and divider PFD outputs, a correction pulse is generated either earlier than or following a reference clock edge. The pulsewidth indicates the sign and magnitude of the phase error, and the pulse position indicates when this phase error occurs within a period. Due to modulation of the feedback divider in fractional- frequency synthesizers, permanent phase coherence between input and output is never reached.

Fig. 6. Timing diagram of the PFD and associated reference and divider output phase.

is denoted as . One of the features of the proposed closed-loop simulation techniques is that both reference and divider edges are defined by a distinct simulation time index. The initial phase values, which are represented by and for the th correction cycle, represent the absolute phase values corresponding to the reference and divider output signals. Using time-domain parameters defined above, we can generate the phase error between the reference signal and divider output for th correction cycles as follows [25]: (18)

(19)

III. CLOSED-LOOP NONLINEAR MODELING The proposed closed-loop nonlinear modeling approach is described here. Fig. 6 illustrates the time-domain parameters along with the associated reference and divider output phase. For this event-driven modeling approach, the main simulation parameter is the phase error between the two inputs of the charge-pump PFD block: the reference signal and divider output. This phase error will be denoted as , where represents the simulation time index. The time-domain equivalent of this phase error is represented by . The trailing edge of a correction pulse could coincide with the reference clock or feedback clock edge. The time difference between this correction pulse trailing edge and the next reference clock rising edge is defined as , and the time difference between this edge and the next divider output rising edge is defined as . The minimum of the time-domain parameters and

(20) where represents the VCO gain, and is the VCO control voltage associated with the th correction cycle. is the instantaneous divider value at the th correction cycle. is composed of two parts, a fixed integer value and a time varying fractional part, which is controlled by the modulator output . The instantaneous divider value is defined by (21) The nominal output frequency

is defined by (22)

HEDAYATI et al.: CLOSED-LOOP NONLINEAR MODELING OF WIDEBAND

FRACTIONAL-

where is the digital modulator input defining the fractional part, and defines the modulator input dynamic range. Using (18)–(22), the phase error can be expressed as

FREQUENCY SYNTHESIZERS

3659

Combining (23)–(28), we can define the main iteration for the phase error shown as follows in (29):

(23)

Using the above-defined parameters, the phase error can be rewritten as follows:

(29) (24)

Substituting (22) for

The time-domain equivalent of the phase error for simulation index can be derived using the following relationship:

, we obtain

(30)

(25)

can be generated offline employing a digital discretetime modulator model. VCO control voltage in (29) can be generated by utilizing the nonlinear charge transfer function (14) and impulse response of the loop filter shown as follows in (31):

As shown in Fig. 6, we can define the initial phase values for both reference and divider output signals based on previous cycle parameters as follows: (31)

(26) The reference phase is defined as

A unique property of the proposed modeling technique is that it can predict the sign of the next phase error based on the relationship between the previous simulation time index values of , , and . We can define the time-domain parameters , , and using the phase relationship between the reference and divided phase as follows: If then

(27) The phase difference between reference and divider edges can be defined by

(32)

(33) If

, then

(28) (34)

3660

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I PSEUDOCODE

TABLE II PARAMETER-EXTRACTION TECHNIQUES FOR THE CLOSED-LOOP NONLINEAR EVENT-DRIVEN MODEL

Fig. 7. Signal flow diagram representation of the closed-loop nonlinear modeling approach.

(35) Table I provides a pseudocode describing the sequence of recursive difference equations that can be used for code-level implementation of the closed-loop nonlinear model. The output of the event-driven fractional- frequency synthesizer model is the VCO control voltage in terms of piecewise-linear time–voltage pairs. The simulations are implemented in MATLAB. Table II displays techniques to extract circuit-level parameters utilizing SPICE-based transient and ac noise simulations, as well as Spectre-based periodic steady-state analysis. For example, after charge-pump mismatches are extracted, (14) and (31) can be used to import this parameter into the time-driven model. Fig. 7 shows the signal flow diagram of

Fig. 8. System representation of the fully integrated quency synthesizer.

61 fractional-N fre-

the nonlinear closed-loop time-domain analysis technique with corresponding parameters and variables. IV. EXPERIMENTAL DATA In order to evaluate the performance of the proposed modeling approach, a third-order modulator controlled fractional- synthesizer with a fourth-order loop filter is designed and fabricated. Fig. 8 shows the block diagram of the fabricated

HEDAYATI et al.: CLOSED-LOOP NONLINEAR MODELING OF WIDEBAND

FRACTIONAL-

FREQUENCY SYNTHESIZERS

3661

TABLE III LOOP PARAMETERS OF THE EXPERIMENTAL FRACTIONAL- FREQUENCY SYNTHESIZER

N

Fig. 9. On-chip tuning options for the fully integrated

61

61 fractional-N PFD.

Fig. 10. Die micrograph of the programmable frequency synthesizer. (Color version available online at http://ieeexplore.ieee.org.)

prototype. As shown in Fig. 9, the fully integrated frequency synthesizer has several on-chip tuning options to enhance or reduce the nonidealities associated with synthesizer building blocks. One tuning option includes a charge-pump linearization technique through a programmable feedback amplifier. The second option consists of a programmable backlash delay block in the reset path of the tristate PFD to alleviate the dead-zone problem. The third option is a programmable current injection block consisting of an array of unit current cells in order to investigate leakage and mismatch effects. The unit current source array can also inject systematic offset current into the loop filter in order to force the PFD charge-pump block to work in a linear part of its I/O characteristic and minimize the effect of nonlinearity around 0 phase error. Using the programmable current injection technique, the operating point of the charge pump can be shifted such that only up or down current sources operate up to phase deviations at its input, eliminating the static-gain mismatch. A fourth-order active filter has been designed for the loop filter. An on-chip third-order cascaded (111-MASH) modulator is used to control the multimodulus divider. The modulator utilizes a 20-bit wide datapath, and noise-shaped dithering is used to randomize the -modulator output bit stream. A bond-wire inductor is used for the VCO tank. A die micrograph of the fully integrated fractional- synthesizer is shown in Fig. 10. Table III summarizes loop parameters that are used for design, simulation, and characterization of the synthesizer. A 26-MHz reference frequency is used for all measurements. Six devices from three process corner lots have been used for characterization. Table IV presents measured and simulated spurs at 910.020039-MHz center frequency, with a frac-

tional division of 35.000771. For this experiment, a worst case fractional divider ratio is selected for characterization of spur performance, where modulator limit cycles due to close-to-integer rational dc input leads to a strong in-band spur with 20-kHz offset from the carrier. Experimental data along with closed-loop simulation results prove that nonlinearity of the PFD around 0 is the major contributor to spur power increase at the synthesizer output. Comparing the first and third rows of Table IV, increasing the programmable injection current to in the charge-pump circuit and shifting the operation point results in almost 5-dB spur power reduction, as predicted by the closed-loop model. As shown in the third and sixth rows of Table IV, using the feedback amplifier for linearization of the charge-pump circuit along with the programmable PFD backlash delay results in more than 4-dB power reduction of in-band spurious contents. As illustrated in the first and last rows of Table IV, utilizing all the tuning options together leads us to almost 10-dB spurious power reduction. The proposed event-driven closed-loop method is compared against an open-loop simulation technique based on [15]. As shown in Table IV, the proposed closed-loop technique was able to predict in-band spur power with less than 2-dB error, while open-loop techniques had more than 6-dB error in predicting in-band spur levels. Table V shows measurement and simulation data for 910.400039-MHz output frequency, which corresponds to a fractional division ratio of 35.015386. Comparing the first and last rows of Table V, we see only 3-dB spurious power improvement, which is 7 dB less than the 20-kHz in-band spurious content shown in Table IV. The difference is the direct result of convolving the spurious contents with the out-of-band thermal noise. As proven by the experimental data, the closed-loop simulation technique predicts close-in phase noise and spurious content with much higher accuracy. Since close-in phase noise experiences minimum suppression by the loop filter, the proposed approach can predict in-band noise and spur content with much higher accuracy. A comparison between the closed-loop nonlinear model and the open-loop simulation techniques [13]–[15] for quantization noise only is presented in Fig. 11. The output frequency is set to 1.91 GHz. The division ratio for 26-MHz reference frequency is 73.4615. As seen in Fig. 11, the closed-loop model predicts phase noise at 10 kHz with more than 15-dB accuracy. Fig. 12 shows the measured phase noise compared to the thermal noise impact of the charge pump, loop filter, and reference buffers

3662

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE IV SPUR CHARACTERIZATION RESULTS AT 910.020039 MHz WITH 1.67-dBm FUNDAMENTAL POWER

TABLE V SPUR CHARACTERIZATION RESULTS AT 910.400039558 MHz WITH 1.63-dBm FUNDAMENTAL POWER

Fig. 11. Comparison of the closed-loop simulation result of the quantization noise induced phase noise at the synthesizer output to the open-loop techniques.

and VCO noise along with the closed-loop simulation of the quantization noise impact, matching the experimental data very closely. As shown in Fig. 12, the influence of the thermal and flicker noise associated with charge pump and active loop filters, along with quantization noise, dominates in-band phase noise.

Fig. 12. Measured phase-noise compared to the thermal noise impact of the charge pump, loop filter, and reference buffers and VCO noise along with the closed-loop simulation of the quantization noise impact.

V. CONCLUSION A fast and accurate closed-loop event-driven simulation model for fractional- frequency synthesizers has been presented. The proposed technique can be utilized to characterize the impact of loop nonlinearities and timing errors

HEDAYATI et al.: CLOSED-LOOP NONLINEAR MODELING OF WIDEBAND

FRACTIONAL-

on close-in phase noise of RF frequency synthesizers. The model generates a series of voltage-time pairs defining the VCO control voltage with high accuracy and lends itself to modeling several statistical nonidealities such as thermal and flicker noise for high-accuracy phase-noise estimation at the synthesizer output. The proposed model is validated with a fully integrated experimental fractional- synthesizer with programmable loop nonidealities. The closed-loop modeling technique is shown to have a higher accuracy in predicting rms phase error, spurious emissions, and in-band phase noise compared to state-of-the-art open-loop ideally locked models. REFERENCES [1] I. Galton, “Delta-sigma data conversion in wireless transceivers,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 302–315, Jan. 2002. [2] M. S. J. Steyaert, B. De Muer, P. Leroux, M. Borremans, and K. Mertens, “Low-voltage low-power CMOS-RF transceiver design,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 281–287, Jan. 2002. [3] S. E. Meninger and M. H. Perrott, “A fractional- frequency synthesizer architecture utilizing a mismatch compensated PFD/DAC structure for reduced quantization-induced phase noise,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 11, pp. 839–849, Nov. 2003. [4] T. A. D. Riley, M. A. Copeland, and T. A. Kwasniewski, “Delta-sigma modulation in fractional- frequency synthesis,” IEEE J. Solid-State Circuits, vol. 28, no. 9, pp. 53–559, May 1993. [5] W. Rhee, B.-S. Song, and A. Ali, “A 1.1-GHz CMOS fractionalmodulator,” IEEE frequency synthesizer with a 3-bit third-order J. Solid-State Circuits, vol. 35, no. 10, pp. 1453–1460, Aug. 2000. -con[6] B. De Muer and M. S. J. Steyaert, “A CMOS monolithic trolled fractional- frequency synthesizer for DCS-1800,” IEEE J. Solid-State Circuits, vol. 37, no. 7, pp. 835–844, Jul. 2002. [7] B. Bisla, R. Eline, and L. M. Franca-Neto, “RF system and circuit challenges for WiMAXs,” Intel Technol. J., vol. 08, no. 03, pp. 189–200, Aug. 2004. [8] T. Pollet, M. Van Blade, and M. Moeneclaey, “BER sensitivity of OFDM systems to carrier frequency offset and Wiener phase noise,” IEEE Trans. Comm., vol. 43, no. 2, pp. 191–193, Feb. 1995. [9] T. A. D. Riley, N. M. Filiol, D. Qinghong, and J. Kostamovaara, “Techsynthesizers,” IEEE niques for in-band phase noise reduction in Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 11, pp. 794–803, Nov. 2003. [10] S. Pamarti, L. Jansson, and I. Galton, “A wideband 2.4-GHz PLL with 1-Mb/s in-loop modulation,” delta–sigma fractionalIEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 866–874, Jun. 2003. [11] S. E. Meninger and M. H. Perrott, “Bandwidth extension of low noise fractional- synthesizers,” in IEEE Radio Freq. Integr. Circuits Conf., Jun. 2005, pp. 211–214. [12] Y. Dufour, “Method and apparatus for performing fractional division charge compensation in a frequency synthesizer,” U.S. Patent 6 130 561, Oct. 10, 2000. [13] M. H. Perrott, M. D. Trott, and C. G. Sodini, “A modeling approach fractional- frequency synthesizers allowing straightforward for noise analysis,” IEEE J. Solid-State Circuits, vol. 37, no. 8, pp. 1028–1038, Aug. 2002. fractional[14] B. De Muer and M. S. J. Steyaert, “On the analysis of frequency synthesizers for high-spectral purity,” IEEE Trans. Circuits Syst. II, Analog Dig. Signal Process., vol. 50, no. 11, pp. 793–784, Nov. 2003. [15] H. Arora, N. Klemmer, J. C. Morizio, and P. D. Wolf, “Enhanced phase noise modeling of fractional- frequency synthesizers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 52, no. 2, pp. 379–395, Feb. 2005. [16] C. Y. Lau and M. H. Perrott, “Fractional- frequency synthesizer design at the transfer function level using a direct closed loop realization algorithm,” in IEEE Proc. Design Automat. Conf., Jun. 2003, pp. 526–532. [17] M. Xiaojian, Y. Hauzhang, and W. Hui, “Behavioral modeling and simulation of jitter and phase noise in fractional- PLL frequency synthesizer,” in IEEE Proc. Int. Behavioral Modeling and Simulation Conf., Oct. 2004, pp. 25–30. [18] M. Kozak and I. Kale, “Rigorous analysis of delta–sigma modulators for fractional- PLL frequency synthesizers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, no. 6, pp. 1148–1162, Jun. 2004. [19] R. M. Gray, “Quantization noise spectra,” IEEE Trans. Inform. Theory, vol. 36, no. 11, pp. 1220–1244, Nov. 1990.

N

N

N

61

61

N

61

N

N

61

N

61

N

N

N

N

FREQUENCY SYNTHESIZERS

3663

[20] V. Friedman, “The structure of limit cycles in sigma–delta modulation,” IEEE Trans. Comm., vol. 36, no. 8, pp. 972–979, Aug. 1988. [21] B. Razavi, RF Microelectronics. Piscataway, NJ: IEEE Press, 1997. [22] C. S. Vaucher, Architectures for RF Frequency Synthesizers. Boston, MA: Kluwer, 2002. [23] E. Temporiti, G. Albasini, I. Bietti, R. Castello, and M. Colombo, “A fractional synthesizer with spurs compensa700-kHz bandwidth tion and linearization techniques for WCDMA applications,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1446–1454, Sep. 2004. [24] K. Shu, E. Sanchez-Sinencio, J. Silva-Martinez, and S. H. K. Embabi, “A 2.4-GHz monolithic fractional- frequency synthesizer with robust phase-switching prescaler and loop capacitance multiplier,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 866–874, Jun. 2003. [25] F. Gardner, “Charge-pump phase lock loops,” IEEE Trans. Comm., vol. COM-28, no. 11, pp. 1849–1858, Nov. 1980.

61

N

Hiva Hedayati (S’03) received the Master’s degree in electronics engineering (with high honors) from the Iran University of Science and Technology, Tehran, Iran, in 2004, and is currently working toward the Ph.D. degree at Arizona State University, Tempe. He is currently with the Ira A. Fulton School of Engineering, Arizona State University. His research interests include the analysis and development of fractionalintegrated circuits for wideband frequency synthesizers and digital calibration techniques for high-speed data converters. Mr. Hedayati was the recipient of a Philips Semiconductor Scholarship to participate in an advanced microelectronics graduate program at the Delft University of Technology, Delft, The Netherlands

61

N

Bertan Bakkaloglu (M’94) received the Ph.D. degree from Oregon State University, Corvallis, in 1995. He then joined the Mixes Signal Wireless Design Group, Texas Instruments Incorporated, Dallas, TX, where he was involved with analog, RF, and mixedsignal front-ends for wireless and wireline communication integrated circuits. He was a Design Leader involved with system-on-chip designs with integrated battery management and RF , analog baseband functionality. In 2001, he joined the Broadband Communications Group, where he was involved with cable modem analog front-end designs and gigabit Ethernet front-ends. In 2004, he joined the Electrical Engineering Department, Arizona State University, Tempe, as an Associate Professor. He holds three patents. His research interests include RF and power amplifier (PA) supply regulators, RF synthesizers, high-speed RF data converters, and RF built-in self-diagnostic circuits for communication integrated circuits and antennas. Dr. Bakkaloglu has been a Technical Program chair for the International Symposium on Circuits and Systems (ISCAS) and IEEE Microwave Theory and Techniques (MTT)/RF integrated circuit (RFIC) conferences.

N

Waleed Khalil (M’02) received the B.S. and M.S. degrees in electrical engineering from the University of Minnesota, Minneapolis, in 1992 and 1993, respectively, and is currently working toward the Ph.D. degree at Arizona State University, Tempe. His doctoral research is focused on wideband frequency synthesizers and on-chip phase-noise measurement techniques. He has been with the Intel Corporation, Chandler, AZ, for over 12 years, where he has held various technical leadership positions with RF and analog groups. He is currently a Senior Staff Engineer leading the Frequency Synthesizer Design Team for the Intel Corporation’s cellular RFIC. Prior to that, he successfully led a group of engineers to develop the Intel Corporation’s first wideband code-division multiple-access (WCDMA) analog front-end integrated circuit. He holds seven patents. He is a reviewer for many technical journals. He is a reviewer for multiple IEEE TRANSACTIONS.

3664

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

70-GHz-Band OFDM Transceivers Based on Self-Heterodyne Scheme for Millimeter-Wave Wireless Personal Area Network Yozo Shoji, Member, IEEE, Chang-Soon Choi, Member, IEEE, and Hiroyo Ogawa, Senior Member, IEEE

Abstract—70-GHz-band orthogonal frequency-division multiplexing (OFDM) transceivers were developed by combining self-heterodyne transmission with two-element diversity reception. The transceivers were used to study and demonstrate a millimeter-wave wireless personal network that enables cost-effective broadband data transmissions in a multipath channel environment. A 100-MHz sampling OFDM modulator/demodulator was developed for the baseband part. It has a payload data rate of 100 Mb/s using quadrature phase-shift keying (QPSK) modulation and a coding rate of 3/4 (many other modulations and coding rate are available). The bit error rate was experimentally evaluated when a pair of devices was placed on a wooden table under line-of-sight path conditions. The results showed that the combined use of the selfheterodyne technique and two-element diversity receiver successfully avoids serious signal fading at unpredictable transmission distances. The transceiver with QPSK modulation and coding rate of 1/2 for forward error correction achieves error-free data transmission over a distance of up to 3.4 m. In addition, a successful transmission in 64 quadrature amplitude modulation mode was demonstrated, although the communication range was quite short. Index Terms—Combining diversity, millimeter wave, orthogonal frequency-division multiplexing (OFDM), self-heterodyne, wireless personal area network (WPAN).

I. INTRODUCTION ILLIMETER-WAVE-BAND wireless communication systems are expected to enable very high-capacity wireless transmissions and device miniaturization because of their broad transmission bandwidth and shorter wavelengths than those of conventional microwave systems. Many millimeter-wave applications have been investigated, including high-speed wireless local area networks (WLANs) [1], wireless home links (wireless IEEE 1394 transmission systems) [2], fixed wireless access systems [3], video transmission systems for households [4], and high-speed wireless personal area networks (WPANs). Among them we believe millimeter-wave wireless personal area network (mmW WPAN) systems, whose standardization is

M

Manuscript received March 29, 2006; revised June 14, 2006. This work was supported by the IEEE. The authors are with the National Institute of Information of Communications Technology, Yokosuka, Kanagawa 239-0847, Japan. Digital Object Identifier 10.1109/TMTT.2006.882414

being actively discussed in IEEE802.15 TG3c,1 are particularly promising because they are capable of high-speed data transmissions and can easily be used in home and office environments by networking devices, even though their communication ranges are very short. We then stated the study and development of the device and the system from 2001, although we were calling it a “millimeter-wave ad hoc wireless access system” during the early stage [5], [6]. In 2000, the Ministry of Posts and Telecommunications (MPT) of Japan, regulated a 7-GHz bandwidth in the 60-GHz band as an unlicensed frequency band.2 Since then, the National Institute of Information and Communications Technology (NICT) (formerly the CRL), Yokosuka, Kanagawa, Japan, has investigated the usage and propagation characteristics of the 70-GHz band, as well as the 60-GHz band because it had the foresight that the 70-GHz band would be regulated as an unlicensed band in the near future and that such an investigation would be required. It should be noted that the propagation characteristics of millimeter-wave WPAN systems are completely different from those of the conventional millimeter-wave systems, as well as those of conventional microwave systems. The above-mentioned millimeter-wave systems, including fixed wireless access systems or wireless IEEE1394, assume the use of a high-gain antenna, which makes the wireless channel less sensitive to multipath interference. On the other hand, mmW WPAN systems have to utilize a low gain and broad beamwidth antenna to support a wide angle of signal arrival, and this results in severe multipath interference. Therefore, we should design mmW WPAN systems by taking careful account of multipath propagation. The orthogonal frequency-division multiplexing (OFDM) transmission scheme is an effective way to mitigate multipath propagation effects in wireless channels. However, it has been extensively reported that OFDM transmissions require RF transceivers to possess more stringent frequency stability and phase-noise characteristics of local oscillators (LOs) compared with conventional single carrier transmissions [7]. The conventional countermeasure for these problems is the use of high-performance phase-locked oscillators; however, these devices severely increase the cost and complexity of the RF transceivers, especially for a millimeter-wave system. 1[Online].

Available: http://www.ieee802.org/15/pub/TG3c.html Available: http://www.soumu.go.jp/joho_tsusin/pressrelease/japanese/denki/000922j603.html 2[Online].

0018-9480/$20.00 © 2006 IEEE

SHOJI et al.: 70-GHz-BAND OFDM TRANSCEIVERS BASED ON SELF-HETERODYNE SCHEME FOR mmW WPAN

To develop mmW WPAN systems that are not only tolerant of multipath channel environments, but also cost effective, we developed 70-GHz-band OFDM transceivers based on the millimeter-wave self-heterodyne transmission technique. This technique [8], in which the transmitter transmits a local oscillation carrier along with the RF modulated signal and the receiver executes down-conversion of the RF modulated signal by using the received local carrier, can completely eliminate the effects of phase noise and frequency offset caused by using a low-cost LO at the transmitter, and it removes the necessity of an LO at the receiver. For the baseband part, a 100-MHz sampling OFDM modulator/demodulator was developed, and it has a payload data rate of 100 Mb/s when QPSK modulation and a coding rate of 3/4 are used. Moreover, it can handle many other modulation modes and coding rates. Development of the millimeter-wave RF transceivers [6] and most of the broadband OFDM modulator/demodulator [5] described in this paper have already finished by 2004; however, the sufficient transmission test by connecting these two devices and comprehensive review of the system performance have not yet been performed. The remainder of this paper is organized as follows. Section II presents an overview of the mmW WPAN we developed, focusing on the physical layer architecture and the implemented multihop protocol. Section III discusses the channel propagation characteristics in mmW WPAN environments and shows the measured propagation characteristics of 70-GHz-band signals in indoor environments. Section Sections IV and V describe the developed OFDM 70-GHz self-heterodyne transceiver and modulator/demodulator. Section VI describes the experimental demonstration that evaluated a number of system parameters and discusses the effectiveness of using an adaptive modulation scheme for the mmW WPAN system to increase data rate. II. OVERVIEW OF mmW WPAN Fig. 1 shows one of the possible applications of the mmW WPAN system in which several users with notebook PCs equipped with millimeter-wave wireless devices create a temporary wireless network to participate in a meeting. The mmW WPAN system enables the users to share rich contents, including high-quality photographs and video streams within a 10-m range. For this application, the mmW WPAN would easily enable immediate creation of the network with a data transmission rate more than 2 Gb/s. To find ways for broadband WPAN systems to meet growing social requirements, the IEEE has been enthusiastically discussing standardization of the mmW WPAN, and a specialized task group [IEEE802.15.3c (TG3c)] was established for this purpose in March 2005. The standard is scheduled to be published in 2007. TG3c is looking at many applications besides the above described one [9]. A. Overview of Physical Layer Architecture Fig. 2 shows an overview of the millimeter-wave WPAN system that was developed. It consists of four devices that can communicate with each other. All devices have the exact same physical layer architecture, which can be divided into three

3665

Fig. 1. Example of mmW WPAN application.

parts, i.e.: 1) 70-GHz RF transceiver; 2) IF AGC unit; and 3) OFDM IF/baseband unit. The RF transceiver performs frequency up-/down-conversion of the input modulated signals from the IF band to the 70-GHz band and vice versa. It utilizes the principle of millimeter-wave self-heterodyne transmission [8] in order to realize cost-effective architecture and guarantee the phase-noise requirements of OFDM signal transmission. The receiver circuit includes two receiver modules, which enable antenna diversity reception [12]. Section IV describes the specifications of the RF transceiver. The IF unit performs automatic-gain-controlled amplification of the received IF signals and has a function to provide a stable IF carrier to the OFDM IF/baseband unit. The OFDM IF/baseband unit has a function to generate OFDM-format signals in the IF (1.5 GHz) band from baseband data received from a Gbit Ethernet interface and sends these signals to the RF transceiver through the IF unit. For the demodulation, it also has a function to regenerate the original baseband data from the input IF-band OFDM signal from the RF transceiver. Section V describes the specifications and architecture of the OFDM IF/baseband unit. The OFDM IF/baseband consists of a PC main board, a special PCI card hardware, and 100-MHz OFDM modem hardware. The main board operates on a Linux OS and has a Gbit Ethernet interface. It has protocol software for the data link control (DLC) and modem interface software, and through it, we can control various system parameters related to the physical and media access control (MAC) layers. Adapting to the structure of a particular MAC frame requires physical layer control information (PLCI), which is provided by the PCI card hardware. The modem needs a control structure to be able to execute PLCI commands and the structure must be fitted to the MAC data. The 100-MHz OFDM modem hardware is the main functional part of the OFDM IF/baseband unit. This part has a modem interface to communicate with the PLCI, a monitoring interface to display the received signal quality, an analog I/Q

3666

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 2. Overview of mmW WPAN system using OFDM modulation.

interface, an RF control interface, and a 10-MHz reference interface. The architecture of the modem hardware is detailed in Section V.

B. Overview of Multihop Protocol WPAN systems basically have to work in an ad hoc mode, which means the system must be able to immediately create a network among devices without a base station or access point. From this viewpoint, the use of the conventional MAC protocol for the WPAN system like IEEE802.15.3 [10] would also be suitable for the mmW WPAN system. For operations using IEEE802.15.3, all the wireless WPAN devices are usually in master or slave mode depending on their conditions or the network environment. For example, if a device activates and cannot detect any master-mode device to communicate with, it goes into master mode and tries to make a new network, called a “pico-net.” On the other hand, if the device detects a master-mode device that is already active, it may try to join the existing network and communicate as a slave-mode device. However, in the mmW WPAN environment, we can easily envision a situation in which the slave-mode device cannot keep a line-of-sight (LoS) path with the master-mode device. Therefore, we tested the multihop protocols by giving the devices a forwarding mode to maintain communication links even if the direct LoS path becomes unavailable. Fig. 3 illustrates a simplified frame structure for the multihop protocol. It also shows how a terminal in each mode operates in relation to the frame structure. The multihop protocol virtually maintains the connection between the master-mode terminal (MT) and slave-mode terminal (ST) even if the MT missed the connection with the ST by forwarding signals to the

Fig. 3. Frame structure for multihop protocol.

destination terminal through a forwarding-mode terminal (FT) that is not participating in the communication. The basic procedure is as follows. Both MT and FT transmit a broadcast burst. The ST synchronizes to either of these bursts and will see an identical subframe structure regardless of whether the MT or FT subframe is received. Identical PLCI data can be used for the subframes. From the MT’s point-of-view, the FT can be treated almost like an ST, except for the reservation of resources for the FT-ST links. To evaluate the received data, the MT must determine which link (FT-MT or ST-MT) contains valid data. Only one of these links per frame will be evaluated for the bit error rate (BER) count or Internet Protocol (IP)-layered-based packet reception. The FT always copies data received from the MT to the ST link and vice versa. It may apply changes only to data contained in the dedicated signaling channels. III. PROPAGATION CHARACTERISTICS IN mmW WPAN As mentioned in Section I, mmW WPAN systems are supposed to use broad beamwidth radiation antennas for their transmitters and receivers, which should make them more

SHOJI et al.: 70-GHz-BAND OFDM TRANSCEIVERS BASED ON SELF-HETERODYNE SCHEME FOR mmW WPAN

3667

Fig. 4. Environmental conditions for indoor millimeter-wave channel measurement.

sensitive to multipath interference compared with conventional fixed millimeter-wave wireless access systems. To investigate the channel for WPAN and verify this property, we measured several indoor propagation channel characteristics for different environmental conditions. Fig. 4 illustrates the measurement setup and conditions. The transmitter antenna and receiver antenna were put on a table at certain heights and distances, and the transmission characteristics were measured in the frequency domain by using a network analyzer test set based on HP8510C. Supposing the use of millimeter-wave devices on a table, we set a 70-cm-high wooden table in a room measuring 3.56 m 6.85 m. The propagation characteristics apparently could be modeled with a two-path channel; i.e., we observed regular signal fading depending on the height of the transmitter and receiver. After that, by utilizing a network analyzer test set, we measured power delay profiles (PDPs) at the antenna height of 9.35 cm from surface of the table for both transmitter and receiver, where deep signal fading seemed to occur, and at the height of 6.75 cm where a comparatively large signal level was observed. Fig. 5(a) and (b) shows the PDP and frequency response, respectively, of the millimeter-wave channel at the signal fading position. Fig. 5(a) indicates that a comparatively higher level delayed-path wave arrived right after the first direct-path wave. Fig. 5(b) shows regular deep fading points at approximately every 200 MHz. In addition, it seems like there are comparatively longer delayed paths after the first two paths. Fig. 6(a) and (b) shows the PDP and frequency response, respectively, of the millimeter-wave channel at the other measurement position where high power was detected. Please note here that one division of the vertical axis of Fig. 6(b) is 2 dB, whereas it was 5 dB in Fig. 5(b). One can see from these figures that the frequency response does not appear as deep fading since the receiver power of the second path was comparatively lower. When the antenna height was 9.35 cm, the first channel response was suffering a significant signal fading caused by the interference between a direct LoS path and a reflected path from the desk, although the reflected path response can not be recognized in Fig. 5 due to limited time resolution ability.

Fig. 5. (a) PDP and (b) frequency response of millimeter-wave channel (h =

9:35 cm).

Fig. 6. (a) PDP and (b) frequency response of millimeter-wave channel (h =

6:75 cm).

In this condition, the other multipath responses dominate channel characteristics, and the channel tends to be frequency selective, as shown in Fig. 5.

3668

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 9. Interior view of RF transceiver.

Fig. 7. Circuit configuration of RF transceiver.

Fig. 10. Spectrum of transmitted local carrier.

TABLE I SPECIFICATIONS OF RF TRANSCEIVER Fig. 8. Exterior view of RF transceiver.

On the other hand, when the antenna height was 6.75 cm, the first channel response did not experience such signal fading and the strong LoS response dominated the channel characteristics. As a result, the other delayed multipath response did not significantly impact the channel characteristics, and the frequency response became comparatively flat. From these measurement results, we can conclude that unlike a conventional millimeter-wave system, which can mostly ignore multipath effects, we must apply countermeasures to the multipath channel if we want to be able to use the mmW WPAN system in as many conditions as possible. IV. ARCHITECTURE AND PERFORMANCE OF RF TRANSCEIVER A. Architecture of 70-GHz-Band RF Transceiver Fig. 7 shows the simplified circuit configuration of the developed millimeter-wave transceiver, and Figs. 8 and 9 show the exterior and interior views of it. The transceivers were designed for 70-GHz applications based on the millimeter-wave self-heterodyne transmission techniques [8]. Since the self-heterodyne scheme effectively cancels out the additional phase-noise deterioration from the LOs, we employed a low-cost and free-running dielectric resonating oscillator without any phase-locking

scheme, as shown in Fig. 10. Table I lists the important parameters of the transceiver. It should be noted that transmitters use a circular polarized antenna, whereas receivers use a linear polarized one. Millimeterwave short-range communications fundamentally rely on a LoS path, and they suffer from an uncertain mismatch of the polarization plane if a linear polarized antenna is used for both the transmitter and receiver. In particular, the mismatch likely occurs when a mobile terminal tries to communicate to an access point located at a higher position.

SHOJI et al.: 70-GHz-BAND OFDM TRANSCEIVERS BASED ON SELF-HETERODYNE SCHEME FOR mmW WPAN

3669

Fig. 14. Close-up view of receiver antenna.

Fig. 11. Exterior view of transmitter antenna.

Fig. 15. Radiation pattern of receiver antenna.

Fig. 12. Radiation pattern of transmitter antenna.

Fig. 16. Close-up view of main MMIC.

Fig. 13. Frequency response of bandpass filter integrated with transmitter antenna.

To avoid these undesired degradations, we used a circular polarized antenna for the transmitter and a linear polarized one for the receiver. Figs. 11 and 12 show the outer appearance and radiation pattern of the circular polarized antenna used in the transmitter. We integrated a waveguide-type bandpass filter backside on the transmitter antenna block to compensate for the insufficient image-rejection performance of the up-conversion mixer. Fig. 13 shows the representative characteristics of the bandpass filter. Fig. 14 shows the receiver antenna’s appearance, Fig. 15 shows its radiation pattern, and Fig. 16 shows a close-up view of the main MMIC. Although circular polarized signals received with a linear polarized antenna suffers from a 3-dB power level degradation, it can avoid unexpected and more serious received

signal fading originating from the mismatch of the polarization plane between the transmitter and receiver. The RF active components of the self-heterodyne transceivers are basically similar to those of a super-heterodyne transceiver, except the frequency up-and down-conversion mixers. The single-sideband (SSB) self-heterodyne transmitters [8] are required to simultaneously transmit the local oscillation carrier along with one of the RF modulated signals or , where is the center frequency of the IF signal. For this purpose, we developed and optimized frequency up-conversion mixers, which provide image-rejection functions, as well as LO) transmission functions with the same level as the transmitted RF signal. This transmitted signal power condition achieve the maximum carrier-to-noise power ratio (CNR) from the theoretical viewpoints[8]. Fig. 17 shows the output powers of the LO carriers, RF signals, and the second harmonic of the upper sideband (USB) signals as a function of input IF signal power for the developed transmitter. It should be noted that the effect of BPF integrated in the transmitter antenna is not included in this figure. We can see from this figure that the developed transmitter is always effectively suppressing one of the sidebands (USB) by more than 17 dB while keeping the LO carrier at a fixed level. In addition,

3670

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 17. RF output power of transmitter module as a function of input IF power.

Fig. 18. RF output power of transmitter module as a function of input IF frequency.

the self-heterodyne transmitter module could offer 10 dBm of transmission power, which was equally distributed to the LO carrier and SSB signal when the IF input power was 17 dBm. This condition is supposed to give the maximum CNR performance at the receiver [8]. The above obtained performance regarding image rejection was almost consistent with what we had expected. At the first stage of system designing, however, we noticed this image-rejection performance was unfortunately insufficient to meet the Japanese 60-GHz-band regulation because 10-dBm transmission with equal signal distribution between local and signal components generates 10-dBm transmission power out-of-signal band even if 17-dB image rejection was achieved. Therefore, we employed waveguide bandpass filter having the frequency response in Fig. 13. The rejection ratio for USB signal at 74.5 GHz was 40 dB. Fig. 18 shows the RF output power characteristics of the transmitter module as a function of input IF frequency. We can see from this figure that the flat frequency response for both desired local and lower sideband (LSB) outputs and the image suppression of more than 17 dB for undesired USB output are achieved over the bandwidth of our interest centered at 1.5-GHz input IF frequency.

Fig. 19. IF output power of receiver module as a function of received RF frequency.

The most unique feature of self-heterodyne transmission technique is in the down-conversion process, which utilizes the received LO signal for the down-conversion at the receiver. In such an unique usage of a receiver mixer, the receiver needs to provide broadband frequency response in the RF band of interest. Fig. 19 shows the output IF power as a function of input RF frequency for different total received powers ( 55 and 67 dBm). The input LO frequency was fixed to 73 GHz. We can see that the developed self-heterodyne receiver, particularly the self-heterodyne down-conversion mixer, successfully achieved broad band operation with a maximum deviation of less than 2 dB over 1 GHz at the center frequency. Fig. 16 presents close-up photographs of the designed RF monolithic microwave integrated circuits (MMICs), including the specially developed frequency mixers. These MMICs were fabricated using the GaAs pseudomorphic high electron-mobility transistor (pHEMT) process. It should be noted that two MMIC receiver modules were vertically integrated in the receiver circuit part in order to realize the two-branch combining antenna diversity reception, as shown in Fig. 9. It has been proven that applying such a receiver diversity configuration not only improves the receiver sensitivity, but also provides strong immunity to the received signal fading problems, as described in [12]. Since a self-heterodyne receiver does not require an LO for frequency down-conversion, it is relatively simple to realize this receiver diversity system and to increase the number of receiver modules compared with the conventional super-heterodyne receiver system. The receiver diversity approach is also described in [12]. V. DEVELOPMENT OF 100-MHz SAMPLING OFDM MODULATOR AND DEMODULATOR OFDM has attracted a lot of interest within the last few years because of its robustness against frequency selective fading channels, as well as its efficient use of the frequency resource. As discussed in Section III, the millimeter-wave propagation channel becomes selective when broad beamwidth antennas are used. To overcome these problems, we used OFDM and developed a 100-MHz-sampling OFDM-based testbed as the first step toward indoor use of the 70-GHz frequency band.

SHOJI et al.: 70-GHz-BAND OFDM TRANSCEIVERS BASED ON SELF-HETERODYNE SCHEME FOR mmW WPAN

3671

TABLE II AVAILABLE TRANSMISSION MODES OF OFDM MODEM

Fig. 20. Outer view of OFDM modem hardware. TABLE III MAIN SPECIFICATIONS OF OFDM IF/BASEBAND UNIT

• interface with the A/D conversion board; • FIR filtering for the receiver (Rx) branch; • OFDM processing and channel correction; • time and frequency synchronization and AGC; • channel estimation; • Rx control unit. Table III lists the main specifications of the OFDM modem, and Table II shows available transmission modes and their payload data rate according to modulation type and coding rate. VI. EXAMPLE OF TRANSMISSION PERFORMANCE

The OFDM modem we developed can support several transmission modes in a wide range (see Table II). Table III lists the basic system parameters. Figs. 20 and 21 show the appearance and block architecture of the OFDM IF/baseband unit. The physical layer processing part of the OFDM IF/baseband unit consists of two field-programmable gate array (FPGA) boards (FPGA#1 and FPGA#2), an analogue in-phase quadrature (IQ) modulator/demodulator board, and an AD/DA conversion board. All block functions in light gray in Fig. 21 were integrated into FPGA#1, which contains the following functional units: • modem interface to the PCI-card and the distribution of transmission, reception, and control data streams; • forward error correction (FEC) encoding and modulation; • OFDM processing for the transmitter (Tx) branch; • FIR filtering (Tx branch); • interface to the D/A conversion board; • clock generation and clock distribution; • Tx control unit modem interface to the IF unit; • monitoring interface; • demodulation and FEC decoding. On the other hand, all block functions in dark gray in Fig. 21 were integrated into FPGA#2, which contains the following functional units:

As discussed in Section IV, we had expected that using twobranch receiver diversity would give our transceiver immunity to a multipath usage environment. The detected IF signal power and BER were measured when a pair of devices were placed on a wooden table, and the transmission link had a LoS path. The transmission link was surrounded by anechoic material, as shown in Fig. 22. Fig. 23 shows the IF detected power characteristics as a function of transmission distance for the single receiver case and dual receiver case. The single receiver case suffered from serious signal fading at about the 75-cm point. It should be noted here that this fading point changes depending on slight changes in the transceiver’s height and transmission distance, and that it will be difficult to know where it is in typical wireless PAN environments. However, the results show that the use of a dual receiver can avoid serious signal fading without any complicated control. Fig. 24 shows the corresponding BER in the case of quadrature phase-shift keying (QPSK) modulation and no FEC. We can see that serious BER degradation occurred at the same fading point as in the previous IF results. In addition, we can see that BER improved at all distances because the CNR of the received IF signal increased as a result of combining diversity [12]. Fig. 25 shows the spectrum of the detected OFDM signal after 1-m millimeter-wave transmission. Finally, we evaluated the BER for various modulation formats (QPSK, 8 phase-shift keying (PSK), 16 quadrature amplitude modulation (QAM), and 64 QAM) for the same coding rate.

3672

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 21. Architecture of OFDM modem hardware.

Fig. 23. Detected IF power characteristics.

Fig. 22. Experimental transceiver setup.

Fig. 26 shows the BER as a function of transmission distance when a half rate was used for FEC . Fig. 27 shows the signal constellation of the demodulated signals corresponding to the modulation schemes of the above measurements, at 1-m transmission distance, except for 64 QAM (the result for 64 QAM was measured at 0.8 m). We can see from Figs. 26 and 27 that the OFDM signal was successfully transmitted in the multipath environment, although the achievable distance depends on the modulation format. For example, we achieved error-free transmission over 3.4-, 2-, and 1.5-m distance for QPSK, 8 PSK, and 16 QAM, respectively. From this simple transmission test, we obtained at least one conclusion that the adaptive modulation scheme is effective also for

Fig. 24. BER performance.

a millimeter-wave personal area network system to improve data throughput. From a brief analysis for the link budget assuming 10-dBm transmission power, the use of QPSK modulation should enable us to transmit more than 500-Mb/s data rate with a BER of less than 10 over a 5-m distance because the assumptions of 500 Mb/s, 5-m free-space loss (82 dB), the antenna gain product of 15 dBi, diversity gain of 3 dB, 8-dB receiver noise figure, and 9-dB CNR degradation due to the self-heterodyne scheme result in of over 12 dB.

SHOJI et al.: 70-GHz-BAND OFDM TRANSCEIVERS BASED ON SELF-HETERODYNE SCHEME FOR mmW WPAN

Fig. 25. Spectrum of received OFDM signal in IF band.

3673

IEEE 802.15 TG3c for the millimeter-wave WPAN, the channel model and its key parameters have not yet been decided and are still underdeveloped. What is further complicating the estimation of our developed system’s performance is that the performance degradation can be caused not only by well-known selective or flat fading in the transmitted OFDM signal, but also by the fading in the transmitted local carrier. We believe precise theoretical prediction and simulation will be executed in the near future after these millimeter-wave channel models for the desktop environment are studied. However, it is clear that the use of millimeter waves brings us the benefit of a much higher data rate for short-range systems by using available broad bandwidth, which is never interfered by a microwave-band system, and we believe our experience of developing this test system will be a great help when we try to study a higher speed OFDM-based mmW WPAN system in the future. VII. CONCLUSION

Fig. 26. Bit error characteristics for various modulations.

Fig. 27. Signal constellation of detected signal for all modulations.

In addition, if we look at the current worldwide situation where the WLAN system is achieves 100-Mb/ps throughput by using multiinput multioutput (MIMO) technology, the performance of our demonstration might seem to be insufficient from the both viewpoints of data rate and distance. The authors believe these disadvantages were mainly caused by the channel characteristics including a larger free-space loss effect in the 60-GHz band. The relationship between the multipath channel characteristics and how to integrate the diversity antenna especially seemed to significantly impact the performance degradation in our demonstration. However, even at

We have developed 70-GHz-band OFDM transceivers based on the millimeter-wave self-heterodyne transmission technique and have demonstrated their immunity to multipath propagation. By developing a transmission mixer optimized for the selfheterodyne scheme, we were able to create a self-heterodyne RF transmitter that can suppress one of the sidebands (USB) by more than 17 dB while keeping the LO carrier at a fixed level, without using any external RF bandpass filter. In addition, the RF transmitter had 10 dBm of transmission power that was equally distributed to the LO carrier and SSB signal. Moreover, by developing a receiver mixer optimized for selfheterodyne detection, we were able to create a self-heterodyne RF receiver for broad band operations that can suppress the maximum detected power deviation to less than 2 dB over 1 GHz at the center frequency of interest. We also demonstrated a 100-MHz sampling-based OFDM modulator and demodulator that can operate in 13 different transmission modes to study suitable modulation schemes and code rates for the transceivers in actual millimeter-wave multipath propagation environments. We have experimentally evaluated LoS data transmission performance of a pair of devices placed on a wooden table, and we confirmed that the OFDM signal was successfully transmitted in the multipath environment, although the achievable distance depends on the modulation format. The experimental results showed that our mmW WPAN transceivers with two-element combining antenna diversity achieved error-free transmission at distances of up to 3.4 m without any fading points when QPSK modulation and a code rate of 1/2 was used. In addition, we showed that it is possible to increase the data rate by using higher order modulation such as 16-QAM or 64-QAM, although the achievable distance is further restricted. From the above, we have achieved a conclusion that the adaptive modulation scheme can improve data throughput of a millimeter-wave PAN system. Although the developed modem shown in this paper did not achieve a data rate of over 2 Gb/s, which is the data rate prescribed by the current IEEE Standard

3674

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Task Group for mmW WPAN systems, we believe the demonstration results obtained in this paper will contribute when we try to extend the data rate and estimate its transmission performance. REFERENCES [1] G. Wu, Y. Hase, and M. Inoue, “An ATM-based indoor millimeter-wave wireless LAN for multimedia transmissions,” IEICE Trans. Commun., vol. E83-B, no. 8, Aug. 2000. [2] K. Ohata, K. Maruhashi, J. Matsuda, M. Ito, W. Domon, and S. Yamazaki, “A 500 Mbps 60 GHz-band transceiver for IEEE 1394 wireless home networks,” in Proc. 30th Eur. Microw. Conf., Paris, France, Oct. 2000, pp. 289–292. [3] T. Tanuma, “Current frequency management and utilization of millimeter-wave band in japan,” in Proc. Millimeter Waves Top. Symp., Mar. 2000, pp. 11–14. [4] K. Hamaguchi, Y. Shoji, H. Ogawa, H. Sato, K. Tokuda, Y. Hirachi, T. Iwasaki, A. Akeyama, K. Ueki, and T. Kizawa, “A wireless video home-link using 60 GHz band: Concept and performance of the developed system,” in Proc. Eur. Microw. Conf., Paris, France, Oct. 2000, pp. 293–296. [5] K. Hamaguchi, Y. Shoji, A. Kanazawa, H. Ogawa, A. Akeyama, Y. Shiraki, T. Hirose, H. Shimawaki, and K. Sakamoto, “Millimeter-wave ad hoc wireless access system III—(1) Overview of the project and its outcomes,” in Proc. Int. Joint Conf. 6th Top. Symp. Millimeter Waves/5th MINT Millimeter-Wave In. Symp., Feb. 2004, no. 2–6, pp. 46–49. [6] S. Kishimoto, K. Maruhashi, K. Ohata, H. Shimawaki, Y. Shoji, K. Hamaguchi, and H. Ogawa, “Millimeter-wave ad hoc wireless access system III—(4) 70 GHz-band transceiver for SSB self-heterodyne technique,” in Proc. Int. Joint Conf. 6th Top. Symp. Millimeter Waves/5th MINT Millimeter-Wave In. Symp., Feb. 2004, no. P-23, pp. 241–244. [7] L. Xu, Y. Chen, and Z. Feng, “Effects of RF oscillator phase noise on performance of communication system,” in Proc. 4th Int. Microw. Millimeter Wave Technol. Conf., Aug. 2004, pp. 429–432. [8] Y. Shoji, K. Hamaguchi, and H. Ogawa, “Millimeter-wave remote selfheterodyne system for extremely stable and low-cost broadband signal transmission,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1458–1468, Jun. 2002. [9] “802.15.3c usage model document (UMD) draft,” IEEE, Piscataway, NJ, Doc. 15-06-00550x-003c. [10] Information Technology—Part 15.3: Wireless Medium Access Control (MAC) and Physical Layer (PHY) Specifications for High Rate Wireless Personal Area Networks (WPAN), IEEE Standard 802.15.3-2003, 2003. [11] K. Obara, T. Hirose, A. A. Colosimo, A. Kanazawa, Y. Shoji, and H. Ogawa, “Millimeter-wave ad hoc wireless access system II—(3) An analysis of 100 MHz OFDM performance with phase noise and HPA,” in Proc. 5th Millimeter Waves Top. Symp., Mar. 2003, no. 2–3, pp. 49–52. [12] Y. Shoji and H. Ogawa, “70-GHz-band MMIC transceiver with integrated antenna diversity system: Application of receiving-module-arrayed self-heterodyne technique,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2541–2549, Nov. 2004. [13] N. Kuribayashi, T. Hirose, S. Mortazavi, E. Schulz, A. Akeyama, K. Hamaguchi, Y. Shoji, and H. Ogawa, “Millimeter-wave ad hoc wireless access system—(8) 60 GHz band propagation loss characteristics along the surface of wooden/metallic desk planes,” in Proc. Millimeter Waves Top. Symp., Mar. 2002, pp. 155–158. Yozo Shoji (S’98–M’99) received the B.E. and M.E. degrees in electrical engineering and Dr. Eng. degree in communications engineering from Osaka University, Osaka, Japan, in 1995, 1996, and 1999, respectively. In 1999, he joined the Yokosuka Radio Communications Research Center, Communication Research Laboratory (CRL), Ministry of Post and Telecommunications, Yokosuka, Japan, as a Researcher. He is currently a Researcher with the National Institute of Information and Communication Technology

(NICT), Incorporated Administrative Agency, Yokosuka, Kanagawa, Japan, where he has been engaged in research on millimeter-wave communications system and millimeter-wave/microwave photonics system. Dr. Shoji is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2000 IEICE Science Promotion Award and the 2003 Communications Research Laboratory (CRL) Excellent Achievement Award.

Chang-Soon Choi (S’02–M’05) was born in Seoul, Korea, on 1977. He received the B.S., M.S., and Ph.D. degrees in electrical and electronic engineering from Yonsei University, Seoul, Korea, in 1999, 2001, and 2005, respectively. His doctoral dissertation concerned high-speed photo-transistors and their applications to fiber-supported millimeter-wave wireless communication systems. In 2005, he joined the National Institute of Information and Communications Technology (NICT), Yokosuka, Kanagawa, Japan, where he is currently engaged in research and development of millimeter-wave wireless communication systems, gigabit WPANs, and microwave/millimeter-wave photonics systems. His other research interests include opto-electronic MMICs and millimeter-wave CMOS circuits technologies.

Hiroyo Ogawa (M’84–SM’06) received the B.S., M.S., and Dr. Eng. degrees in electrical engineering from Hokkaido University, Sapporo, Japan, in 1974, 1976, and 1983, respectively. In 1986, he joined the Yokosuka Electrical Communication Laboratories, Nippon Telegraph and Telephone (NTT) Public Corporation, Yokosuka, Japan, where he was engaged in the research and development on microwave and millimeter-wave integrated circuits, monolithic integrated circuits, and subscriber radio systems. From 1990 to 1992, he was engaged in the research of optical/microwave monolithic integrated circuits and fiber-optic links for millimeter-wave personal communication systems with ATR Optical and Radio Communication Research Laboratories. From 1993 to 1998, he was engaged in microwave photonics and microwave and millimeter-wave signal-processing techniques for communication satellites with NTT Wireless Systems Laboratories. In July 1998 he joined the Communication Research Laboratory, Ministry of Posts and Telecommunications, where he was involved with the research, development, and standardization of millimeter-wave wireless access systems. He is currently an Executive Director of the New Generation Wireless Communications Research Center, National Institute of Information and Communications Technology (NICT), Yokosuka, Kanagawa, Japan. From 1990 to 1992, he was an Associate Editor for the IEICE Transactions on Electronics. Dr. Ogawa is a Fellow of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He served on the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Symposium Technical Committee and was a member of the IEEE MTT-S Technical Committee and Microwave Photonics (MWP) Steering Committee. From 1991 to 1992, he was secretary/treasurer for the IEEE MTT-S Tokyo Chapter. From 1993 to 1994, he was secretary of the IEICE Microwave Technical Group, and from 1999 to 2002, he was a vice-chair. From 1995 to 1998, he was a secretary of the IEICE Microwave Photonics Technical Group, from 1999 to 2000, he was a vice-chair, and from 2001 to 2002, he was a chair. He was a secretary of the 1996 International Topical Meeting on Microwave Photonics (MWP96). He was a finance chair of MWP2002. He also chaired the Technical Program Committee of the 1998 Asia–Pacific Microwave Conference (APMC98) and the Steering Committee of the Microwave Workshop and Exhibition (MWE2003). He served on the Steering Committee for APMC02 and APMC06 as a vice-chair. Since 1999, he has served on the Topical Symposium on Millimeter Waves (TSMMW) Committee as a secretary.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3675

Substrate-Integrated-Waveguide Circulators Suitable for Millimeter-Wave Integration William D’Orazio and Ke Wu, Fellow, IEEE

Abstract—Over the years, many millimeter-wave circulator techniques have been presented, such as nonradiative dielectric and fin-line circulators. Although excellent results have been demonstrated in the literature, their proliferation in commercial devices has been hindered by complex assembly cost. This paper presents a study of substrate-integrated millimeter-wave degree-2 circulators. Although the substrate integrated-circuits technique may be applied to virtually any planar transmission medium, the one adopted in this paper is the substrate integrated waveguide (SIW). Two design configurations are possible: a planar one that is suitable for thin substrate materials and a turnstile one for thicker substrate materials. The turnstile circulator is ideal for systems where the conductor losses associated with the thin SIW cannot be tolerated. The design methodology adopted in this paper is to characterize the complex gyrator circuit as a preamble to design. This is done via a commercial finite-element package. Index Terms—Circulators, millimeter-wave integrated circuits, substrate integrated circuits (SICs), substrate integrated waveguide (SIW).

I. INTRODUCTION CURRENT trend in connection with communication and sensor applications is the progression towards millimeterwave and sub-millimeter-wave frequencies. Current packaging techniques of millimeter-wave components and systems include printed circuit board (PCB), low-temperature co-fired ceramic (LTCC), thin film, monolithic microwave integrated circuit (MMIC), and waveguide. More recently, a novel substrate waveguide that will facilitate the integration between planar and nonplanar components was introduced [1], [2]. Fig. 1 illustrates the proposed structure. The ground planes of the substrate are the broad walls of the waveguide, and a via-hole array is used as a discrete sidewall for the embedded waveguide. One major advantage of this structure over previous ones is that the process used to realize planar components can now be applied to realize nonplanar ones. A number of integrated devices have recently been developed including numerous transitions, filters, and directional couplers [2], [3]. These are key building blocks in any communication system. One omission to date is a nonreciprocal component such as a phase shifter or circulator. One attempt at a substrate integrated waveguide (SIW) phase shifter was theoretically formulated by Che et al.; however, no experimental results were

A

Manuscript received June 6, 2006; revised July 24, 2006. This work was supported in part by the U.S. Department of Commerce under Grant BS123456 and by the Natural Sciences and Engineering Research Council of Canada. W. D’Orazio is with COMDEV Inc., Cambridge, ON, Canada N1R 7H6 (e-mail: [email protected]; [email protected]). K. Wu is with the Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada H3C 3A7. Digital Object Identifier 10.1109/TMTT.2006.882897

Fig. 1. SIW including transition to microstrip.

demonstrated [4]. The purpose of this paper is to study the integration of circulators in an SIW platform. In this study, two configurations of an SIW millimeter-wave circulator were studied. One arrangement consists of a post resonator at the junction of three SIWs. The post resonator is ideally suited to thin substrates. The results of this configuration have previously been published [5]. Another configuration consists of a partial height ferrite resonator at the junction of three SIWs. This configuration is suitable for millimeter-wave systems where conductor losses associated with thin SIWs cannot be tolerated. II. COMPLEX GYRATOR CIRCUIT The complex gyrator circuit is useful in the design of any junction circulator. If the in-phase eigennetwork presents a short-circuit boundary condition at the terminals of the ferrite, then a simple complex gyrator circuit results. The one encountered in this study consists of a gyrator conductance in shunt with a quarter-wavelength short-circuited stub, characterized by its susceptance slope parameter and center frequency , as illustrated in Fig. 2. If the splitting between the counter rotating eigennetworks is small, the complex gyrator conductance is given in terms of the split frequencies through the universal gyrator equation [6] (1) The quality factor is also a function of the split frequencies [7]

(2) The susceptance slope parameter is an independent variable fixed by the geometry of the resonator. It may be ascertained from a separate measurement of the split frequencies and gyrator conductance. However, the approach taken in this study

0018-9480/$20.00 © 2006 IEEE

3676

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 3. SIW to rectangular waveguide transition.

Fig. 2. Complex gyrator circuit.

is to characterize the susceptance slope parameter from the frequency response of the demagnetized junction [8]:

(3)

III. TURNSTILE SIW CIRCULATOR In system applications, it is advantageous to use a thick substrate as the packaging technology of choice since, as is readily understood, waveguide losses are directly proportional to the narrow wall dimension of the equivalent waveguide. Post resonators with top and bottom electric walls are not suitable with these substrates because of higher order resonator modes, with fields that vary along the axis of the ferrite, intruding into the circulation passband. One arrangement that is compatible with thick dielectric substrates is a re-entrant turnstile junction. Although the turnstile resonator has been extensively studied in the literature, it is applied here, for the first time, in the design of a SIW degree-2 circulator. The SIW used in the current configuration has a narrow dimension of mm and an aspect ratio of . Its dielectric constant is and its via-hole diameter and pitch spacing are, respectively, mm and mm. A. SIW to Rectangular Waveguide Transition In this study, a rectangular waveguide to SIW transition is used for measurement purposes. The transition is illustrated in Fig. 3. It consists of a ridge impedance transformer. The parameters to be optimized in this transition are the aspect ratios and lengths of the ridges. The detail of the design is well established [9]. The experimental results are illustrated in Fig. 4 for completeness. A 20-dB return loss with an insertion loss better than 0.4 dB per transition is achieved between 20–26.5 GHz. The results correspond to a back-to-back transition oriented 120 from each other with a joining section of curved SIW. The simulation results of the SIW bend are superimposed for comparison.

Fig. 4. SIW to rectangular waveguide transition results.

B. Gyromagnetic Resonator Three re-entrant turnstile resonator configurations are encountered in the literature [10]. They consist of a single halfwavelength long gyromagnetic resonator open circuited at both ends, two coupled quarter-wavelength-long ones short circuited at one end and open at the other, and a single quarter-wavelength-long one short circuited at one end and open at the other. For circulators operating in -band, only the single quarterwavelength coupled one is suitable with the substrate thickness utilized in this study. Fortunately, this configuration is also the simplest of the three geometries to implement in the SIW. The resonator is described by its length , its radius , and the dielectric spacing between the open flat face of the ferrite and waveguide wall . The filling factor is employed in its description instead of (4) The spacing between the open face of the ferrite and waveguide wall has a dielectric constant of . Its operation relies on hybrid modes. The circulation frequency may approximately be calculated by resorting to the resonant frequency of the degenerate mode. The in-phase eigennetwork must, of course, be adjusted to display a short-circuit boundary condition at the terminals of the network. In this study, the eigenvalues were extracted via a finite-element simulator and they suggest, in agree-

D’ORAZIO AND WU: SIW CIRCULATORS SUITABLE FOR MILLIMETER-WAVE INTEGRATION

3677

Fig. 6. Turnstile SIW circulator with a dielectric spacer of " = 2:33. (Color version available online at http://ieeexplore.ieee.org.)

Fig. 5. Ferrite radius versus filling factor.

ment with previously published results, that a filling factor between 0.6–0.9 is required to properly adjust the in-phase eigennetwork. It is understood, however, that a compromise exists between the filling factor, susceptance slope parameter, and resonator [11]. The effect of the waveguide wall on the operating frequency is taken into account with the usual transverse resonance equation. The effect of the surrounding medium is taken into account by using an effective dielectric constant instead of the constitutive one for the gyromagnetic material. This effective dielectric constant is calculated from the exact propagation constant of an open gyromagnetic waveguide [12]. The transcendental equation is given by

(5) is the effective permittivity of the ferrite, where the spacer, is the free-space wavenumber, and

is that of

(6) (7) The ferrite material has a saturation magnetization of . Its relative dielectric constant is . The design frequency was selected as GHz, compatible with some previous work. Fig. 5 illustrates the required ferrite radius versus the filling factor for two values of the dielectric constant at a design frequency of GHz. This figure shows that introducing the dielectric spacer lowers the resonant frequency. C. Complex Gyrator Circuit Once the in-phase and degenerate eigenvalues are commensurate and the in-phase eigenvalue presents a short-circuit

boundary condition, the second circulation condition is met by removing the degeneracy between the counter rotating ones. The complex gyrator circuit exhibited by the junction is then similar to that exhibited by the planar solution. In this study, the complex gyrator circuit was extracted through the eigenvalues of the junction by resorting to a finite-element simulator [13]. In this method, the circulation frequency is chosen as that where the counter rotating eigennetworks display conjugate reactances and the split frequencies coincide with the frequency where these same eigennetworks display open circuits. The values obtained in this way for a resonator with a ferrite radius of mm and a thickness of mm using an air spacer are , and . The corresponding complex gyrator circuit parameters for a junction with a ferrite radius of mm and length of mm using a dielectric spacer of were found to be , , and . Although these values are not compatible with an optimum degree-2 specification, they are compatible with a nonoptimum one of approximately a 10% bandwidth at the 20-dB return-loss points [14]. D. Degree-2 Circulator Here, two designs are realized and the experimental results are demonstrated. In both designs, the distance between the short-circuited face of the ferrite and waveguide wall was kept equal to the dimension of the waveguide to simplify the fabrication of the circulator. In the first design, a dielectric spacer was embodied in the substrate material by milling a pocket to receive the ferrite. Although this is somewhat more complicated than simply drilling a thru-hole and using air as the dielectric spacer, this arrangement ensures that there are no gaps between the flat face of the ferrite and waveguide wall. It also ensures an accurate adjustment of the spacer thickness. A three-dimensional (3-D) view of the geometry is illustrated in Fig. 6. In the first design, a 90 unit element (UE) displaced 180 from the terminals of the ferrite was used as the matching section. The filling factor was fixed at corresponding to a ferrite thickness of mm. The details of the ridge transformer are fixed to coincide with a nonoptimum frequency response; one solution is , , and mm. The final dimensions after optimization were

3678

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 9. Turnstile SIW circulator using an air spacer. (Color version available online at http://ieeexplore.ieee.org.)

Fig. 7. Dielectric loaded turnstile circulator frequency response with R = 1:524 mm, L = 1:016 mm, s=a = 0:25 mm, d=b = 0:774 mm, L = 2:032 mm, and Loc = 6:604 mm.

Fig. 10. Return loss at the input and output terminals of the 180 UE.

Fig. 8. Dielectric loaded turnstile circulator frequency response with R = 1:524 mm, L = 1:016 mm, s=a = 0:25 mm, d=b = 0:774 mm, L = 2:032 mm, and Loc = 6:604 mm.

found to be

mm, mm, , , and mm. The transformer was displaced a distance of mm. The final dimensions are in fair agreement with the theoretically calculated ones. Three via-holes were symmetrically placed in the junction for tuning purposes. A prototype was manufactured and tested and the results are illustrated in Figs. 7 and 8. The simulated ones are superimposed for comparison. An 8% bandwidth at the 15-dB return-loss points with a deembedded insertion loss better than 0.6 dB was measured. In the second design, a quarter-wavelength gyromagnetic resonator loaded by a cutoff section of an air-filled waveguide was utilized as the resonator. The initial dimensions of the resonator were calculated as per Fig. 5. The filling factor, in this case, was

corresponding to a ferrite length of fixed at mm. Although this resonator could also be broad-banded by a suitable 90 UE, the topology utilized here consists of a 90 UE followed by a 180 one, as illustrated in Fig. 9. Strictly speaking, the reference plane of the 90 UE is at the terminals of the ferrite; however, it was necessary to displace it in this study. This displacement is mm with reference to the ferrite center. A 180 UE is then introduced to produce the desired degree-2 filter response. The simulated results at the input and output terminals of the 180 UE are superimposed in Fig. 10. The bandwidth where the return loss is less than 20 dB has been increased from approximately 7% to 12%. A second array of metallic via-holes was used to narrow the junction from an equivalent width of mm to one of mm. To simplify the construction of the circuit, the aspect ratio of the 90 UE and that of the 180 UE were kept the same. The impedance of the 90 UE is fixed by and . Its length is mm. The impedance of the 180 UE is fixed by , , and mm. A prototype was manufactured and tested. The results are illustrated in Figs. 11 and 12. The simulated ones are superimposed for comparison. A 12% bandwidth at

D’ORAZIO AND WU: SIW CIRCULATORS SUITABLE FOR MILLIMETER-WAVE INTEGRATION

3679

10%–15% at the 15-dB return-loss points were achieved with no external tuning. It is expected that with a proper SIW calibration kit, the performance may be significantly improved. SIWs are a recent technology that offer the possibility of low-cost integrated millimeter-wave systems that are capable of handling medium power levels at a very low cost. Although a PCB was utilized in this study, these concepts are applicable to any planar transmission medium including LTCC. ACKNOWLEDGMENT

Fig. 11. Turnstile circulator frequency response with R = 1:397 mm, L = = 1:27 mm, Loc = 2:794 mm, a = 5:08 mm, d=b = 0:677, s=a 0:4, L = 2:159 mm. d=b = 0:677, s=a = 0:21, and L = 3:81 mm.

Fig. 12. Turnstile circulator frequency response with R = 1:397 mm, L = 1:27 mm, Loc = 2:794 mm, a = 5:08 mm, d=b = 0:677, s=a 90 = = 0:677, s=a = 0:21, and L = 0:4, L = 2:159 mm. d=b 3:81 mm.

the 15-dB return-loss points, between 23–26 GHz, with a deembedded insertion loss better than 0.3 dB is obtained. One source of error in deembedding the insertion loss may be attributed to the curved section of SIW between ports 1 and 2 of the SIW to rectangular waveguide housing. Via-holes in this curved SIW that are not properly plated will decrease the insertion loss that is attributed to the circulator. IV. CONCLUSIONS This paper is a contribution to the study of integrated millimeter-wave nonreciprocal components. In particular, a number of SIW circulators were realized, and the preliminary experimental results are very promising. Bandwidths between

The authors wish to acknowledge J. Gauthier, S. Dube, and R. Brassard, all with Poly-Grames Research Center, École Polytechnique de Montréal, Montréal, QC, Canada, for their help in fabricating the prototypes. In addition, a special thanks is extended to Filtronic, East Kilbride, U.K., in particular, M. Mckay, for support in providing the ferrites and to J. Helszajn, Filtronic, for many helpful discussions. REFERENCES [1] N. Jain and N. Kinayman, “A novel microstrip mode to waveguide mode transformer and its applications,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2003, pp. 90–96. [2] D. Deslandes and K. Wu, “Integrated microstrip and rectangular waveguide in planar form,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 2, pp. 68–70, Feb. 2001. [3] Y. Cassivi, D. Deslandes, and K. Wu, “Substrate integrated waveguide directional couplers,” in Proc. Asia–Pacific Microw. Conf., Kyoto, Japan, Nov. 2002, pp. 1409–1412. [4] W. Che, E. Yung, and K. Wu, “Millimeter-wave ferrite phase shifter in substrate integrated waveguide (SIW),” in IEEE Int. AP-S Symp. Dig., Jun. 2003, pp. 887–890. [5] K. Wu, W. D’Orazio, and J. Helszajn, “A substrate integrated waveguide degree-2 circulator,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 207–209, May 2004. [6] J. Helszajn, “Microwave measurement techniques for below resonance junction circulators,” IEEE Trans. Microw. Theory Tech., vol. MTT-21, no. 5, pp. 347–351, May 1973. [7] C. E. Fay and R. L. Comstock, “Operation of the ferrite junction circulator,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 1, pp. 15–27, Jan. 1965. [8] J. Helszajn, “Experimental evaluation of junction circulators: A review,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 141, pp. 351–358, Oct. 1994. [9] D. M. Pozar, Microwave Engineering. Reading, MA: AddisonWesley, 1993. [10] J. Helszajn and F. C. Tan, “Design data for radial-waveguide circulators using partial-height ferrite resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-23, no. 3, pp. 288–298, Mar. 1975. [11] J. Helszajn and J. Sharp, “Resonant frequencies, Q-factor, and susceptance slope parameter of waveguide circulators using weakly magnetized open resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 6, pp. 434–441, Jun. 1983. [12] J. Helszajn and J. Sharp, “Dielectric and permeability effects in HE open demagnetized ferrite resonators,” Proc. Inst. Elect. Eng.—Microw. Antennas, Propag., pp. 271–275, Aug. 1986. [13] HFSS. ver. 9.1, Ansoft Corporation, Pittsburgh, PA, 2003. [14] J. Helszajn, “Non-optimum frequency response of UE coupled STUB-R load,” Microw. Eng. Eur., pp. 339–343, Oct. 1998.

William D’Orazio was born in Montréal, QC, Canada, in November 1973. He received the M.Eng. degree in electrical and computer engineering from École Polytechnique de Montréal (University of Montreal), Montéal, QC, Canada, in 2004. He is currently an Advanced Member of Technical Staff with COMDEV Inc., Cambridge, ON, Canada. He has authored or coauthored several papers in the area of ferrite devices.

3680

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Ke Wu (M’87–SM’92–F’01) is currently a Professor of electrical engineering and the Tier-I Canada Research Chair in RF and millimeter-wave engineering with the École Polytechnique de Montréal (University of Montreal), Montréal, QC, Canada. He also holds a Cheung Kong Endowed Chair Professorship (visiting) with Southeast University, and an Honorary Professorship with Nanjing University of Science and Technology, and the City University of Hong Kong. He was the Director of the Poly-Grames Research Center. He has authored or coauthored over 480 referred papers and also several books/book chapters. His current research interests involve SICs, antenna arrays, advanced computer-aided design (CAD) and modeling techniques, and development of low-cost RF and millimeter-wave transceivers. He is also interested in the modeling and design of microwave photonic circuits and systems.

Dr. Wu is a member of the Electromagnetics Academy, Sigma Xi, and the URSI. He is a Fellow of the Canadian Academy of Engineering (CAE) and a Fellow of the Royal Society of Canada (The Canadian Academy of the Sciences and Humanities). He has held key positions in and has served on various international committees including the chair of Technical Program Committees, international Steering Committees, and international conferences/symposia. He has served on the editorial/review boards of numerous technical journals, transactions, and letters as both editor and guest editor. He is currently the chair of the joint IEEE chapters of the Microwave Theory and Techniques Society (MTT-S)/Antennas and Propagation Society (AP-S)/Lasers and Electro-Optics Society (LEOS), Montréal, QC, Canada. He is an elected IEEE MTT-S Administrative Committee (AdCom) member for 2006–2009 and serves as the chair of the IEEE MTT-S Transnational Committee. He has been the recipient of numerous awards and prizes including the first IEEE MTT-S Outstanding Young Engineer Award.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3681

On the Development of an Integrated CMOS-Based UWB Tunable-Pulse Transmit Module Meng Miao and Cam Nguyen, Fellow, IEEE

Abstract—A novel low-cost low-power fully integrated tunable transmit module composed of a tunable CMOS monocycle pulse generator and compact uniplanar antenna was designed, built, and tested for ultra-wideband (UWB) impulse systems. The CMOS tunable pulse generator integrates a tuning delay circuit, square-wave generator, impulse-forming circuit, and pulse-shaping circuit in a single chip using a standard low-cost 0.25- m CMOS process. It can generate a monocycle pulse and Gaussian-type impulse (without the pulse-shaping circuitry) signals with tunable pulse duration. A compact uniplanar UWB antenna was also developed and integrated directly with the CMOS pulse generator chip to form the complete integrated tunable UWB transmit module. Measured results show that the CMOS tunable pulse generator can produce a 0.3–0.6-V peak-to-peak monocycle pulse with 140–350-ps tunable pulse duration and a 0.5–1.3-V peak-to-peak impulse signal with 100–300-ps tunable pulse-duration, and the uniplanar antenna has less than a 18-dB return loss and is suitable for transmitting/receiving UWB time-domain impulse signals covering the entire UWB bandwidth of 3.1–10.6 GHz. Good agreement between measured and calculated performance is also achieved. The UWB transmit module was experimentally characterized and its performance is verified. This UWB module finds applications in various time-domain UWB systems including wireless communications and radar. Index Terms—CMOS RF integrated circuit (RFIC), impulse generator, monocycle pulse generator, ultra-wideband (UWB) communications, uniplanar antenna, UWB radar, UWB systems, UWB transmitter.

I. INTRODUCTION LTRA-WIDEBAND (UWB) time-domain impulse communication and radar systems use ultra-short duration pulses in the sub-nanosecond regime instead of the more conventional continuous sinusoidal waves to transmit information. The pulse directly generates a very wideband instantaneous signal with various duty cycles depending on specific usages. An impulse-based UWB receiver, whose main component is a front-end cross-correlator, coherently and directly converts the received RF pulse-train signal into a baseband digital or analog output signal in one stage. No IF stage is needed, thus greatly reducing the system complexity. Since the total transmitted power is spread over an extremely wide range of frequencies, the power spectral density (PSD) is extremely low.

U

Manuscript received February 3, 2006; revised June 27, 2006. This work was supported by the National Science Foundation. The authors are with the Electrical and Computer Engineering Department, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]; [email protected]). Color versions of Figs. 3–11, 13, and 14 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.882874

This effectively results in extremely small interference to other radio signals while maintains excellent immunity to interference from these signals. UWB devices can, therefore, work within frequencies already allocated for other radio services, thus helping to maximize this dwindling resource. Therefore, the impulse UWB technique is attractive for realizing high-data-rate short-range communications, ground penetrating radar (GPR), and military radar with relatively low emission power levels. Discussion of UWB radar and communications can be found in various sources, e.g., [1] and [2]. In impulse UWB systems, the pulse generator is a key component for both the transmitter and receiver. The selection of a particular pulse signal type for UWB systems is one of the fundamental considerations in the design of UWB circuits and systems because the pulse waveform determines the spectrum characteristics of the UWB signal and effectively dictates specific requirements and constraints for component and system design. The step-function Gaussian impulse and monocycle pulse are typical waveforms used in UWB systems. Among these, monocycle pulse has no dc component and band-limited characteristic, facilitating its transmission using a practical antenna, and is thus normally preferred. Most existing UWB pulse generators are based on approaches developed for radar applications and involve hybrid circuit techniques, e.g., [3]. Commercial UWB systems, particularly those for wireless communications and sensors, prefer CMOS RF integrated circuit (RFIC) design for low-cost low-power consumption, and easy integration with digital integrated circuits (ICs) (and, hence, better potential for complete system-on-a-chip). To this end, new types of integrated-circuit pulse generators, different from the traditional hybrid circuits, should be employed based on CMOS processes to produce pulses with sub-nanosecond pulsewidth. One of the desirable functions of pulse generators is the tuning capability to generate pulse signals of different durations. For instance, tunable pulse generators produce flexibility for UWB impulse radar [4]. Tuning ability is also useful for compensating variations caused by CMOS process. In a tunable pulse, the wider pulse contains large low-frequency components, which can propagate more deeply into a medium due to relatively low propagation loss at low frequencies. The shorter pulse, on the other hand, has more high-frequency components, thus making feasible higher range resolution. Therefore, a pulse that can change its duration, especially by electronic means, would have both advantages of increased penetration (or range) and fine range resolution and is attractive for UWB systems. The polarimetric video impulse radar described in [5] and [6]

0018-9480/$20.00 © 2006 IEEE

3682

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

is a good example showing the usefulness of tuning capability of the pulse generator. UWB tunable impulse and monocycle pulse transmitters have been recently developed using step-recovery and p-i-n diodes and hybrid circuits [7], [8]. Recently, several CMOS pulse generator topologies were proposed for UWB communications using the IBM 0.18- m BiCMOS [9], TSMC 0.18- m CMOS [10], [11], and CSM 0.18- m CMOS/BiCMOS [12] process. However, no experimental results were presented for these circuits. The calculated pulsewidths and amplitudes of the output pulses are around 300 ps and 20 mV [9], 300 ps and 22.97 mV [10], 380 ps and 650 mV [11], and 200 ps and 27 mV [12]. Furthermore, a square-wave and a 1.5-GHz clock signal were used externally as the input in [9], [12], and [10] for simulations, respectively. An antenna is a critical component in UWB systems. The UWB requirement brings great challenges to the antenna design. For impulse UWB systems, this demand is especially strict, as the antenna needs to cover the entire frequency range of 3.1–10.6 GHz and radiates all the frequency components coherently at once. Furthermore, the transmitted and received UWB signals of UWB impulse systems require antennas not only radiating energy efficiently, but also having linear phase response over an ultra-wide frequency band, thus precise timing and clean temporally nondispersed waveforms can be achieved. Currently, a few high-quality nondispersive UWB antennas are commercially available [13]. However, the large size of these antennas makes them less suitable for most commercial applications and particularly not feasible for portable or handheld uses. Therefore, there is a great need for low-cost compact easy-to-manufacture UWB antennas that are omni-directional, radiation-efficient, and have low distortion. These antennas should also facilitate integration with UWB CMOS chips. In this paper, we report the unprecedented development of a new compact UWB transmit module, integrating a new tunable monocycle-pulse generator and a novel uniplanar UWB antenna together. The tunable monocycle-pulse generator was designed and fabricated using a standard low-cost 0.25- m CMOS process. The pulse generator chip produces 0.3–0.6-V peak-to-peak monocycle pulse with 140–350-ps tunable pulse duration. Without the pulse-shaping circuitry, it can also generate a 0.5–1.3-V peak-to-peak Gaussian-type impulse signal with 100–300-ps tunable pulse duration. These pulse signals can be used for various UWB systems. An external clock signal operating at a low frequency of only 10 MHz is needed. The uniplanar UWB antenna was designed and fabricated on a Duroid substrate. It is very compact and suitable for direct integration with CMOS RFICs. The frequency-domain measurement of the antenna shows a return loss of less than 12 dB across the entire UWB range of 3.1–10.6 GHz. The time-domain results demonstrate less than 18-dB return loss and an excellent time-domain reflectometry (TDR) response. Its performance has been verified through measurement results. To the best of our knowledge, this is the first reported CMOS-based UWB transmitter-antenna subsystem capable of radiating both tunable monocycle pulses (140–350 ps) and tunable impulses (100–300 ps) for UWB time-domain impulse systems.

Fig. 1. Block diagram of the CMOS UWB tunable monocycle pulse generator chip.

Fig. 2. Circuit schematics of the: (a) tunable delay cell and (b) reference cell.

II. DESIGN AND PERFORMANCE OF THE CMOS TUNABLE MONOCYCLE PULSE GENERATOR The pulse generator is a fundamental component in impulse UWB systems. It can function as a source for the transmitter or an internal source for the template signal in the receiver. Fig. 1 shows a block diagram of the proposed CMOS UWB tunable monocycle pulse generator. It integrates a tuning delay circuit, a square-wave generator, an impulse-forming circuit, and a pulse-shaping circuit in a single chip. The chip was fabricated using the standard low-cost TSMC 0.25- m CMOS process.1 A single 2.5-V low supply voltage is used for the entire circuit. The design and simulation were performed using the Advanced Design System (ADS) [14] and TSMC 0.25- m CMOS Process Design Kit. The tuning delay component includes a pair of parallel tunable delay cell and reference cell using shunt-capacitor delay elements [15], as shown in Fig. 2. is an NMOS-type capacitor. The nMOS transistor controls the charging and discharging current to the capacitor . The only difference between the circuits of the tunable delay cell and reference cell is the gate voltage of the transistor , which controls the charge current. For the tunable delay cell, variable control voltage is applied to the gate of to produce continuous delay variation. On the other hand, for the reference cell, the gate voltage of is fixed to , thus the time delay is constant and provides a reference position to the tunable delay cell. The advantage of using two identical delay structures is that the relative time delay between the two paths can be easily controlled. The function of the square-wave generator is to produce a square-wave signal with very short rising and falling times when a sinusoidal clock signal is fed to the circuit. A series of inverters with increasing size for each step is used to increase the drive capabilities and shorten the rising and falling times of the square-wave signal. 1TSMC

0.25-m CMOS Process, MOSIS Foundry, Marina del Rey, CA.

MIAO AND NGUYEN: ON THE DEVELOPMENT OF INTEGRATED CMOS-BASED UWB TUNABLE-PULSE TRANSMIT MODULE

Fig. 3. Illustration of signal shapes at each node of the tunable monocycle pulse generator shown in Fig. 1.

Sharp rising/falling time is needed as the minimum width of the impulse signal generated in the subsequent stage is determined by the rising and falling times of the feeding square wave. The impulse-forming block is made up of an inverted delay stage and a NOR gate block. The main purpose of the NOR gate block is to generate an impulse-like signal and provide driving capability to the next stage. This impulse should also be able to evoke the impulse response of the succeeding component to further produce a monocycle pulse (or other types of pulse waveforms, as needed for UWB systems). The last stage of the tunable monocycle pulse generator is the pulse-shaping circuit, which consists of a shunt on-chip spiral inductor and series capacitor. The size of the on-chip spiral inductor was optimized using the electromagnetic (EM) software IE3D [16] and an inductive value of 0.4 nH is used. As the pulse-shaping circuit functions approximately like a differentiator, a monocycle pulse signal with tunable pulse duration is produced when the impulse-like signal from the impulse-forming circuit is fed to the pulse-shaping circuit. Fig. 3 illustrates the voltage variations at different nodes , , , and of the tunable monocycle pulse generator designated in Fig. 1 when a 10-MHz sinusoidal clock signal is fed to the generator. As shown in Fig. 1, the input clock signal is divided equally into two paths: one signal passing through the tunable delay cell in the top path and another going through the reference cell in the bottom path. At node , a square-wave signal (0 V to ) with very short rising and falling times is generated and functions as one of the inputs to the following NOR gate block. By choosing a suitable control voltage for the tunable delay cell between 0 V and , another square wave with a different delay time is generated at node . This signal is the reversed replica of that at node with a certain time difference and acts as another input signal to the NOR gate block. The output of the NOR gate block is at high state only

3683

Fig. 4. 0.25-m CMOS tunable monocycle pulse generator chip including pads for on-wafer probe measurement.

when the inputs to the NOR gate are both at low state (0 V). For all the other input states, the output are always low (0 V). When these two reversed square waves at and are fed to the NOR gate block, a narrow impulse-like signal is generated at node . The width of this impulse signal depends on the relative time delay between these two square-wave signals and their rising and falling edges. The impulse signal at node , therefore, can be easily generated with a continuously tuning duration. A smaller delay time between nodes and generates a narrower impulse with a smaller peak-to-peak voltage on node , while a larger delay time produces a broader impulse with a higher peak-to-peak voltage. When the tunable impulse signal is sent to the pulse-shaping circuit, a monocycle pulse signal with different durations is achieved at node . Fig. 4 shows a photograph of the tunable CMOS monocycle pulse generator fabricated using the TSMC 0.25- m CMOS process. It occupies an area of 300 m 250 m. The CMOS tunable monocycle pulse generator chip was measured on-wafer in both the time and frequency domains using a probe station, digitizing oscilloscope, and spectrum analyzer. To verify the design concept for generating tunable impulse, a separate chip without the pulse-shaping circuitry was first measured. The measured and calculated impulse signals with different durations are shown in Fig. 5 for a 50- load condition, and are expectedly similar to the illustrated voltage waveforms at node shown in Fig. 3. Impulse signals having 0.5–1.3-V peak-to-peak voltage with 100–300-ps tunable pulse duration were measured. The pulse duration is defined at 50% of the peak amplitude. The pulsewidth tunability is achieved by varying the gate control voltage of the tunable delay cell within the range of 0 V to . Fig. 5 also clearly shows that the generated impulse signals have a common falling edge, which is only determined by the falling edge of the square wave at node , while the rising edge of the impulses is affected by the rising edge of the square wave at node and the tunable delay time.

3684

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 5. Measured and calculated impulse signals with tunable pulse duration.

Fig. 7. Measured PSD of the 140-ps monocycle pulse generated by the pulse generator.

peak voltage and 140–350-ps tunable pulse duration, at 50% of the peak amplitude, were measured, which are also similar to the pulse shapes at node of Fig. 3. To verify the frequency response performance of the generated monocycle pulses, the PSD was also measured using a spectrum analyzer. Fig. 7 displays the measured PSD of the monocycle pulse with 140-ps pulse duration, showing that most of PSD is below 50 dBm over the 3.1–10.6-GHz band. III. DESIGN AND PERFORMANCE OF THE UWB UNIPLANAR ANTENNA

Fig. 6. Measured monocycle-pulse signals with tunable pulse duration for different control voltages.

It is noted that the measured waveforms are very symmetrical with almost no distortion. Good symmetry and low distortion are important for most pulse applications. As can be seen, the measured results are well matched to the simulated ones. It should note that the final pulse generated consists of three parts, which are: 1) rising edge; 2) tuning delay; and 3) falling edge. For pulses with very narrow width, only the rising and falling edges are involved, resulting in amplitudes much smaller than those for wider pulses. When the pulsewidth reaches a certain value, the full rising and falling edges and tuning delay part all contribute to the pulse generation so the amplitude does not change anymore and different tuning delays will only change the final pulsewidth. Consequently, there is not much difference between the amplitudes. Using a better technology such as 0.18- m CMOS would improve the tuning range of pulses with uniform amplitude. The measured tunable monocycle pulse signals are shown in Fig. 6 for a 50- load condition. By changing the gate control voltage of the tunable delay cell in the range of 0 V to , symmetric monocycle pulses with 0.3–0.6-V peak-to-

UWB antennas are the key element deciding the transmitted and received pulse shape and amplitude in both the time and frequency domains. They should have good impulse response with minimal distortion. To facilitate integration with the designed CMOS tunable monocycle pulse generator chip, a compact UWB antenna with uniplanar structure is preferred. Additionally, a “center-fed” uniplanar structure should be avoided due to the reason that the feed region of this structure lies in the heart of the most intense near fields surrounding the antenna. Strong coupling between the feed structure and antenna seriously affects the near field and distorts the antenna radiation pattern [17]. To overcome potential problems caused by the coupling between the feed and antenna and to meet the requirements for UWB applications, such as ultra-wide bandwidth, reasonable efficiency, satisfactory radiation properties, and linear phase characteristics, we propose to implement a novel compact uniplanar UWB antenna, as shown in Fig. 8. The antenna was fabricated on a Duroid substrate having 0.025-in thickness and a relative dielectric constant of 10.2. The area occupied by the antenna aperture is only 1.2 in 1.4 in. The operation of the antenna is based on the principles of nonuniform transmission lines [18] and well-known traveling-wave antennas. In the uniform section of a coplanar waveguide (CPW) connecting the subminiature A (SMA) connector to the antenna, most of the energy is confined within the transmission line until it reaches the antenna center, where the energy is coupled from the CPW to the two parallel 100- slot lines. Tapered slot lines are used

MIAO AND NGUYEN: ON THE DEVELOPMENT OF INTEGRATED CMOS-BASED UWB TUNABLE-PULSE TRANSMIT MODULE

3685

Fig. 8. Developed UWB antenna along with the 50- CPW feed line and SMA connector (left).

Fig. 10. Measured and calculated TDR responses of the uniplanar UWB antenna.

Fig. 9. Measured and simulated return loss of the uniplanar UWB antenna.

to simulate an impedance transformer from 100 to approximately 377 . The characteristic impedances of the tapered sections were selected to produce minimum internal reflections for the antenna input signal over the UWB frequency range of 3.1–10.6 GHz. Microwave Studio [19] was used to perform the time-domain EM simulation and to optimize the antenna structure to minimize reflections occurring at the open-end transition. It should be particularly noted that for impulse UWB applications, as is considered here, the time-domain performance of the antenna is much more critical than its frequency counterpart. The antenna is used for transmitting or receiving UWB time-domain signals (impulse or monocycle pulses, as addressed here), not multiple discrete frequency components in the continuous wave (CW) mode. Additionally, the antenna transmits all frequency components simultaneously, not consecutively. Although from the Fourier series point-of-view, the frequency and time domains are correlated, and one can then view them as equivalent, and should be distinguished from one another for UWB time-domain applications. Fig. 9 shows the measured and simulated results of return loss in the frequency domain. Measured result shows more than 12-dB return loss over the entire 3.1–10.6-GHz UWB frequency band. As this return loss includes all effects from the designed antenna, CPW feed line, and SMA connector, it is difficult to derive the antenna’s actual performance from the frequency-domain results. On the contrary, it is relatively very easy to distinguish the antenna performance from other effects in the time

Fig. 11. Fabricated UWB transmit module.

domain. Furthermore, as the antenna is intended for radiating impulses or monocycle pulses, as discussed earlier, it is imperative to characterize it in the time domain. Fig. 10 shows the measured and simulated TDR response results in time domain for a 50-ps input impulse signal. It is clear that, from 0 to 0.5 ns, the response corresponds to effects of the SMA connector and CPW feed line. The response after 0.5 ns is caused by the designed antenna aperture and, as can be seen, the measured result matches very well with that simulated, which confirms the antenna design. The TDR performance also demonstrates excellent time-domain behavior of the designed antenna, which is crucial for UWB time-domain impulse applications. The measured time-domain results indicate that better than 18-dB return loss is achieved for the antenna. Good performance together with small size and uniplanar structure make the designed antenna a very good candidate not only for UWB applications, but also for integration with printed-circuit UWB transmitters and receivers. IV. DESIGN AND PERFORMANCE OF THE CMOS-BASED UWB TRANSMIT MODULE Fig. 11 shows a photograph of the fabricated tunable UWB transmit module integrating the previously described CMOS

3686

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 12. Test setup for pulse transmission measurement of the UWB transmit module.

Fig. 14. Measured received signals of the monocycle pulses transmitted by the UWB transmit module for different control voltages.

the first derivative of the monocycle pulses, as expected from the designed antenna. Both the measured impulse and monocycle-pulse transmission results clearly demonstrate the workability of the developed CMOS-based tunable UWB transmit module. Fig. 13. Measured received signals of the impulses transmitted by the UWB transmit module for different control voltages.

tunable monocycle pulse generator and UWB uniplanar antenna. The CMOS chip is mounted directly onto the edge of the antenna without a feed line. The transmission line connecting the SMA connector and the CMOS chip, used for feeding the external 10-MHz clock signal, and the bias lines are etched onto the same board of the antenna. It is noted that the CMOS chip contains other RFICs besides the pulse generator. Fig. 12 shows the block diagram of the test setup used for pulse transmission measurement of the UWB transmit module. The quasi-microstrip antenna operating from 0.2 to more than 20 GHz [20] is used as the receiving antenna since it can produce faithfully the waveform of the received UWB signal. The UWB antenna of the developed UWB transmit module and the quasi-microstrip antenna face each other and are spaced 3-ft apart. The pulse received by the quasi-microstrip antenna is fed into a power divider and displayed in a 50-GHz digitizing oscilloscope. Fig. 13 shows the pulse signals received from the tunable impulse signals, shown in Fig. 5, transmitted by the UWB transmit module. The pulse-duration tunability is clearly visible in the received pulses. As can be seen, the received signals are monocycle pulses with pulse duration tunable from 160 to 350 ps. The resultant monocycle waveform is due to the differential function of the designed antenna. The received pulses maintain good symmetry with no serious distortion and ringing. Fig. 14 shows the received pulse signals corresponding to the monocycle pulse signals, shown in Fig. 6, transmitted by the UWB transmit module. The received pulse also has tunable durations. All the received signals have a shape similar to

V. CONCLUSION A novel low-cost low-power fully integrated CMOS-based transmit module with tunable pulse duration has been developed for UWB impulse systems. The CMOS tunable monocycle pulse generator of the transmit module was fabricated using a standard low-cost 0.25- m CMOS process and evaluated in both the time and frequency domains. Impulse and monocycle pulse with tunable durations were generated. A compact uniplanar UWB antenna operating over the entire 3.1–10.6-GHz UWB range was also developed, and measurements were conducted in the time and frequency domains. The time-domain measurement particularly verifies the usefulness of the antenna for UWB time-domain impulse applications. The tunable UWB transmit module was formed by directly mounting the CMOS pulse generator chip onto the antenna substrate. Performance of the integrated UWB transmit module was verified experimentally for both impulse and monocycle pulses, demonstrating its possibility for use in various UWB applications including UWB communication systems, sensors, and radars. This successful development also paves the way for more advanced UWB transceiver modules integrating CMOS transceiver chips directly with antennas. REFERENCES [1] J. D. Taylor, Ed., Introduction to Ultra-Wideband Radar Systems. Boca Raton, FL: CRC, 1995. [2] J. Foerster, E. Green, S. Somayazulu, and D. Leeper, “Ultra-wideband technology for short- or medium-range wireless communications,” Intel Technol. J., vol. Q2, pp. 1–11, 2001. [3] J. S. Lee and C. Nguyen, “Novel low-cost ultra-wideband, ultra-shortpulse transmitter with MESFET impulse-shaping circuitry for reduced distortion and improved pulse repetition rate,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 5, pp. 208–210, May 2001.

MIAO AND NGUYEN: ON THE DEVELOPMENT OF INTEGRATED CMOS-BASED UWB TUNABLE-PULSE TRANSMIT MODULE

[4] J. Han and C. Nguyen, “Development of a tunable multi-band UWB radar sensor and its applications to subsurface sensing,” IEEE Sensors J., submitted for publication. [5] A. Yarovoy and L. Ligthart, “Full-polarimetric video impulse radar for landmine detection: Experimental verification of main design ideas,” in Proc. 2nd Int. Adv. Ground Penetrating Radar Workshop, 2003, pp. 148–155. [6] L. Ligthart and A. Yarovoy, “STW project: Advanced relocatable multi-sensor system for buried landmine detection,” in Proc. 2nd Int. Adv. Ground Penetrating Radar Workshop, 2003, pp. 5–8. [7] J. Han and C. Nguyen, “Ultra-wideband electronically tunable pulse generators,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 3, pp. 112–114, Mar. 2004. [8] J. W. Han and C. Nguyen, “On the development of a compact subnanosecond tunable monocycle pulse transmitter for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 285–293, Jan. 2006. [9] S. Bagga, W. A. Serdijn, and J. R. Long, “A PPM Gaussian monocycle transmitter for ultra-wideband communications,” in Proc. Joint UWBST/IWUWBS, May 2004, pp. 130–134. [10] Y. Jeong, S. Jung, and J. Liu, “A CMOS impulse generator for UWB wireless communication systems,” in IEEE Int. Circuits Syst. Symp., 2004, vol. 4, pp. 129–132. [11] H. Kim and Y. Joo, “Fifth-derivative Gaussian pulse generator for UWB system,” in IEEE Radio Freq. Integrated Circuits Symp., Jun. 2005, pp. 671–674. [12] Y. Zheng, H. Dong, and Y. P. Xu, “A novel CMOS/BiCMOS UWB pulse generator and modulator,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1269–1272. [13] J. R. Andrews, “UWB signal sources and antennas,” Picosecond Pulse Labs., Boulder, CO, Applicat. Note AN-14, Feb. 2003. [14] Advanced Design System (ADS). Agilent Technol., Palo Alto, CA, 2005. [15] M. Maymandi-Nejad and M. Sachdev, “A digitally programmable delay element: Design and analysis,” IEEE Trans. Very Large Integr. (VLSI) Syst., vol. 11, no. 5, pp. 871–878, Oct. 2003. [16] IE3D. Zeland Software Inc., Fremont, CA, 2005. [17] H. G. Schantz, “Bottom fed planar elliptical UWB antennas,” in IEEE Ultra Wideband Syst. Technol. Conf., Nov. 2003, pp. 219–223. [18] R. E. Collin, Foundations for Microwave Engineering. New York: IEEE, 2001, pp. 370–386. [19] “User’s Manual Vol. 5,” CST-Microwave Studio, Wellesley Hills, MA, 2005. [20] C. Nguyen, J. S. Lee, and J. S. Park, “Ultra-wideband microstrip quasihorn antenna,” Electron. Lett., vol. 37, no. 12, pp. 731–732, Jun. 2001. Meng Miao was born in Xuzhou, China, in 1969. He received the B.S. degree in physics from Nanjing University, Nanjing, China, in 1991, the M.S. degree in electrical engineering from the Nanjing Research Center of Electronics Engineering (NRCEE), Nanjing, China, in 1994, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station. From 1994 to 1998, he was an RF/Antenna Engineer with the Nanjing Research Institute of Electronics Technology, Nanjing, China, where he devel-

3687

oped antennas and microwave circuits for wireless communication. From 1998 to 2001, he was an RFIC Engineer involved with GaAs monolithic microwave integrated circuits (MMICs) and planar antennas with the National University of Singapore. His research interests include RFICs, MMICs, high-frequency components, wide-bandwidth antennas, and phased arrays, and radome design.

Cam Nguyen (F’05) received the B.S. degree from the California State Polytechnic University, Pomona, in 1980, the M.S. degree from the California State University, Northridge, in 1983, and the Ph.D. degree from the University of Central Florida, Orlando, in 1990, all in electrical engineering, while working full time at nearby industries. Following over 12 years in industry, in 1991 he joined the Department of Electrical Engineering, Texas A&M University, where he is currently the Texas Instruments Endowed Professor. From 2003 to 2004, he was Program Director with the National Science Foundation (NSF), where he was responsible for research programs in RF electronics and wireless technologies. From 1979 to 1990, he had various engineering positions in industry, including being a Microwave Engineer with the ITT Gilfillan Company, a Member of Technical Staff with the Hughes Aircraft Company (now Raytheon), a Technical Specialist with the Aeroject ElectroSystems Company, a Member of Professional Staff with the Martin Marietta Company (now Lockheed-Martin), and a Senior Staff Engineer and Program Manager with TRW (now Northrop Grumman). While in industry, he led numerous microwave and millimeter-wave activities and developed many microwave and millimeter-wave hybrid and monolithic ICs and systems up to 220 GHz for communications, radar, and remote sensing. At Texas A&M University, he has developed and taught different courses in microwave electronics—from theoretical EM field analysis to practical design of RF, microwave ICs and systems, and established a prominent diversified and interdisciplinary research program in microwave electronics. His research group at Texas A&M University currently focuses on CMOS RFICs and systems, microwave integrated circuits (MICs) and millimeter-wave ICs and systems, and UWB devices and systems for wireless communications, radar, and sensing applications—developing not only individual components, but also complete systems including design, signal processing, integration, and test. His research group has particularly been at the forefront of developing UWB ICs and systems for subsurface sensing and wireless communications and pioneered the development of microwave IC systems for sensing applications. His group developed some of the first complete all-MIC planar time-domain and frequency-domain UWB ground-penetrating radars, incorporating antennas, transmitters, and receivers completely on single packages. His group also developed some of the first millimeter-wave planar IC Doppler velocimetry for low-velocity measurement and interferometric sensors for displacement sensing with an unprecedented resolution of =840. He has authored or coauthored over 150 refereed papers, one book, and several book chapters, and given more than 80 conference presentations and numerous invited lectures. He has served as a member of the Editorial Boards and Technical Committees and a reviewer for various journals and conferences. He is the founding Editor-in-Chief of Sensing and Imaging: An International Journal. Dr. Nguyen was the chairman of the International Conference on Subsurface Sensing Technologies and Applications from 1999 to 2001.

3688

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Integral-Equation Analysis of 3-D Metallic Objects Arranged in 2-D Lattices Using the Ewald Transformation Ivica Stevanovic´, Member, IEEE, Pedro Crespo-Valero, Katarina Blagovic´, Frédéric Bongard, and Juan R. Mosig, Fellow, IEEE

Abstract—We present a space-domain integral-equation method for the analysis of periodic structures formed by three-dimensional (3-D) metallic objects arranged in a general skewed two-dimensional lattice. The computation of the space-domain Green’s function is accelerated using the Ewald transformation. The method is validated on several periodic structures ranging from planar frequency-selective surfaces to 3-D photonic crystals and metamaterials. For these structures, our technique shows a clear advantage in terms of computational speed when compared with available commercial softwares. Index Terms—Frequency-selective surfaces (FSSs), Green’s functions (GFs), integral equations (IEs), metamaterials, periodic structures, photonic-bandgap (PBG) materials.

I. INTRODUCTION ERIODIC structures, such as frequency-selective surfaces (FSSs) and photonic-bandgap (PBG) materials, provide uninhibited transmission in specific frequency bands while suppressing transmission in other bands. They have found a variety of applications in a broad range of the electromagnetic (EM) spectrum including antenna reflectors, quasi-optical filters, polarizers, switches, and designing more efficient lasers [1]. Recently, it has been shown that periodic structures built from nonmagnetic conducting sheets can exhibit negative real parts of permittivity and permeability [2]. These materials, designated as left-handed metamaterials, open new application fields. The scattering from doubly periodic arrays of perfect electric conductor (PEC) objects has been simulated using source-model [4] or time-domain [5] techniques. Fully three-dimensional (3-D) modeling capabilities of periodic structures can be achieved using finite-element (FE) methods that can cope with complicated shapes and anisotropic materials. However, the radiation into unbounded regions asks for either absorbing boundary conditions (ABCs) or perfectly matched layers (PMLs) and special measures need to be taken into account when employing these conditions to the scattered field formulation [6]. Therefore, hybrid finite-element/boundary-integral (FE/BI) techniques that are based on FE modeling of

P

Manuscript received February 1, 2006; revised May 30, 2006. This work was supported in part by the European Union Network of Excellence Metamorphose under Grant NMTP3-CT-2004-500252. The authors are with the Laboratory of Electromagnetics and Acoustics, Ecole Polytechnique Fédérale de Lausanne, CH-1015 Lausanne, Switzerland (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882876

a unit cell and BI modeling of its top and bottom faces are introduced. In these methods, the BI fields are expanded using spectral-domain Floquet modes [7] or using space-domain Green’s functions (GFs) accelerated applying the Ewald transformation [8]. Periodic structures are advantageously analyzed with integral-equation (IE) techniques, usually formulated in the spectral domain, as exemplified by the case of multilayered FSSs [1]. Two approaches can be employed. One is to compute a specific FSS in its entirety [9] and the other is to cascade the generalized scattering matrices (GSMs) of the constitutive building blocks [10]. Recently, the boundary-integral–resonant-mode expansion (BI-RME), used to compute the entire-domain basis functions in conjunction with method of moments (MoM), has been applied to analysis of FSSs [11]. The scope of these IE techniques is limited to planar metallic scatterers embedded in the planes of periodicity. Moreover, the involved EM quantities are expressed as Floquet sums with a slow convergence rate. In this paper, we propose a spatial-domain formulation of the IE, which allows us to deal with arbitrary 3-D metallic objects distributed on a two-dimensional (2-D) generic nonorthogonal lattice. Furthermore, the involved potential GFs are computed using the Ewald transformation to accelerate their convergence. Among the different techniques to speed up the evaluation of GFs [12], the Ewald transformation has clearly demonstrated its suitability for periodic problems, efficiently combining the spectral and spatial formulations of the GFs. It has been successfully used in the efficient GF computation of 2-D free-space periodic structures with rectangular lattices [13]–[15] and multilayered planar periodic structures [16], where the approach involves the approximation of spectral-domain GFs by the generalized pencil-of-function (GPOF) method [17]. In [18], a new acceleration technique with an exponential convergence rate has been presented, which is easier to implement numerically and can perform better than Ewald transformation for moderate accuracies. However, the Ewald transformation remains better for higher accuracy, the only inconvenience being in the computation of the complementary error functions with complex arguments. Our approach obviates this computational complexity by storing the GFs into 3-D tables. The GF values needed to compute the numerical integrals are retrieved from the tables using efficient interpolation routines, which leads to very accurate results and much faster computation than if the GFs are evaluated directly.

0018-9480/$20.00 © 2006 IEEE

´ et al.: IE ANALYSIS OF 3-D METALLIC OBJECTS ARRANGED IN 2-D LATTICES USING EWALD TRANSFORMATION STEVANOVIC

3689

are the unknown coefficients. By substituting (2) where into (1) and testing the IEs by the same set of basis functions , for each frequency , the following system of linear equations is obtained: (3) where for

Fig. 1. 2-D periodic structure with a general skewed lattice.

We apply the Ewald transformation to 3-D geometries periodically repeated along a 2-D skewed lattice. However, this technique can be easily extended to the case of 3-D metallo-dielectric inclusions in a 2-D lattice either by using the equivalence theorem and electric and magnetic surface currents or by means of the volume IEs and polarization currents. Both approaches have the convenience of dealing with homogeneous GFs where the Ewald transformation can be easily applied. II. IE AND MOM We consider a periodic structure with identical 3-D metallic objects of arbitrary shape periodically repeated in the -plane (Fig. 1). The periodic structure has a general skewed lattice defined by primitive vectors and and is illuminated by a plane wave impinging with the incidence angles . Let designate the surface of the perfectly conducting 3-D object within the structure’s unit cell. The analysis is based on the solution of the following mixed-potential integral equation (MPIE) [19]:

The MPIEs involve potential GFs that have milder singularity instead of for field GFs), which allows for the above ( integrals to be numerically solved in two possible ways. The singularity can be extracted, computed analytically [22], [23], and added to the remaining regular part. Another strategy is to solve the integral in polar coordinates, where the Jacobian of the transformation will cancel out the singularity [20]. III. REFLECTION AND TRANSMISSION COEFFICIENTS OF A PERIODIC STRUCTURE The components of the total EM field transverse to the propagation direction can be expanded in any plane of the periodic structure using the transverse vector eigenfunctions, designated as Floquet modes as [24], [25] (4a) (4b) and modes, and where goes through all the are the power coefficients of the modes propagating in the positive and negative -directions, respectively, is the propagation constant, and is the characteristic impedance of the th mode given by

(1) obtained by enforcing the boundary conditions (BCs) on the PEC surface . In (1), is the incident electric field, is the outside normal to , is the unknown current density on , and and are the vector and scalar potential GFs that take into account the periodicity of the problem. Finally, is a shorthand notation [20] of the surface convolution integral

where primed and unprimed coordinates designate source and observer positions, respectively. Equation (1) is solved by applying the MoM in the Galerkin form. The unknown current density is expanded using a set of Rao–Wilton–Glisson (RWG) [21] basis functions defined on triangular subdomains (or facets) of the surface

for

mode

for

mode.

In the case of oblique incidence , an arbitrary polarized incident plane wave can be expressed as a combination of the two fundamental Floquet modes, namely, and , while in the case of normal incidence , the incident plane wave is the Floquet TEM mode [26]. The expressions for the transversal and longitudinal components of the Floquet modes of a general skewed lattice are compiled in Appendix A. Suppose that the incident plane wave impinging from below corresponds to the th Floquet mode, the transverse component of the electric field of this wave can then be expressed as (5)

(2)

plane for which the reflecLet be a unit surface in the tion coefficient is being computed, and let be the surface in

3690

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

the plane for which the transmission coefficient is sought for. The total electric field in consists of the incident field traveling in the positive -direction and the field scattered from the metallic objects traveling in the negative -direction, and its transverse component can be expressed as

where . The spectral domain quantity represents the voltage at the point along the equivalent transmission line for a unitary value of the current generator placed at

(12c) (6) This field can be expanded into Floquet modes using (4a) (7)

have been computed by solving Finally, once the unknowns the MoM system of (3), using the orthonormality of the Floquet modes and (2) and (12a)–(12c), the reflection and transmission coefficients of any Floquet mode can be found as

Multiplying both (6) and (7) by , integrating over the surface of the unit cell , and using the orthonormal property of the Floquet modes , the reflection coefficient of the th mode can be expressed in terms of the reflected field as follows:

(13a)

(13b)

(8) In the same manner, we obtain the transmission coefficient. The total electric field in consists of the incident electric field and the scattered field both propagating in the positive -direction

(9) , there are only waves propagating in the positive In -direction so the expansion of the total electric field can be expressed as

In the case of a periodic structure with identical planar apertures of arbitrary shape periodically perforated in the plane, the reflection and transmission coefficients are computed as shown in [27].

IV. 2-D PERIODIC GFs A. Image Formulation Suppose the periodic structure is illuminated by a plane wave impinging from below with the incident angles , . The propagation vector of this plane wave is defined as

(10) , integrating over , and taking Multiplying (9) and (10) by into account the orthonormality of the Floquet modes, for the transmission coefficient, one obtains

(11) The transverse component of the scattered electric field can be expressed as a convolution integral of induced electric currents and the corresponding GF as

All the cells in the periodic structure are equal, and the field impinging on them is the same, save for a phase shift. This means that the total EM field (i.e., the solution of the Maxwell’s equations) will be equal for all the cells, except for the phase shift that corresponds to that one of the excitation. Therefore, if any EM-field component is known on the original cell and given by , it will be known over the entire periodicity plane since it can be written as

(12a) relates the electric current density to the transThe dyadic verse electric field and is given by (12b)

where the exponent reflects the phase shifts imposed by the excitation and is the translation vector of the lattice. The same considerations apply to GFs. Function can be a field component, but also any EM quantity linearly related to the fields (potentials, surface currents, etc.) Therefore, if

´ et al.: IE ANALYSIS OF 3-D METALLIC OBJECTS ARRANGED IN 2-D LATTICES USING EWALD TRANSFORMATION STEVANOVIC

3691

represents the free-space GF or the GF without periodic BCs, the GF of a periodic structure can be written as

lattice. According to (14) and (17), a potential GF of such a structure is given by the following image sum:

(14)

(18)

and

where

Following the results of Ewald [13], [28], we use the identity

.

B. Modal Formulation Let

and

(19)

be the vectors of the reciprocal lattice defined

by (15)

where is a complex variable and the path of integration is chosen such that the integrand remains bounded as and decays as . Splitting the integration path at in (19), we can write

Applying the Poisson 2-D summation formula to (14), one obtains the modal formulation of the periodic GF (20a) (16) represents the surface of the unit cell, is the translation vector of the reciprocal lattice, , and . If the periodic structure is in free space, we have the following Fourier transformation pair:

with (20b)

where

(17) and the required GFs are and for electric scalar and magnetic vector potentials, and and for magnetic scalar and electric vector potentials, respectively. In (17), , , and

and (20c) Using the Poisson 2-D summation formula and the following identity [29]:

(21) after some algebraic manipulations, (20b) becomes

. (22)

V. POTENTIAL GFs AND EWALD TRANSFORMATION Both infinite sums in (14) and (16) are slowly convergent. The image sum is converging faster when the observer point is in the source point region since the singularity is perfectly included in the expression. However, close to the lateral periodic walls, the convergence is deteriorated as the periodic BCs are going to be satisfied only for an infinite number of images taken into account. Each term of the modal sum, on the other hand, satisfies the BCs at the lateral walls and, hence, the convergence of this sum is faster close to the walls. However, taking into account the singularity at the source point would require an infinite number of modes leading, therefore, to the slow convergence of the modal sum in the source point region. The Ewald method successfully combines both modal and image formulations into a fast converging series. Here, we present the details of the Ewald transform used to compute the potential GFs of a periodic structure with general skewed

where . A direct application of (21) to (20c) with , and yields

In the above equations, with and signs. VI. OPTIMUM PARAMETER

,

(23) designates the sum of the terms

AND THE

GF CONVERGENCE

The complementary error function, which appears in both series and , makes these series converge rapidly. The best choice for the splitting parameter is the one that balances the rate of decay of the two series, making and contribute an equal number of terms to the final value . The approximation

3692

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

to the optimal value of for 2-D orthogonal lattices is given by [13] and it can be shown that this expression remains valid for skewed lattices as well. For high frequencies or, equivalently, large periodic spacings, the complementary error functions in the first terms of both and will take large imaginary arguments and behave as . These two terms will have very large values that are approximately equal in amplitude, but of opposite signs and summing them up will lead to a severe loss of accuracy due to a finite machine precision. This problem can be remedied by requiring that be smaller than a maximum permitted exponent . In that case, the value of the parameter has to be chosen as

(24) and Consider a skewed lattice with . Let the source position be fixed at and the observer be at the distance from the source along the diagonal , . Fig. 2 shows the number of significant digits of accuracy as the number of terms in both Ewald sums grows. The reference value for estimating the accuracy was computed for a large number of terms in both sums (729). We can observe a very rapid convergence rate for small and -periodic spacings in both cases when the observer is close to the source and close to the edge of the unit cell . Already for 25 terms, both sums achieve more than eight digits of accuracy as compared to the reference value. Fig. 3(a) illustrates the case of a large periodic spacing and the significant loss of accuracy that occurs due to a finite machine precision when a balanced optimal value of the parameter is used. However, when the parameter is based on the maximum exponent permitted, the accurate values of the GF are obtained [see Fig. 3(b)]. In that case, the sums are unbalanced and the modal sum needs much more terms to converge [see Fig. 3(c)]. The accurate numerical evaluation of the complex complementary error function can be done using very efficient numerical algorithms [30], [31]. A computationally efficient way of implementing GFs in a computer code is to pre-compute them at each frequency point and tabulate the values in a rectangular 3-D grid along , , and . The GF values needed to compute the numerical integrals in the MoM matrix are then retrieved from the table using interpolation routines (in our case, quadrature interpolation [31]). With a dense enough grid and nonuniform (cubic) distribution of points in the source region, the interpolation leads to very accurate results and much faster computation than if the GFs are evaluated directly. VII. NUMERICAL RESULTS A. Jerusalem Cross In the first example, we consider the problem of a freestanding planar array of perfectly conducting Jerusalem-cross shaped patches. Fig. 4 shows the magnitude and phase of the reflection coefficient for the Floquet TEM mode with the electric field oriented in the -direction . The number

Fig. 2. Number of significant digits of accuracy as compared to the reference (solid line value computed using a very large number of terms. Both sums 3) and (dashed line ) have very fast convergence and need approximately the same number of terms to achieve a given accuracy. (a) a a = and (b) a a :  for E E . R : a (left) and R : a (right).

9

=

= 0 99

=

= 0 01

9 =

= 5 =04

of terms in both Ewald sums was set to 25. Further increasing the number of terms did not show any changes in the reflection coefficient. The whole structure was discretized into 323 triangular cells to ensure accurate results in the entire frequency band. We compare the results obtained using our approach (solid line) with the results obtained using the spectral-domain approach [1] (dashed line) and the results obtained using the GSM [10] (circles). Good agreement with the reference results can be observed in both magnitude and phase of the reflection coefficient. B. Transmission Through Rectangular Apertures We calculate the transmission through a perfectly conducting screen perforated with rectangular apertures. The numerical example [26], used as a reference, has the following parameters:

´ et al.: IE ANALYSIS OF 3-D METALLIC OBJECTS ARRANGED IN 2-D LATTICES USING EWALD TRANSFORMATION STEVANOVIC

3693

Fig. 4. (a) Magnitude and (b) phase of the reflection coefficient as a function of frequency for a normal incidence TEM illumination. Results obtained using the presented theory are denoted via solid lines, the values taken from [1] are denoted via dashed lines, and the values taken from [10] are denoted via circles. The inset shows a unit cell of the freestanding array of conducting patches in the shape of a Jerusalem cross. Dimensions are in millimeters: w = 1:9, l = 3:8, l = 5:7, a = a = 15:2.

Fig. 3. (a) For large periodic spacing a = a = 5, significant loss of accuracy is observed if E = E due to a finite machine precision. (b) When the parameter E = 2:75E is based on the maximum exponent permitted (H = 9), accurate values of the GF are obtained. (c) In this case, the modal sum needs a larger number of terms to converge (solid lines with 3). R = 0:01a (left) and R = 0:4a (right).

Fig. 5. Transmission coefficients of incident plane wave with the E -field perpendicular to the xz -plane and with the incident angle  = 60 . The results obtained using presented theory are denoted via solid lines and the values taken from [26] are denoted via dashed lines.

mm, mm, , mm, mm, and the lattice vector being in the -direction.

Fig. 5 shows the transmission coefficients of the plane wave that correspond to the Floquet mode with the incident angle

3694

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 6. Transmission coefficient of a normal incidence plane wave with E -field parallel to the y -axis. The results obtained using presented theory (solid lines) are compared to the simulations (dashed lines) and measured values (+) taken from [32]. The inset shows the geometry layout of the 2-D array of circular holes in thin conducting screen. Diameter of the holes D = 12 mm, a = a = 10 3 mm, and = 60 .

p

. The results converged for 25 terms in both Ewald sums and 78 triangular cells used to discretize the geometry. C. Conducting Screen Perforated With Circular Holes The transmission of a plane wave incident on a thin perfectly conducting screen perforated periodically with circular holes is considered. The geometry of the problem is defined in the inset of Fig. 6. The reflection and transmission coefficients for a plane wave with the -field parallel to the -axis at normal incidence ( mode) is plotted as a function of frequency in Fig. 6. A very good agreement with the measured values [32] can be noticed. Here, only nine terms in both Ewald sums give already convergent results for a mesh density with 178 triangular cells. D. Cross-Shaped Bandpass Filter Next, we analyze the bandpass filter formed by periodic cross-shaped holes with a resonance frequency of 280 GHz [11]. The transmission coefficients for a plane wave with the -field parallel to the -axis at normal incidence ( mode) is plotted as a function of frequency in Fig. 7. Solid lines represent the results obtained using our technique, dashed lines represent the results using the simulation technique of [11], and the measured values are denoted via pluses . Very good agreement with the reference results can be observed. The number of terms in both Ewald sums was set to nine up to GHz. Above this limit, the balanced value of the parameter results in loss of accuracy (Section VI). In order to avoid this, we use that corresponds to the maximum permitted exponent of . Since the value of the splitting parameter is now unbalanced, we need more terms in the modal sum (49) than in the image one (9) to ensure the GF convergence. The thickness of the metallic foil has not been taken into account in our simulations. E. Photonic Crystal of Metallic Rods Now we consider a photonic crystal structure formed by a 2-D lattice of 3-D finite-length rods, as shown in the inset of

Fig. 7. (a) Magnitude and (b) phase of the filter’s response: our technique (solid lines), numerical results from [11] (dashed lines), and the measured values from [11] (+). The inset shows a photograph of a galvanized cross-shaped bandpass filter [11]. Square lattice period a = a = 810, slot length l = 570, slot width w = 160, and foil thickness t = 10. All dimensions given in micrometers.

Fig. 8. The structure is infinite in the - and -directions and can have a finite number of lattice grids in the -direction. The plane wave is propagating in the positive -direction and has the electric field parallel to the rods. This excitation corresponds to the Floquet mode. Fig. 8 presents the simulated transmission coefficient in the solid line versus the measured values taken from [33] and denoted by gray lines for the case of two lattice grids. GFs are tabulated in a rectangular 20 10 40 grid and then evaluated using quadratic interpolation. The convergence of GFs is achieved already for nine terms in both Ewald sums. Increasing the number of terms in the Ewald sums only slightly increases the time needed to fill out the GF table. The mesh density fine enough to give the accurate results yields 528 unknowns. The time needed to solve this structure is 6.2 s per frequency point on a PC with Pentium IV 3.2-GHz processor, 1 GB of RAM, and Microsoft Windows XP operating system. It can be observed that the resulting transmission coefficient agrees very well with the experimental values. We have simulated the same problem using Ansoft’s

´ et al.: IE ANALYSIS OF 3-D METALLIC OBJECTS ARRANGED IN 2-D LATTICES USING EWALD TRANSFORMATION STEVANOVIC

Fig. 8. Transmission coefficient for two layers of metallic photonic crystal grids. Spacing between the grids in the z -direction h = 6 mm. Zero incident plane wave has the electric field parallel to the x-axis. The black solid line represents the simulated values. Gray solid lines represent measurements in 7–16and 27–50-GHz ranges taken from [33]. The dashed line is the result of simulations on HFSS. The inset shows the unit cell of the photonic crystal. The structure is periodic in the x- and y -directions with rectangular lattice parameters a = 6:5 and a = 6. The radius of the rod is r = 0:75 and its length l = 5. All dimensions given in millimeters [33].

3695

Fig. 10. Effective parameters for one layer of periodic SRRs. Solid lines denote real parts and dashed lines denote imaginary parts. EM wave propagates along the z -direction and its electric field is parallel to the x-axis. Note that  can be negative.

on such a periodic structure, whose geometry and dimensions are given in Fig. 9. The zero-incident EM plane wave has its electric field parallel to the -axis. We have computed the reflection and transmission coefficients for the Floquet mode again using only nine terms in the Ewald summations. Supposing that the layer of SRRs behaves as a homogeneous slab, we have then retrieved the effective permittivity and permeability of such a structure using the algorithm based on [35]. These results shown in Fig. 10 agree qualitatively very well with the results published in [34], and have a resonance interval in which the real part of the effective permeability is negative. VIII. CONCLUSION

Fig. 9. Unit cell of periodic SRRs. The structure is infinite in the x- and y -directions with periodicity a = 3:33 and a = 3:66, respectively. The height of a unit layer is h = 3:66. The SRR consists of two split metallic rings with the following dimensions: l = 3, w = g = 0:33. All dimensions given in millimeters [34].

High Frequency Structure Simulator (HFSS) and the transmission coefficient denoted via dashed line in the same figure. The unit cell has ABCs on its top and bottom faces and they are placed far enough from the metallic rods (at mm) to ensure accurate results. The following simulation parameters were used: ten passes with a convergence of 0.02 per pass and the adaptive frequency 50 GHz, which leads to 6260 tetrahedra to achieve the convergence. The corresponding CPU time on the same PC is 26.1 s per frequency point, which means more than four times longer simulation as compared to that one of our approach, and no noticeable improvement in accuracy. F. Split-Ring Resonators (SRRs) A 2-D lattice of SRRs has been shown to give an effective permeability, which can be negative close to its resonance frequency. The transmission characteristics of arrays of SRRs have been extensively studied in [34] using the transfer-matrix method (TMM). Here, we perform the IE numerical analysis

We have presented in detail a space-domain IE analysis for 3-D metallic objects repeated along a 2-D lattice. The method uses Ewald’s acceleration technique to speed up the convergence of the GFs. The values of GFs are pre-computed at each frequency point and tabulated in 3-D grids. The GF values are then retrieved from the table using 3-D interpolation routines, yielding very efficient numerical implementations with simulation times that, for this class of structures, outperform an FEM-based commercial software. A number of periodic structures with general skewed lattices under oblique and normal incidence plane-wave excitations were studied. The simulations show that, in most cases, only nine terms in both Ewald sums suffice to obtain results that agree very well with the measured or numerical results reported in the literature. APPENDIX A FLOQUET MODES FOR GENERAL SKEWED LATTICE A. Scalar-Mode Functions Let , be a scalar-mode function that satisfies 2-D Helmholtz equation (A.1) with the following periodic BCs: (A.2)

3696

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I VECTOR-MODE FUNCTIONS

In the previous equations, , is the propagation vector, and is the translation vector of the skewed lattice defined by primitive vectors and . The solution of this equation is found using the separation of variables and can be expressed as follows: (A.3) where and lattice.

is the surface of the unit cell is the translation vector of the reciprocal

B. Vector-Mode Functions Vector-mode functions and for both TE and TM modes can be derived from the scalar-mode functions using the formulas from [24] and the final expressions are compiled in Table I. In the case of zero incidence , we have a TEM mode, whose electric vector is given by (A.4)

REFERENCES [1] R. Mittra, C. H. Chan, and T. Cwik, “Techniques for analyzing frequency selective surfaces—A review,” Proc. IEEE, vol. 76, no. 12, pp. 1593–1615, Dec. 1988. [2] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, Nov. 1999. [3] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184–4187, May 2000. [4] A. Boag, Y. Leviatan, and A. Boag, “Analysis of diffraction from doubly periodic arrays of perfectly conducting bodies by using a patch-current model,” J. Opt. Soc. Amer. A, vol. 7, no. 9, pp. 1712–1718, Sep. 1990. [5] N.-W. Chen, B. Shanker, and E. Michielssen, “Integral-equation-based analysis of transient scattering from periodic perfectly conducting structures,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 150, no. 2, pp. 120–124, Apr. 2003. [6] I. Bardi, R. Remski, D. Perry, and Z. Cendes, “Plane wave scattering from frequency-selective surfaces by the finite-element method,” IEEE Trans. Magn., vol. 47, no. 5, pp. 843–850, May 1999. [7] S. D. Gedney, J. F. Lee, and R. Mittra, “A combined FEM/MoM approach to analyze the plane wave diffraction by arbitrary gratings,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 2, pp. 363–370, Feb. 1992.

[8] T. F. Eibert, J. L. Volakis, D. R. Wilton, and D. R. Jackson, “Hybrid FE/BI modeling of 3-D doubly periodic structures utilizing triangular prismatic elements and an MPIE formulation accelerated by the Ewald transformation,” IEEE Trans. Antennas Propag., vol. 47, no. 5, pp. 843–850, May 1999. [9] R. Pous and D. M. Pozar, “A frequency-selective surface using aperture coupled microstrip patches,” IEEE Trans. Antennas Propag., vol. 39, no. 12, pp. 1763–1769, Dec. 1991. [10] C. Wan and J. A. Encinar, “Efficient computation of generalized scattering matrix for analyzing multilayered periodic structures,” IEEE Trans. Antennas Propag., vol. 43, no. 11, pp. 1233–1242, Nov. 1995. [11] M. Bozzi, L. Perregrini, J. Weinzierl, and C. Winnewisser, “Efficient analysis of quasi-optical filters by a hybrid MoM/BI-RME method,” IEEE Trans. Antennas Propag., vol. 49, no. 7, pp. 1054–1064, Jul. 2001. [12] N. Kinayman and M. I. Aksun, “Comparative study of acceleration techniques for integrals and series in electromagnetic problems,” Radio Sci., vol. 30, no. 6, pp. 1713–1722, Nov.–Dec. 1995. [13] K. E. Jordan, G. R. Richter, and P. Sheng, “An efficient numerical evaluation of the Green’s function for the Helmholtz operator on periodic structures,” J. Comput. Phys., vol. 63, pp. 222–235, 1986. [14] A. W. Mathis and A. F. Peterson, “Efficient electromagnetic analysis of a doubly infinite array of rectangular apertures,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 46–54, Jan. 1998. [15] F. Capolino, D. R. Wilton, and W. A. Johnson, “Efficient computation of the 2-D Green’s function for 1-D periodic structures using the Ewald method,” IEEE Trans. Antennas Propag., vol. 53, no. 9, pp. 2977–2984, Sep. 2005. [16] M.-J. Park and S. Nam, “Efficient calculation of the Green’s function for multilayered planar periodic structures,” IEEE Trans. Antennas Propag., vol. 46, no. 10, pp. 1582–1583, Oct. 1998. [17] Y. Hua and T. K. Sarkar, “Generalized pencil-of-function method for extracting poles of an EM system from its transient response,” IEEE Trans. Antennas Propag., vol. 37, no. 2, pp. 229–234, Feb. 1989. [18] M. G. Silveirinha and C. A. Fernandes, “A new acceleration technique with exponential convergence rate to evaluate periodic Green’s functions,” IEEE Trans. Antennas Propag., vol. 53, no. 1, pp. 347–355, Jan. 2005. [19] J. R. Mosig, “Arbitrarily shaped microstrip structures and their analysis with a mixed potential integral equation,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 314–323, Feb. 1988. [20] ——, “Integral-equation technique,” in Numerical Techniques for Microwave and Millimeter-Wave Passive Structures, T. Itoh, Ed. New York: Wiley, 1989, ch. 3, pp. 133–213. [21] S. M. Rao, D. R. Wilton, and A. W. Glisson, “Electromagnetic scattering by surfaces of arbitrary shape,” IEEE Trans. Antennas Propag., vol. 30, no. 3, pp. 409–418, May 1982. [22] D. R. Wilton, S. M. Rao, A. W. Glisson, D. H. Schaubert, O. M. Al-Bundak, and C. M. Butler, “Potential integrals for uniform and linear source distributions on polygonal and polyhedral domains,” IEEE Trans. Antennas Propag., vol. AP-32, no. 3, pp. 276–281, Mar. 1984. [23] R. D. Graglia, “On the numerical integration of the linear shape functions times the 3-D Green’s function or its gradient on a plane triangle,” IEEE Trans. Antennas Propag., vol. 41, no. 10, pp. 1448–1455, Oct. 1993. [24] L. B. Felsen and N. Marcuvitz, Radiation and Scattering of Waves. Englewood Cliffs, NJ: Prentice-Hall, 1973. [25] K. Kurokawa, “Power waves and the scattering matrix,” IEEE Trans. Microw. Theory Tech., vol. MTT-10, no. 5, pp. 314–320, Sep. 1965. [26] C.-C. Chen, “Transmission through a conducting screen perforated periodically with apertures,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 9, pp. 627–632, Sep. 1970. [27] I. Stevanovic´ , P. Crespo-Valero, and J. R. Mosig, “An integral-equation technique for solving thick irises in rectangular waveguides,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 189–197, Jan. 2006. [28] P. P. Ewald, “Die berechnung optischer und elektrostatischer gitterpotentiale,” Ann. Phys., vol. 64, pp. 253–287, 1921. [29] W. Gautschi, “Error function and Fresnel integrals,” in Handbook of Mathematical Functions with Formulas, Graphs, and Mathematical Tables, M. Abramowitz and I. A. Stegun, Eds. New York: Dover, 1972, ch. 7, pp. 295–329. [30] G. P. M. Poppe and C. M. J. Wijers, “More efficient computation of the complex error function,” ACM Trans. Math. Softw., vol. 16, no. 1, pp. 38–46, Mar. 1990. [31] IMSL Fortran Subroutines for Mathematical Applications. Visual Numerics Inc., Houston, TX, 1997. [Online]. Available: http://vni.com

´ et al.: IE ANALYSIS OF 3-D METALLIC OBJECTS ARRANGED IN 2-D LATTICES USING EWALD TRANSFORMATION STEVANOVIC

[32] C.-C. Chen, “Diffraction of electromagnetic waves by a conducting screen perforated periodically with circular holes,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 5, pp. 475–481, May 1971. [33] J.-M. Loutrioz, A. de Lustrac, F. Gadot, S. Rowson, A. Chelnokov, T. Brillat, A. Ammouche, J. Danglot, O. Vanbésian, and D. Lippens, “Toward controllable photonic crystals for centimeter- and millimeterwave devices,” J. Lightw. Technol., vol. 17, no. 11, pp. 2025–2031, Nov. 1999. [34] T. Koschny, P. Markoˇs, D. R. Smith, and C. M. Soukoulis, “Resonant and antiresonant frequency dependence of the effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 68, 2003, 065602. [35] X. Chen, T. M. Grzegorczyk, B.-I. Wu, J. Pacheco, Jr., and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, 2004, 016608.

Ivica Stevanovic´ (S’03–M’05) was born in Kruˇsevac, Serbia, in 1976. He received the Dipl.Ing. degree in electrical engineering from the University of Belgrade, Belgrade, Serbia, in 2000, and the Ph.D. degree in electrical engineering from the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 2005. From 1998 to 2000, he was a Student Assistant with the Laboratory of Electronics, School of Electrical Engineering (ETF), University of Belgrade. In Summer 2000, he was a SURF Research Fellow with the Laser Interferometer Gravitational Wave Observatory (LIGO), California Institute of Technology (Caltech), Pasadena. In November 2000, he joined the Laboratory of Electromagnetics and Acoustics (LEMA), EPFL, where he is currently a Post-Doctoral Research Fellow. He is involved in several research projects for the European Space Agency (ESA), Swiss Federal Office of Communications (OFCOM), and the European Networks of Excellence on metamaterials (Metamorphose) and antennas (ACE). Dr. Stevanovic´ was the recipient of a scholarship presented by the Serbian Ministry of Education (1997–2000) and a research fellowship (NSF-REU-SURF) presented by Caltech.

Pedro Crespo-Valero was born in Antas (Almería), Spain, in 1976. He received the Ingeniero de Telecomunicación degree from the Universidad Politécnica de Madrid (UPM), Madrid, Spain, in 2001, and is currently working toward the Ph.D. degree at the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. In February 2002, he joined the Laboratory of Electromagnetics and Acoustics (LEMA), EPFL, where he is a Research and Teaching Assistant. He is involved in several projects with the European Space Agency (ESA) and other European universities and industries. His research interests include the computer-aided design (CAD) of microwave passive devices and circuits. Mr. Crespo-Valero is a member of Colegio Mayor Diego de Covarrubias, Universidad Complutense de Madrid (UCM), Madrid, Spain.

3697

Katarina Blagovic´ was born in Sarajevo, Bosnia and Herzegovina, in 1976. She received the Dipl.Ing. degree in electrical engineering from the University of Zagreb, Zagreb, Croatia, in 2001, and is currently working toward the Ph.D. degree at the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland. In February 2002, she joined the Laboratory of Electromagnetics and Acoustics (LEMA), EPFL, where she is a Research and Teaching Assistant. She is involved in projects supported by the Swiss National Science Foundation (SNF). Her research interests include computational electromagnetics and frequency selective surfaces. Ms. Blagovic´ was the recipient of a scholarship presented by the Croatian Ministry of Science, Education, and Sports (1996–2000) and a scholarship presented by the University of Zagreb (2000–2001).

Frédéric Bongard was born in Yverdon, Switzerland, in 1978. He received the B.S. and M.S. degrees in electrical engineering from the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 2003, and is currently working toward the Ph.D. degree at EPFL. From 2003 to 2004, he was an Assistant with the acoustics portion of the Laboratory of Electromagnetics and Acoustics (LEMA), EPFL. Since October 2004, he has been with the electromagnetics part of LEMA, where he is currently a Research and Teaching Assistant. He is involved in projects for the European Space Agency (ESA) and the European Network of Excellence on metamaterials (Metamorphose). His research interests include the characterization of metamaterials and investigation of potential applications of metamaterials to the antenna field.

Juan R. Mosig (S’76–M’87–SM’94–F’99) was born in Cadiz, Spain. He received the Electrical Engineer degree from the Universidad Politecnica de Madrid, Madrid, Spain, in 1973, and the Ph.D. degree from the Ecole Polytechnique Fédérale de Lausanne (EPFL), Lausanne, Switzerland, in 1983. In 1976, he joined the Laboratory of Electromagnetics and Acoustics, EPFL. Since 1991, he has been a Professor with EPFL, and since 2000, he has been the Head of the Laboratory of Electromagnetics and Acoustics (LEMA). In 1984, he was a Visiting Research Associate with the Rochester Institute of Technology, Rochester, NY, and Syracuse University, Syracuse, NY. He has also held scientific appointments with the University of Rennes, Rennes, France, the University of Nice, Nice, France, the Technical University of Denmark, Lyngby, Denmark, and the University of Colorado at Boulder. He is co-organizer and lecturer of yearly short courses in numerical electromagnetics in both Europe and the U.S. He is also responsible for several research projects of the European Space Agency (ESA). He has authored four chapters in books on microstrip antennas and circuits and over 100 reviewed papers. His research interests include EM theory, numerical methods, and planar antennas. Dr. Mosig is a member of the Swiss Federal Commission for Space Applications and a member of the Executive Board of the European Network of Excellence ACE (2004–2007). He is the chairman of the European COST Project on Antennas (2003–2006).

3698

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

The Solution of Waveguide Scattering Problems by Application of an Extended Huygens Formulation Riana H. Geschke, Member, IEEE, Ronald L. Ferrari, David Bruce Davidson, Member, IEEE, and Petrie Meyer, Member, IEEE

Abstract—The implementation of a recent new hybrid integralequation/vector finite-element method formulation applicable to inhomogeneous obstacle scattering in hollow waveguide, requiring discretization just of the obstacle, is presented. The integral equation links the given incident modes with the discontinuity-surface electric and magnetic fields. The finite-element equation is expressed in terms of the entire magnetic and surface electric field of the obstacle. Compatible vector finite-element basis function expansions are inserted, resulting in a pair of matrix equations soluble for the unknown electric and magnetic basis coefficients. Corresponding two-port scattering parameters are further derived. Test cases of posts in the TE10 waveguide, with details of the matrix constructions, are described. Numerical results verified against an established commercial code are given. The ability to model inhomogeneous, lossy, and multiple scatterers is demonstrated. Index Terms—Finite-element analysis, Huygens’ principle, method of moments (MoM), singular matrix, waveguide Green functions.

I. INTRODUCTION HE development of techniques for modeling waveguide discontinuities remains a topic of importance in microwave engineering [1]. In general, numerical methods must be applied in practical situations; methods currently available [2] fall mainly into the categories represented by the techniques of mode matching (MM), finite-difference methods (FDMs), finite-element methods (FEM), transmission-line methods (TLMs), and integral-equation methods, often in hybrid combinations. Waveguide discontinuities can effectively be analyzed by a hybrid MM/FEM procedure [3], [4] enabling a generalized scattering matrix (GSM) [5] to be set up, but the FEM discretization must be defined by a pair of planes transverse to the waveguide and enclosing the discontinuity object. In some cases, such a pair of planes conforms poorly with the discontinuity object so that a large region of uniform waveguide, not in itself requiring numerical modeling, is included in the model and gives rise to a substantially larger numerical problem than intrinsically required. The hybrid method, which we present here, applies its integral equation over a surface, which does conform closely with the FEM-discretized discontinuity. This can lead to a more efficient numerical solution when tackling

T

Manuscript received February 17, 2006; revised May 16, 2006 and June 14, 2006. R. H. Geschke, D. B. Davidson, and P. Meyer are with the Department of Electrical and Electronic Engineering, University of Stellenbosch, Matieland 7602, South Africa (e-mail: [email protected]). R. L. Ferrari, retired, was with the Engineering Department, Cambridge University, Cambridge CB2 1PZ, U.K. Digital Object Identifier 10.1109/TMTT.2006.882893

problems where the discontinuity volume is small and/or is represented by multiple objects. Integral-equation analytical techniques are well known in the numerical modeling of free-space electromagnetic wave scattering, often described as the electric-field integral-equation (EFIE), magnetic-field integral-equation (MFIE), or combinedfield integral-equation (CFIE) methodology [6], [7] and derived from application of the vector Green’s theorem [8]. Integral- equation procedures have been less commonly used in the numerical modeling of scattering from objects in waveguide. Marcuvitz and Schwinger [9] first introduced a dual pair of integral equations, each involving a pair of dyadic Green functions, relating to fields interior to a hollow waveguide and applicable to perfect conductor discontinuities. Eisenhart and Khan [10] set up a Green function integral waveguide formulation to model scattering/radiation from a lumped-circuit approximated gap-mounted small microwave device supported by a thin perfectly-conducting post mounted across waveguide. The quasi-two-dimensional (2-D) aspects of their configuration resulted in a reduction of the dyadics to a pair of scalar Green functions. The dyadic Green functions in [9] and the scalar ones in [10] can be identified with the general hollow waveguide electric and magnetic dyadics given later by Tai [11], [12]. The dyadic Green functions can be used separately without a vector Green’s theorem transformation, in which case the resulting integral equation is a numerically intensive volume one rather than the more compact surface integral. Such a volume approach was used [13] employing the electric dyadic and the method of moments (MoM) [14] to model a three-dimensional (3-D) dielectric scatterer in waveguide. The descriptions boundary-element method (BEM) [15] and MoM [14], [16] have all been applied, not with great consistency, to integral-equation methods relating to waveguide (also free space) scattering/radiation configurations [17] representing less than a full 3-D penetrable discontinuity. This paper is concerned with a recently proposed variant [18], [19] upon the class of hybrid integral equation/FEM formulations for scattering from general penetrable discontinuities so as to be applicable inside hollow waveguides. To our knowledge, no fully general hybrid formulation of the hollow waveguide discontinuity modeling problem, i.e., one which the region exterior to a general discontinuity is dealt with by a surface integral equation combined with FEM analysis of the interior, has previously been given. In contrast to [13], our proposed method employs both of the full electric and magnetic waveguide Green dyadics [20] in an integral equation that may be recognized to be of the form described [21] as a formulation of Huygens’ principle, here satisfying the particular field boundary conditions of a hollow waveguide. As a step toward verification of the full general

0018-9480/$20.00 © 2006 IEEE

GESCHKE et al.: SOLUTION OF WAVEGUIDE SCATTERING PROBLEMS BY APPLICATION OF EXTENDED HUYGENS FORMULATION

3699

The electric dyadic Green function is singular when . It is shown analytically in the Appendix that the principal values of the resulting integral in (1) should be taken and that the values for established empirically in [19] and both on not on Fig. 1. Side elevation of the hollow waveguide with an inhomogeneous obstacle included.

hollow waveguide extended Huygens formulation, code has been set up, which can simulate modal scattering from penetrable objects with TE symmetry in a rectangular hollow waveguide. An earlier investigation [22] had shown that the reduction of the hybrid formulation to its pure integral equation form when applied to a TE-symmetric perfect electric conductor (PEC) post in waveguide was verified. Some preliminary results for a uniform penetrable post have previously appeared in the conference report [19]. In the latter report, we discussed the factor required in hybrid integral-equation methodology and corresponding to the “extinction” theorem [23] when source and observation points can coincide on the integration path, empirically applying the same as implicit in the Stratton–Chu free-space scattering result [24]. It is shown in the Appendix that this empirical choice indeed correctly applies to our waveguide formulation. We now report fully on the simulation of inhomogeneous/multiple dielectric posts in the TE waveguide and find that the Huygens-code results compare favorably with output from a standard commercial package. The convergence of the formulation with respect to the fineness of FEM volume discretization and to the number of modes employed is examined. The handling of some singular/ ill-conditioned system matrices that appear is discussed.

(2)

are confirmed. The appearance of the same factor and values has been noted in relation to various vector integral-wave free-space scattering formulations summarized in [14] and [24], but the derivations therein, applying the simple vector form of Green’s theorem specifically to the free-space Green function and a field vector do not guarantee applicability to the waveguide dyadic Green functions here. Our derivation in the Appendix takes an alternative analytic route considering just the original differential equations of the field and dyadic variables in turn, subject to the waveguide boundary conditions. We then apply the vectordyadic form of Green’s theorem [12] directly without having to consider the actual complicated form taken by the waveguide dyadics in order to satisfy boundary conditions. Since the boundary constraints do not further enter into the derivation, our analysis represents a general result applying equally to free space and bounded scattering situations. A vector weighted-residual operation (3) to be chosen may be applied to (1), while there requiring is a standard FEM vector weighted residual result [25] applying to

II. HUYGENS FORMULATION The extended Huygens principle and its related hybrid integral-equation/FEM formulation [18], [19] apply to a general inhomogeneous scatterer located inside a hollow waveguide (Fig. 1). For an arbitrary incident waveguide modal field, i.e., forward and backward-propagating modes externally incident from beyond and with amplitudes and respectively,1 the Huygens electric-field integral equation may be written [19]

(4) A finite-element discretization of the volume is set up corresponding to FEM edge-element degrees of freedom (DOF), while compatible DOF for the surface will also have been generated. Expanding and in terms of appropriate vector finite-element edge functions, say, , and adopting the Galerkin choice of weight functions , (1)–(4) then lead to the pair of matrix equations

(5) (6)

(1) 1The Huygens analysis requires the Green function to be referred to a single frame of reference, chosen in [18] and [19] as (x; y; +z ). Hence, the usage of a and b for incident wave amplitude coefficients in (1), where standard scattering-parameter convention, tied to port reference frames, would have assigned a for the latter amplitude.

indicates a DOF column array relating to or , where either in or on . The volume matrices and are standard sparse FEM arrays [25], while the remaining matrices may be assembled, element-by-element, from a numerical realization of (1) subject to (3) integrating the principle parts by means of standard Gaussian quadrature. Note that, unlike in corresponding EFIE procedures, there are no near singularities to be dealt with; this particular difficulty is transferred

3700

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 2. Cylindrical post, extending between the broad faces of a rectangular TE waveguide. The broad wall of the waveguide has dimension a, while the narrow wall has the dimension b.

to the need to truncate Green’s function modal series appropriately. Equations (5) and (6) may be solved for the unknown vector arrays given a driving vector and represent the general form of the formulation to be verified here. III. IMPLEMENTATION OF POSTS IN WAVEGUIDE A. Geometry The Huygens analysis for dielectric and/or magnetic posts extending from top to bottom in a standard excited waveguide, as shown in Fig. 2, is considered in more detail. These posts may include conducting, dielectric, and magnetic materials that could also be lossy. The choice of coordinate system is indicated in Fig. 2. Due to the -independent nature of the obstacles and excitations chosen here, all modes may be excluded from the analysis, as well as modes with . Such a restriction allows the employment of prismatic finite elements discussed in Section III-B. Another simplification can be made for centered posts; in these cases, only even modes, i.e., , etc., are excited. The waveguide Green functions with only modes considered are

(7)

Fig. 3. Prismatic finite-element geometry. Side face 1 is defined by nodes 2 and 3 and so forth in cyclical order. The lengths d , with i = 1; 2; 3 of each side face are indicated. The element extends from y = 0 to y = b.

B. Finite-Element Discretization The post representing the waveguide obstacle considered is discretized into prismatic volume finite elements such as illustrated in Fig. 3, each element extending across the complete waveguide narrow dimension . The surfaces of the posts adjacent to the exterior boundary are correspondingly divided into conforming rectangular patches. The basis functions defined over such prismatic elements are

(9) representing six DOF, where are the 2-D simplex coordinates defined over a triangle [25]. The basis functions are suitable for problems that have both uniform geometry and excitation with respect to the -axis. It follows from (9) that the tangential magnetic field over a surface element is modeled as a constant plane vector, corresponding to the well-known constant tangential/linear normal (CT/LN) elements [26]. On the other hand, the surface electric-field tangential vector is a -directed linear function of and . Corresponding to a general FE analysis, (6) may be written in partitioned form as

and

where , while subscripts and are indices identifying surface and internal DOF coefficients, respectively, multiplying vector FE basis functions in the field expansion

(8) and the lower sign with the upper sign corresponding to used when . These functions are not defined for . Note that discontinuities occur in all components when , even if . Practically speaking, the summation is terminated after summing a sufficient number of terms.

(10)

together with a similar, but independent FE expansion for . It is important to emphasize that exactly the same DOF must be used for both and . However, some of the electric-field coefficients, namely, , play no part in this analysis, but would appear in the dual formulation not currently considered here.

GESCHKE et al.: SOLUTION OF WAVEGUIDE SCATTERING PROBLEMS BY APPLICATION OF EXTENDED HUYGENS FORMULATION

3701

The Huygens principle of (5) may be applied to quite general vector FE discretizations of the surface field variables provided compatibility with the surface expansions are ensured. C. Matrix Solution Having chosen, for verification of the general Huygens theory here, an -plane post in the waveguide with fields expressed by FEM edge-element expansions, the matrix structure defined in Section III-A becomes explicit. A CT/LN edge-element discretization on prismatic elements appropriate for the symmetry of the post-waveguide combination instead of the more general tetrahedral mesh is selected. This results in some decoupling of the magnetic and electric DOF now associated separately with the vector basis functions and , respectively. Instead of the six fully populated DOF per tetrahedral element, which would relate fully to both and in the general case, we now have the representation

(11)

represents a surface -type magnetic coefficient. where The electric field is expanded similarly as

(12)

Fig. 4. Schematic diagram representing the convention scattering-parameter convention adopted here.

found in our examples to have a very high condition number and is not practically invertible. In contrast, is invertible and has a low condition number. Although and are not invertible, even in compacted format, the assembled matrix is invertible due to the contribution of the well-conditioned and . D. Scattering Parameters The two-port scattering parameters are to be determined for an object or multiple objects placed in a section of empty lossless waveguide. The length of the section of waveguide is deand , determined by two reference planes fined in Fig. 4. The possible incident modes from the left (magnitude and phase) are represented by the vector . Similarly, are the possible incident modes from the right. These are defined at the origin and must be referred to the reference planes and , respectively, through a phase shift dependent on the distance of these planes from the origin. The two-port scattering parameters defined by

In partioned and compacted form, (6) becomes (15)

Similarly removing empty rows and columns in (5), we have

To determine the -parameters, single th mode excitation from one side of the obstacle is considered, i.e., (incident from the left) or (incident from the right). The entire GSM matrix can be constructed by varying and . For example,

Rearranging the two matrix equations results in (13) (14) and . It may be noted that is a sparse symmetric matrix, where , while the compacted sized is similarly sparse and skew symmetric. All skew-symmetric matrices of odd order are singular [27]. In our special case, is always of even order, but is easily shown to also be singular. We believe that this matrix, even in the full 3-D case, will always be singular. The matrix is with

(16) IV. RESULTS The formulation here has been verified for some variants of the discontinuity constituted by one or more -plane dielectric posts in a TE rectangular -band waveguide. We set down

3702

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 6. Central dielectric post  = 3 with lossy square section  = 4 and tan  = 0:2 at 8 GHz. a = 22:86 mm, w = 8 mm, and w = 3 mm.

Fig. 5. S error in percentage relative to CST MWS results for an a=5 width lossless center post at 8 GHz. The variables are the number of waveguide TE modes considered and the number of volume elements. Eight integration points per element were used. (Color version available online at http://ieeexplore.ieee. org.)

results compared with the CST Microwave Studio electromagnetics package (CST MWS) from Computer Simulation Technology. A. Convergence Study for Lossless Uniform Dielectric Rod A suitable nonresonant nonlossy example was chosen for a convergence study to observe the effect of increasing discretization and number of waveguide modes considered, a central dielectric post (see Fig. 2, but the post now has a circular cylinder of diameter ) with and GHz in the -band waveguide. This example is particularly well suited for an initial convergence case study for three reasons. Firstly, the zero-loss case converges more slowly than a lossy example, providing a clear view of the convergence versus the number of modes. Secondly, the uniformity of the dielectric material allows elements of similar size to be used throughout, i.e., a regular mesh could be used. Thirdly, the example chosen is also nonresonant at the frequency chosen for the convergence study: around resonances, the resonant points tend to shift over frequency as the discretization is refined. The convergence behavior as a function of the number of modes summed and discretization is presented for this case in Fig. 5. We present results as a demonstration since the convergence of is very similar. The convergence against the number of elements is monotonic, as is expected for a standard finite-element solution. One can also deduce from this result that there is no benefit in considering too many modes, as the solution does not improve or degrade beyond a certain point. The maximum number of modes that may be profitably considered is also influenced by the ability of the finite-element basis functions to approximate the fields accurately. A converged -parameter solution is obtained by discretizing the scattering obstacle into a sufficiently fine mesh while enough waveguide modes should be included in the simulation. The discretization requirements are as for standard finite-element solutions. On determining the number of waveguide modes: using too many modes, although not detrimental to the solution, would not be efficient. For resonant problems, as expected, one would

Fig. 7. S error in percentage relative to CST MWS results for the post of Fig. 6. The variables are the number of waveguide TE modes considered and the number of volume elements. Eight integration points per element were used. (Color version available online at http://ieeexplore.ieee.org.)

need to consider more modes than for simpler cases. This is very dependent on the material properties, position, and size of the scatterers in the waveguide. The only way to determine the exact requirement for each case is to observe the convergence of the -parameters, as the modes considered are increased. The examples included here were selected to demonstrate both a case where only a few modes are required to obtain a good solution (Section IV-B) and a resonant problem that requires a high number of modes to predict the resonant frequency accurately (Section IV-C). B. Inhomogeneous Lossy Dielectric Post The configuration and dimensions for the lossy post is shown in Fig. 6. A convergence graph is shown in Fig. 7, where the effect of the number of modes summed and the number of volume elements required can be seen. Comparing this result to that of Fig. 5, the faster convergence of the very lossy post can be seen here. The oscillatory nature of the Green function sums are also less clearly visible here due to the lossy central part. The number of modes required here is clearly very low, with good convergence obtained after only ten modes, resulting in a somewhat different appearance from the more slowly converging result of Fig. 5. The converged -parameter results are given in Fig. 8. These were obtained by considering ten waveguide modes and a discretization of 172 elements. This particular discretization and modal combination produces a relative error of less than 3% at 8 GHz. C. Disconnected Objects A good demonstration of the handling of separate objects is obtained by having more than one post spaced some distance

GESCHKE et al.: SOLUTION OF WAVEGUIDE SCATTERING PROBLEMS BY APPLICATION OF EXTENDED HUYGENS FORMULATION

Fig. 8. S part.

and S

magnitude for an inhomogeneous post with a lossy central

Fig. 11. S

and S

3703

phase for two posts.

V. CONCLUSION

Fig. 9. Two dielectric posts.  = 38:5,  = 1, w = 1:4 mm, t = 9 mm, and t = 7 mm.

Fig. 10. S

and S

magnitude for two posts.

apart. Two dielectric posts in the configuration of Fig. 9 are considered. The parameters are , , mm, mm, and mm. Although the discretization requirements are not high, a considerable number of modes is required to obtain the resonant frequency response. A formal convergence study for the double post problem is complicated by the sharp resonances. The results shown in Figs. 10 and 11 are produced by summing 50 modes and a discretization of 60 elements per post. This particular discretization and modal combination produces a relative error of less than 2% at 8 GHz.

A first implementation of an extended Huygens’ principle in a hollow waveguide has been described. This implementation focused on a certain class of waveguide scattering problems, viz. that of posts that are uniform along the -axis of the waveguide. It was shown that accurate results compared to a commercial numerical code can be obtained when using an adequate number of waveguide modes and a sufficiently fine discretization. The first-order finite-element basis functions used here certainly restrict the ability to handle objects with very rapidly varying material properties over small scales, as the ability to model rapidly changing fields requires either very small elements or higher order basis functions. It was shown that by comparison with a commercial numerical code, accurate results can be obtained when using a suitable discretization and number of modes. It was also shown that the method can handle disconnected scattering objects effectively and efficiently, eliminating the need for discretizing the empty volume between the objects. In considering the convergence behavior of the two-port -parameters obtained through this method, a simple test example was chosen. With an increasing number of waveguide modes and a refinement of the finite-element mesh, a clear improvement in accuracy is demonstrated. Beyond a certain number of modes, there is no improvement in the results. APPENDIX DERIVATION OF THE FACTOR and , respecConsider the pair of variables tively, the electric field within a hollow waveguide and its corresponding electric dyadic. From Maxwell’s equations in an empty sourceless waveguide region , we have (17) (18)

3704

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

where

signifies (23)

Consider the surface integral over using (18) and (20) to give

in (22), say,

, rewritten

(24) Fig. 12. Geometry for derivation of the T factor in (1).

subject to satisfies

on waveguide walls

Each of the field and dyadic variables in (24) has to have tangential-component continuity across the closely fitting boundaries and . Since the normals to and are in opposition, there is then cancellation between the corresponding adjacent elements of the integrals in (22). Thus, (22) may be rewritten as

, while

(25)

(19) (20) on [12]. It is known that subject to is singular at . The vector-dyadic form of Green’s theorem applied to the pair in a region enclosed by the surface and contained within the waveguide may be written

and designate portions of the original surfaces where omitting the adjacent indentation regions. Now express the original by applying Green’s theorem (21) over the region bounded by noting that the outward normal for the region is now (see Fig. 12) giving

(26) (21) so that is the outward normal to , while the differential opwhere erations here belong to the unprimed space variable. Following the free-space derivation of the EFIE (see, e.g., [23]), let be the region bounded by the composite surface , where a spherical region of radius enclosed by the surface and centred at the “field” point has been introduced into the basic configuration defined by Fig. 1. This modified configuration excludes the possibility of any singularity on at . If, as illustrated in Fig. 12, overlaps , then the latter surface is indented so as to eliminate the region that would otherwise be common to and ; the part of , which constitutes the indentation, is designated . We apply Green’s theorem (21) to the region bounded by the composite surface , also substituting in (17) and (19). Noting that the latter substitution reduces the left-hand side (LHS) of (21) to zero, while on the right-hand side (RHS) the integral over vanishes identically on account of the waveguide boundary constraints, the result is (22)

becomes some fraction of (27)

Corresponding to the arguments already given [14], [23], it is easy to see by inspection that letting , (28)

where (29) and the operator denotes taking the principle part of the singular integral over , which arises when . It is shown in [18] that the integrations over the surfaces are nonsingular so that the first integral on the RHS of (17) becomes simply the sum of the excitation modal fields without any premultiplication factor. Finally, noting that , it is found that (1) is verified.

GESCHKE et al.: SOLUTION OF WAVEGUIDE SCATTERING PROBLEMS BY APPLICATION OF EXTENDED HUYGENS FORMULATION

REFERENCES [1] J. F. Lee and D. K. Sun, “p-type multiplicative Schwarz (pMUS) method with vector finite elements for modeling three-dimensional waveguide discontinuities,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 864–870, Mar. 2004. [2] F. Arndt, J. Brandt, V. Catina, J. Ritter, I. Rullhusen, J. Dauelsberg, U. Hilgefort, and W. Wessel, “Fast CAD and optimization of waveguide components and aperture antennas by hybrid MM/FE/MoM/FD methods—State-of-the-art and recent advances,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 292–305, Jan. 2004. [3] K. Ise, K. Inoue, and M. Koshiba, “3-dimensional finite-element method with edge elements for electromagnetic wave-guide discontinuities,” Electron. Commun. in Jpn Part II—Electron., vol. 78, pp. 36–45, 1995. [4] Y. Nomura, M. Tsuji, and H. Shigesawa, “Vector finite-element method based on use of hybrid edge elements and its application to 3-dimensional electromagnetic waveguide discontinuity problems,” Electron. Commun. in Jpn Part II–Electron., vol. 78, pp. 36–45, 1995. [5] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991. [6] D. R. Wilton, “Review of current status and trends in the use of integralequations in computational electromagnetics,” Electromagnetics, vol. 12, pp. 287–341, 1992. [7] M. T. P. Yla-Oijala, “Application of combined field integral equation for electromagnetic scattering by dielectric and composite objects,” IEEE Trans. Antennas Propag., vol. 53, no. 3, pp. 1168–1173, Mar. 2005. [8] J. A. Stratton, Electromagnetic Theory. New York: McGraw-Hill, 1941. [9] N. Marcuwitz and J. Schwinger, “On the representation of the electric and magnetic fields produced by currents and discontinuities in wave guides I,” J. Appl. Phys., vol. 22, no. 6, pp. 806–819, 1951. [10] R. L. Eisenhart and P. J. Khan, “Theoretical and experimental analysis of a waveguide mounting structure,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 8, pp. 706–719, Aug. 1971. [11] C.-T. Tai, “On the eigen-function expansion of dyadic Green functions,” Proc. IEEE, vol. 61, no. 4, pp. 480–481, Apr. 1973. [12] ——, Dyadic Green Functions in Electromagnetic Theory, 2nd ed. Piscataway, NJ: IEEE Press, 1994. [13] J. J. H. Wang, “Analysis of a three-dimensional arbitrary-shaped dielectric or biological body inside a rectangular waveguide,” IEEE Trans. Microw. Theory, vol. MTT-26, no. 7, pp. 457–461, Jul. 1978. [14] J. J. Wang, Generalized Moment Methods in Electromagnetics: Formulation and Computer Solution of Integral Equations. New York: Wiley, 1991, p. 169. [15] T. Lu and D. Yevick, “A vectorial boundary element method analysis of integrated optical waveguides,” J. Lightw. Technol., vol. 21, no. 8, pp. 1793–1807, Aug. 2003. [16] R. F. Harrington, Field Computation by Moment Methods. Malabar, FL: Krieger, 1968. [17] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ: IEEE, 1998. [18] R. L. Ferrari, “An extended Huygens’ principle for modeling scattering from general discontinuities within hollow waveguides,” Int. J. Numer. Modeling: Electron. Networks, Devices, Fields, vol. 14, no. 5, pp. 411–422, 2002. [19] R. H. Geschke, R. L. Ferrari, D. B. Davidson, and P. Meyer, “Application of extended Huygens’ principle to dielectric posts in waveguide,” presented at the The IASTED Int. Antennas, Radar, Wave Propag, Conf., Banff, AB, Canada, Jul. 2004. [20] P. H. Pathak, “On the eigenfunction expansion of electromagnetic dyadic Green’s functions,” IEEE Trans. Antennas Propag., vol. AP-31, no. 6, pp. 837–846, Nov. 1983. [21] C.-T. Tai, “A concise formulation of Huygens’ principle for the electromagnetic field,” IRE Trans. Antennas Propag., vol. AP-8, no. 6, p. 639, Nov. 1960. [22] R. H. Geschke, R. L. Ferrari, D. B. Davidson, and P. Meyer, “Application of extended Huygens’ principle to scattering discontinuities in waveguide,” in 6th AFRICON Conf., Oct. 2002, vol. 2, pp. 555–558. [23] A. Ishimaru, Electromagnetic Wave Propagation, Radiation and Scattering. Englewood Cliffs, NJ: Prentice-Hall, 1991, p. 172. [24] J. A. Stratton and L. J. Chu, “Diffraction theory of electromagnetic waves,” Phys. Rev., vol. 56, p. 1939, 1939. [25] P. P. Silvester and R. L. Ferrari, Finite Elements for Electrical Engineers, 3rd ed. Cambridge, U.K.: Cambridge Univ. Press, 1996. [26] J. S. Savage and A. F. Peterson, “Higher order vector finite elements for tetrahedral cells,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 6, pp. 874–879, Jun. 1996. [27] K. Riley, M. Hobson, and S. Bence, Mathematical Methods for Physics and Engineering, 2nd ed. Cambridge, U.K.: Cambridge Univ. Press, 2003.

3705

Riana H. Geschke (M’98) was born in Stellenbosch, South Africa, in 1975. She received the B.Eng, M.Sc.Eng, and Ph.D. degrees from the University of Stellenbosch, Matieland, South Africa, in 1996, 1999, and 2004, respectively. In 2004, she became a Lecturer and Researcher with the Faculty of Engineering, Electrical and Electronic Engineering Department, University of Stellenbosch. Her research interests include numerical modeling, modeling of waveguide scattering problems, and electromagnetic compatibility. Ronald L. Ferrari was born in Romford, U.K. He received the Bachelor’s degree in mathematics and Diploma degree from the Imperial College of Science and Technology, London University, London, U.K., in 1950 and 1951, respectively, and the Sc.D. Higher Doctorate degree from Cambridge University, Cambridge, U.K., in 1994. After service in the U.K. Royal Air Force as a Technical Signals Officer doing operational research in radar, he joined the Hirst Research Centre, GEC Ltd., Wembley, U.K. in 1956, where he was involved with research on semiconductors and microwaves. In 1965, he became a Lecturer in engineering with Cambridge University. In 1966, he became a Fellow with Trinity College, Cambridge, U.K. He has held visiting academic appointments with Cornell University, McGill University, and Florence University. Although now retired (1990) from his university lecturing post, he is still active in research and has recently collaborated extensively with the Electrical and Electronics Department, Stellenbosch University, Matieland, South Africa. In addition to numerous papers on semiconductors, microwaves, radio-echo sounding through glacial ice, numerical electromagnetics and numerical quantum mechanics, his publications include a coauthored book on finite-element methods and coeditor of a textbook on electromagnetics and one on problems in physical electronics. Dr. Ferrari is a Fellow of the Institution of Engineering and Technology, London, U.K. David Bruce Davidson (M’85) was born in London, U.K., in 1961. He received the B.Eng, B.Eng (Hons.), and M.Eng degrees (all cum laude) from the University of Pretoria, Pretoria, South Africa, in 1982, 1983, and 1986, respectively, and the Ph.D. degree from the University of Stellenbosch, Matieland, South Africa, in 1991. Following national service (1984–1985) in the then South African Defence Force, he was with the Council for Scientific and Industrial Research, Pretoria, South Africa, prior to joining the University of Stellenbosch in 1988, where he is currently a Professor. In 1993, he was a Visiting Scholar with the University of Arizona. In 1997, he was a Visiting Fellow with Trinity College, Cambridge University, Cambridge, U.K. In 2003, he was a Guest Professor with the IRCTR, Delft University of Technology, Delft, The Netherlands. He main research interest is computational electromagnetics (CEM). He has written extensively on this topic. He is currently joint editor of the “EM Programmer’s Notebook” column of the IEEE Antennas and Propagation Magazine. He authored Computational Electromagnetics for RF and Microwave Engineering (Cambridge Univ. Press, 2005). Dr. Davidson is a member of the Applied Computational Electromagnetics Society (ACES) and the South-African Institute of Electrical Engineers (SAIEE). He is past chairman of the IEEE Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) Chapter of South Africa. He was a recipient of the South African Foundation for Research and Development (FRD) [now the National Research Foundation (NRF)] President’s Award. Petrie Meyer (M’85) was born in Bellville, South Africa, in 1965. He received the B.Eng, M.Eng, and Ph.D. degrees from the University of Stellenbosch, Matieland, South Africa, in 1986, 1988, and 1995, respectively. In 1988, he joined the Faculty of Engineering, University of Stellenbosch, where he is currently a Professor of microwave engineering. His current research interests include electromagnetic (EM) analysis, passive devices, and mathematical modeling techniques.

3706

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Interaction of an Open-Ended Rectangular Waveguide Probe With an Arbitrary-Shape Surface Crack in a Lossy Conductor Farhad Mazlumi, Seyed H. Hesamedin Sadeghi, Senior Member, IEEE, and Rouzbeh Moini, Senior Member, IEEE

Abstract—This paper presents a modeling technique to predict the output signal of an open-ended rectangular waveguide probe when scanning an arbitrary-shape crack in a lossy conductor with finite conductivity. The loss of the specimen is taken into account by using surface impedance at the surface. The technique discretizes the crack shape and applies the generalized scattering matrix technique to obtain the output signal. To validate the model proposed in this paper, the results of the proposed method are compared with the measurement results and those obtained using a finite-element code. The model is used to obtain appropriate inversion curves for determining crack depth measurement from the probe output signal. Index Terms—Lossy systems, mode-matching methods, nondestructive testing, rectangular waveguides.

I. INTRODUCTION ANY components are made of conductors whose conductivity lie in a wide range extending from 10 S/m to 10 S/m. Cycling loading, corrosion, and overloading of conductive components may cause the initiation of a microscopic phenomenon known as fatigue. The growth of fatigue is a stochastic process, and starts by creating short-breaking cracks of semielliptical shape. If these cracks are not detected in a timely manner, they can grow gradually and may result in the sudden failure of the component and catastrophic incidence. With the knowledge of the crack size, concepts of fracture mechanics may be utilized to obtain a quantitative and reliable assessment of the structural integrity of the components under test. This will make it possible to assess the condition of the structural components with a relatively high degree of reliability and to schedule cost-effective and efficient repair efforts with minimum service interruption. For nondestructive evaluation (NDE) of metals with high conductivity such as aluminum and steel, there are several prominent electromagnetic techniques, including the potential drop, ac field measurement, and eddy-current methods [1]. Over the last decade, a great deal of attention has been given to the

M

Manuscript received March 10, 2006; revised June 7, 2006. This work was supported by the Iran Telecommunication Research Center. F. Mazlumi is with the Civil Aviation Technology College, Tehran 13878, Iran (e-mail: [email protected]). S. H. H. Sadeghi is with the University of Wisconsin–Milwaukee, Milwaukee, WI 53201 USA, on leave from the Electrical Engineering Department, Amirkabir University of Technology, Tehran 15914, Iran (e-mail: [email protected]; [email protected]). R. Moini is with the Electrical Engineering Department, Amirkabir University of Technology, Tehran 15914, Iran (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882879

microwave nondestructive testing (MNDT) for detection and sizing of surface-breaking cracks in metals. In the microwave technique, the metal surface is scanned by an open-ended waveguide while its standing-wave characteristics is monitored using a slotted guide and a diode detector. The crack detection and sizing in this technique is done by analyzing the overall reflection coefficient of the incident electric field at different crack positions beneath the open-ended waveguide aperture [2], [3]. To model the field-crack interaction in the microwave technique, Huber et al. assumed that the crack can be approximated by a rectangular notch and, hence, is modeled as a short-circuited rectangular waveguide [4]. Mazlumi et al. improved the modeling by solving the problem for arbitrary-shape cracks [5]. They used a discretization algorithm to model the crack as a series of rectangular waveguides. In all of the above-mentioned studies, the crack is assumed to be on the surface of a perfectly conductive metal, which is a good approximation for good conductive metals at microwave frequencies. This paper aims to present a modeling technique to predict the output signal of an open-ended rectangular waveguide probe when scanning an arbitrary-shape crack on the surface of a lossy conductor. Conductive composites and polymers, graphite, and stainless steel are examples of materials that have poor or medium conduction. In addition, the technique can be used for simulation of the tests done at millimeter-wave frequencies at which the loss of the metals cannot be ignored. This paper is organized as follows. First, a general theoretical model is presented to obtain the reflection coefficient of a waveguide probe when connected to a segmented waveguide system, approximating a fatigue crack with arbitrary shape in a lossy conductor. The modeled probe-crack structure is then analyzed to predict the output signal of the open-ended waveguide from which an inversion method will be devised for inverting crack signals to crack dimensions. To validate the model proposed in this paper, the results of the proposed method are compared with the measurement results and with those obtained using a commercial finite-element code.

II. THEORETICAL MODELING An open-ended rectangular waveguide probe with dimensions is interrogated with an arbitrary-shape crack in a lossy conductor with finite conductivity, as depicted in Fig. 1. The crack has an opening width of and its length extends along the broad dimension of the waveguide probe. The crack

0018-9480/$20.00 © 2006 IEEE

MAZLUMI et al.: INTERACTION OF OPEN-ENDED RECTANGULAR WAVEGUIDE PROBE WITH ARBITRARY-SHAPE SURFACE CRACK IN LOSSY CONDUCTOR 3707

Fig. 2. Waveguide system of the segmented crack. Fig. 1. Relative geometry of an arbitrary-shape crack and the waveguide probe. (a) Side view. (b) Plan view.

width is assumed to be uniform, while its depth can vary arbitrarily. To simplify the modeling, without losing any generality, it is assumed that the probe-conductor spacing (known as liftoff) is zero. In other words, the waveguide probe is assumed to be in contact with the metal surface, and its flange is so large that the incident wave does not leak out of the probe-crack structure. Notice that one can use the technique detailed in [6] to include nonzero liftoff values in the modeling. The loss of the conductor is taken into account by introducing a surface impedance, which relates the tangential components of the electric and magnetic fields at the conductor surface. In fact, the surface impedance at the conductor surface characterizes the whole specimen, and the probe output signal can be predicted with respect to the surface under the probe aperture. For a conductor with magnetic permeability and conductivity , the surface impedance is obtained as follows [7]:

Fig. 3. Typical junction for the case (b) Longitudinal view.

S

S

. (a) Cross-sectional view.

, which is calculated through a consecutive calculamatrix tion of the reflection matrices and in different parts of the waveguide system (Fig. 2) starting from the end of the waveguide system (i.e., at the crack bottom). The calculations of the reflection matrices at junctions and uniform sections of the waveguide system are described below. A. Junctions

(1) where is the operating frequency. Assuming that the crack opening mouth is rectangular, the crack is segmented into rectangular waveguides such that the wall of the waveguide series follows the crack shape (Fig. 2). Including the waveguide probe, a system of consecutive rectangular waveguides is realized. This waveguide system consists of several waveguide junctions, separated by an appropriate number of uniform waveguide sections. It is noted that the last waveguide in the system is terminated with a lossy plane wall, representing the crack bottom. The waveguide system is analyzed to calculate the reflection coefficient of the dominant mode at the detector location. To obtain the detector signal, the reflection coefficient of the dominant mode seen from the detector location toward the crack is to be determined. Notice that is an element of the reflection

With reference to Fig. 2, the th junction lies between the waveguide , and the th waveguide . A typical junction for the case where the cross section of completely surrounds the cross section of (i.e., ) is shown in Fig. 3. Assuming that the junction lies at , the transverse electric and magnetic fields at in either side of the junction are on

(2) on

(3)

and where denotes the unit vector in the -direction, are, respectively, the transverse electric and magnetic fields in the waveguide , and and are the th modal transverse electric field of the waveguide and its corresponding modal impedance, respectively. Also, and

3708

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

represent the coefficients of the th modal wave traveling toward the - and -directions in the waveguide , respectively. The boundary conditions at are the continuity of the transverse electric and magnetic fields at the aperture, and the surface impedance approximation on the wall, i.e.,

Using (6)–(14), follows:

can be expressed in terms of

as

(15) where

on on (4) (5)

on

where is the surface impedance of the area . Despite the conductor loss, it is assumed that the electric modal fields are real and the orthogonality between the modal fields still holds. This is a good approximation if the surface impedance is much less than the free-space intrinsic impedance [8]. To reduce the boundary conditions into the matrix equations, both sides of (4) are multiplied by the modal magnetic field and integrated over for each . Similarly, both sides of (5) are multiplied by the modal electric field and integrated over for each . The new boundary conditions in the matrix form are as follows:

In cases where no aperture exists (i.e., only due to the junction walls and As a result, (15) is reduced to

(16) ), the reflection is from (10) and (16).

(17) which, in turn, is used to calculate the reflection matrix at the crack bottom . Note that (15) and (16) have been derived for the case where . If , it is sufficient to swap and in (6) and (7) and use (13) and (14) to express in terms of , i.e.,

(18) where

(6) (7) where the superscript tors and

denotes matrix transposition and vecare (8) (9)

The elements of matrices are given as follows:

and

(

and

)

(10)

(19) and are, respectively, Here, the elements of defined according to (10) and (11) with and . In the case where and share a common surface, but neither of the two waveguides is a subset of the other one, we can introduce a fictitious waveguide with the dimension equal to the common aperture (i.e., ) with a uniform length of zero, lying between the and th waveguides. As can be seen in Fig. 4, the fictitious waveguide is represented by two junctions for which is expressed in terms of using (18) and (19), and is expressed in terms of using (15) and (16).

(11) B. Uniform Sections where is the surface element. matrices whose elements are

are diagonal

At the th uniform section with the length of pressed in terms of as follows [9]:

where

(13) (14)

is ex-

(20)

(12)

With reference to Fig. 3, and and and are related to each other through and , respectively,

,

is a diagonal matrix whose elements are

(21) is the propagation constant of the th mode in the Here, th waveguide. It is noted that the propagation constants of the

MAZLUMI et al.: INTERACTION OF OPEN-ENDED RECTANGULAR WAVEGUIDE PROBE WITH ARBITRARY-SHAPE SURFACE CRACK IN LOSSY CONDUCTOR 3709

Fig. 4. Longitudinal view of a junction for the case where S and S have a common surface, but neither of them is a subset of the other one. (a) The junction. (b) Its replaced configuration.

Fig. 5. Probe normalized output signal versus  for the scan of a long crack with w = 3:2 mm and d = 4:5 mm on a graphite specimen with  = ) theoretical results, ( ) experimental results. 7 10 S/m; (

2

TABLE I PROBE SPECIFICATIONS

Fig. 6. Geometry of a surface semielliptical crack.

propagating modes are complex quantities due to the wall losses of the waveguide. III. RESULTS AND MODEL VERIFICATION Based on the model described above, a computer code was developed, which can predict the probe output for scanning arbitrary-shape surface cracks in a lossy conductor. For each crack location beneath the probe aperture, the code can obtain the probe output signal. To examine the accuracy of the model, various tests were carried out. For brevity, we only present results associated with the and frequency bands. The specifications of the probe at each band, including the dimensions of the probe, operating frequency, and detector distance from the probe aperture are given in Table I. These parameters ensure that at each frequency band, the only propagating mode within the probe will be and no evanescent modes will appear at the location of the diode detector measuring the probe output signal. In all the results presented here, the probe output signals are normalized to the maximum value of the probe signal when terminated with a perfect conductor plane. The crack is also scanned along the -axis (Fig. 1), while the crack is kept at the center of the probe aperture along the -axis (i.e., ). In addition, due to the symmetry of the scan, only one-half of the probe output signal versus is plotted. A.

-Band Results

We present simulated and experimental results associated with the -band probe (Table I) when scanning a long (i.e., ) rectangular slot made in a graphite slab S/m . With reference to Fig. 1, the slot dimensions are mm, mm, and mm. Due to the uniform depth of the slot, the waveguide system in

Fig. 2 consists of only one rectangular waveguide (i.e., ). Variation of the normalized probe output signal when scanning the slot along the -axis is depicted in Fig. 5. The simulation results are accompanied by their experimental counterparts, confirming the accuracy of the theoretical modeling. B.

-Band Results

To further examine the accuracy of the model, we present simulation results associated with the -band probe (Table I) when scanning a semielliptical crack in a lossy conductor (Fig. 6). The results are compared with those obtained the well-known Hewlett-Packard (HP) High Frequency Structure Simulator (HFSS) finite-element code [10]. In the first simulation, the crack is assumed to be infinitely long (i.e., ), lying perpendicular to the surface of a graphite S/m specimen. With reference to Fig. 6, the crack dimensions are and mm. Similar to the case of the slot, the waveguide system in Fig. 2 consists of only one rectangular waveguide. Fig. 7 shows the predicted normalized output signal of the probe for various values of using the proposed method and those obtained using the finite-element code. A comparison of the results shown in this figure substantiates the accuracy of the proposed model. It is worth noting that although the results obtained from the two methods are consistent, the computation time required in the finite-element method is approximately three times more than that required in the method described in this paper. To study the effect of specimen loss on the probe output signal, we present the simulation results for scanning specimens with various conductivities. The specimens are assumed to contain a semielliptical crack whose dimensions (Fig. 6) are

3710

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 7. Probe normalized output signal versus  for the scan of a long crack with w = 0:84 mm and d = 1:53 mm on a graphite specimen with  = 7 10 S/m using ( ) Proposed model and (  ) HP HFSS code.

2

Fig. 8. Probe normalized output signal versus  for the scan of semielliptical cracks with l = 12 mm, w = 0:8 mm, and d = 2:5 mm on conductors with different conductivity; ( )  ! 1, ( 1)  = 7 2 10 S/m, and (1 1 11 1 1)  = 10 S/m.

mm, mm, and mm. To achieve accurate simulation results, the elliptical crack is segmented into three sections, indicating that the waveguide system in Fig. 2 consists of three rectangular waveguides (i.e., ). Fig. 8 shows the normalized output signals of the probe when scanning three specimens with conductivity , S/m, and S/m. An examination of the results in this figure reveals that the peak-to-dip value of the signal becomes smaller as the conductivity of the specimen decreases. This may result in the reduction of detection probability of surface cracks in a lossy specimen. The reduction of the peak-to-dip value is due to the excitation of more higher order modes as the crack enters or exits the probe aperture. As a result, the loss in the waveguide system will increase, causing a noticeable reduction in the magnitude of the probe reflection coefficient and its voltage standing-wave ratio. Fig. 8 also demonstrates that the specimen loss can affect the level of the output signal when the crack is in the middle of the probe aperture. This is an important feature and should be considered in the inversion process where the crack depth is to be inferred from the crack signal. C. Crack Sizing To demonstrate the importance of the theoretical modeling described in Section II for crack sizing, we outline the tech-

Fig. 9. Inversion curves for measuring crack depth from the probe output signal when scanning semielliptical cracks of l = 12 mm and w = 0:8 mm in a ) and in a lossy conductor ( 1) with conductivity perfect conductor (  = 10 S/m.

nique for inverting crack signals to dimensions of a semielliptical crack. Before starting the crack measurement procedure, its orientation is to be known. This can be done by performing a two-dimensional scan of the metal surface where the strong inflection in the probe output signal is an indicative of crack edges. The following procedure is then performed to size the crack. • As can be seen in Fig. 8, when the probe encounters the opening of a crack, the reflection coefficient is changed, indicating the existence of a crack within the probe aperture. This feature can be used to determine the crack width and length. In fact, when the scan direction (Fig. 1) is normal to the crack edges, the probe observes a non-short-circuit reflection coefficient for a distance where the probe output signal is not constant. Similarly, when the scan direction (Fig. 1) is along the crack edges, the probe output signal varies for a distance . In practice, and can be obtained by moving a sliding window over the probe output signals for two scans parallel and normal to the crack edges, respectively. In fact, the results in two consecutive windows are compared against a predetermined threshold to determine the starting and ending points of the crack signal, • Use an inversion curve to obtain the crack depth. The curve represents the probe output signal at the location (Fig. 1) of and , as a function of the crack depth for the values of and obtained in the previous stage. Fig. 9 shows typical inversion curves for semielliptical cracks with mm and mm in a perfect conductor and in a lossy conductor S/m . From this figure, it is revealed that the measured value of depth for the case of a 2.5-mm-deep crack (Fig. 8) in the lossy conductor would be 30% underestimated if the inversion curve for the perfect conductor was used. IV. CONCLUSION A modeling technique has been presented to predict the output signal of an open-ended rectangular waveguide when scanning a surface-breaking crack in a lossy conductor. The technique uses a discretization algorithm and approximates the

MAZLUMI et al.: INTERACTION OF OPEN-ENDED RECTANGULAR WAVEGUIDE PROBE WITH ARBITRARY-SHAPE SURFACE CRACK IN LOSSY CONDUCTOR 3711

arbitrary depth profile of the crack by a series of rectangular waveguides. The conductor loss is accounted for by introducing a surface impedance for the wall of the waveguides. To obtain the detector signal, the reflection coefficient of the overall waveguide system is calculated at the detector location. This is done through a consecutive calculation of the reflection matrices in different parts of the waveguide system by the mode-matching method, starting from the end of the waveguide system. The validity of the modeling technique has been demonstrated by comparing the simulated results of a long slot in a graphite slab with their experimental counterparts. Further confirmation of the technique has been done by comparing our results for long and semielliptical cracks with those obtained using the well-known HP HFSS finite-element code. Although the results are found to be consistent, the computation time required in the finite-element method is approximately three times more than that required in the method described in this paper. It has also been shown that as the conductor loss increases, the crack signal tends to decrease, thus reducing the crack detection capability. Nevertheless, appropriate inversion curves can be obtained to avoid errors in crack depth measurement.

REFERENCES [1] R. Halmshaw, Nondestructive Testing. New York: Edward Arnold, 1987. [2] C. Y. Yeh and R. Zoughi, “A novel microwave method for detection of long surface cracks in metals,” IEEE Trans. Instrum. Meas., vol. 43, no. 5, pp. 719–725, Oct. 1994. [3] F. Mazlumi, S. H. H. Sadeghi, and R. Moini, “Using open-ended rectangular waveguide probe for detection and sizing of fatigue cracks in metals,” Electron. Lett., vol. 41, no. 6, pp. 334–335, Mar. 2005. [4] C. Huber, H. Abiri, S. I. Ganchev, and R. Zoughi, “Analysis of the ‘crack characteristic signal’ using a generalized scattering matrix representation,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 4, pp. 477–484, Apr. 1997. [5] F. Mazlumi, S. H. H. Sadeghi, and R. Moini, “Analysis technique for interaction of rectangular open-ended waveguides with surface cracks of arbitrary shape in metals,” NDT&E Int., vol. 36, no. 5, pp. 331–338, Jul. 2003. [6] C. Huber, H. Abiri, S. I. Ganchev, and R. Zoughi, “Modeling of surface hairline-crack detection in metals under coatings using an open-ended rectangular waveguide,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 11, pp. 2049–2057, Nov. 1997. [7] R. E. Collin, Field Theory of Guided Waves. New York: IEEE Press, 1991. [8] J. D. Wade and R. H. MacPhie, “Conservation of complex power technique for waveguide junctions with finite wall conductivity,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 4, pp. 373–378, Apr. 1990.

[9] T. Itoh, Ed., “Generalized scattering matrix technique,” in Numerical Techniques for Microwave and Millimeter-Wave Passive Structures. New York: Wiley, 1989, ch. 10, pp. 622–635. [10] High Frequency Structure Simulator (HFSS). ver. 5.4, HewlettPackard, Palo Alto, CA, 1999, designer documentation. Farhad Mazlumi was born in Tehran, Iran, in 1974. He received the B.S. and M.S. degrees from Sharif University of Technology, Tehran, Iran, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from the Amirkabir University of Technology, Tehran, Iran, in 2005. In Summer 2005, he was a Research Assistant with Bilkent University, Ankara, Turkey, where he was involved with integral equations for bodies with impedance surface. He is currently an Assistant Professor with the Civil Aviation Technology College, Tehran, Iran. His research interests include computational methods for electromagnetics and microwave passive devices.

Seyed H. Hesamedin Sadeghi (M’92–SM’05) received the B.S. degree in electrical engineering from Sharif University of Technology, Tehran, Iran, in 1980, the M.S. degree from the University of Manchester Institute of Science and Technology, Manchester, U.K., in 1984, and the Ph.D. degree from the University of Essex, Essex, U.K., in 1991. From 1980 to 1983, he worked within the electrical power industry in Iran. In 1984, he was a Research Assistant with the University of Lancaster, Lancaster, U.K. In 1991, he joined the University of Essex. In 1992, he became a Research Assistant Professor with Vanderbilt University, Nashville, TN. From 1996 to 1997 and from 2005 to 2006, he was a Visiting Professor with the University of Wisconsin–Milwaukee. He is currently a Professor of electrical engineering with the Amirkabir University of Technology, Tehran, Iran. His current research interests include electromagnetic nondestructive evaluation of materials and electromagnetic compatibility issues in power engineering.

Rouzbeh Moini (M’93–SM’05) was born in Tehran, Iran, in 1963. He received the B.S., M.S., and Ph.D. degrees in electronics from Limoges University, Limoges, France. In 1988, he joined the Electrical Engineering Department, Amirkabir University of Technology, Tehran, Iran, where he is currently a Professor of telecommunications. From 1995 to 1996, he was a Visiting Professor with the University of Florida, Gainesville. His main research interests are numerical methods in electromagnetics, electromagnetic compatibility, and antenna theory. Dr. Moini was the recipient of the 1995 Islamic Development Bank (IDB) Merit Scholarship Award.

3712

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Oblique–Oblique Projection in TLM-MOR for High-Q Structures Dzianis Lukashevich, Andreas C. Cangellaris, Fellow, IEEE, and Peter Russer, Fellow, IEEE

Abstract—The nonsymmetric properties of the matrix statement of the transmission-line matrix (TLM) method require the application of general Krylov subspace methods for its model-order reduction (MOR). However, the utilization of the most representative type of such general Krylov subspace methods, namely, the Arnoldi algorithm, is computational expensive. On the other hand, the other popular method, namely, the classical nonsymmetric Lanczos algorithm, requires the transpose of the TLM matrix in order to form the bi-orthogonal basis utilized in its application; hence, its algorithmic simplicity is also penalized and its computational complexity is increased. We present in this paper a novel scattering-symmetric ( -symmetric) algorithm, which is used for the oblique projection of the TLM system. The -symmetric Lanczos algorithm generates a bi-orthogonal basis by means of a single sequence like the symmetric Lanczos procedure. Thus, it is faster and consumes less memory in comparison to the conventional nonsymmetric Lanczos algorithm. However, the dimension of the resulting reduced TLM matrix can still be too large. Therefore, rather than directly applying the conventional eigenvalue decomposition to it, a second projection of the TLM system is performed in order to extract only those eigenvalues and associated eigenstates that are the most influential on the system response in the desirable frequency band. Such an oblique–oblique projection approach provides for TLM-based MOR in the most computationally efficient manner. The advantages of the proposed TLM-MOR process are demonstrated through its application to the electromagnetic analysis of high- filters and a patch antenna. Index Terms—Krylov subspace methods, model-order reduction (MOR), transmission-line matrix (TLM) method.

I. INTRODUCTION HE REDUCTION of the order of the linear systems obtained from the numerical approximation of electromagnetic boundary value problems by means of finite methods is motivated primarily by the following two reasons. First, the generated reduced-order model (ROM) can help reduce the computational cost associated with the calculation of the system electromagnetic response over the desirable frequency bandwidth. Second, model-order reduction (MOR) results in a more compact discrete model of the electromagnetic system, constructed

T

Manuscript received October 19, 2005; revised March 15, 2006. D. Lukashevich is with the Automotive, Industrial and Multimarket Department, Infineon Technologies AG, 85579 Munich, Germany. A. C. Cangellaris is with the Electrical and Computer Engineering Department, University of Illinois at Urbana-Champaign, Urbana, IL 61801 USA. P. Russer is with the Department of Electrical Engineering and Information Technology, Technische Universität München, 80333 Munich, Germany. Color versions of Figs. 2–8 and 10 are available online at http://ieeexplore. ieee.org. Digital Object Identifier 10.1109/TMTT.2006.881599

from only those eigenstates that are most influential in the accurate quantification of the system response over the desirable bandwidth of interest. As such, these compact macromodels are most useful in expediting system-level, linear, and nonlinear simulations of systems that contain the ROM as a subsystem. It is the latter attribute of MOR that is most appealing as electromagnetic device and system designers are confronted with designs of escalating complexity and multiple functionality. While finite methods are most effective at capturing geometric and material complexity with high accuracy, this capability comes at the price of increased dimensionality (order) of the generated discrete model. MOR makes it possible to reduce the large dimension of the resulting discrete model while still capturing with high accuracy the response characteristics of the structure. The most effective and robust approach to MOR of discrete approximation of electromagnetic boundary value problems obtained via finite methods has been through the utilization of Krylov subspace methods (see [1] and the references within). The application of Krylov subspace-based reduced-order modeling in the context of the transmission-line matrix (TLM) was first presented in [2]. It has been shown that the nonsymmetric properties of the TLM matrix require the use of the general Arnoldi and nonsymmetric Lanczos algorithms. Even though the implementation of the Lanczos algorithm is more efficient and algorithmically simpler than Arnoldi, one Lanczos step is equivalent to two time updates in the standard TLM scheme due to the imperative to deal with both the TLM matrix and its transpose for the construction of two bi-orthogonal Krylov subspaces. In this paper, it is shown how specific symmetric properties of the TLM matrix can be exploited to develop a modified Lanczos algorithm that does not require the use of the transpose of the TLM matrix. This new algorithm is called the scattering-symmetric or -symmetric Lanczos (LTLM-S) process. As will be demonstrated through the numerical studies presented in this paper, the LTLM-S process is up to 35% faster than the conventional nonsymmetric Lanczos algorithm. Despite the reduction in the order of the discrete model achieved through the application of the Arnoldi and Lanczos processes, it is often the case for practical structures that the dimension of the reduced TLM matrix is still quite large, making its direct eigendecomposition expensive. For such cases, the computationally attractive alternative is to perform an additional projection of the reduced TLM system, aimed at extracting only the most dominant eigenvalues and eigenstates in the frequency band of interest (see [3] and references within). Such two-step projections are also considered in this paper.

0018-9480/$20.00 © 2006 IEEE

LUKASHEVICH et al.: OBLIQUE–OBLIQUE PROJECTION IN TLM-MOR FOR HIGH-

The oblique–oblique projection approach combines the LTLM-S algorithm in the first step toward reducing the large TLM system resulting from the discretization of the structure [4]. Subsequently, the shift-invert spectral transformation (SIST) is utilized in the second step to obtain an optimal order of the ROM. It is demonstrated through the numerical examples that such double projections help decrease memory requirements for TLM-MOR and improve overall computation efficiency.

STRUCTURES

3713

Though both matrices and are symmetric, the TLM matrix of dimension is nonsymmetric since these two matrices are not commutative. For a more in-depth description of the TLM method, the reader is referred to [5] and [6] . B. Impulse Response of the TLM System and a vector of port reIntroducing an excitation vector sponses , the -domain form of the TLM state-space system becomes

II. TLM METHOD (6)

A. TLM State-Space System The time evolution of the electromagnetic field in TLM is modeled through the propagation of wave pulses between adjacent cells and their scattering within the cells. In general, the TLM cell can be described by an 18-port symmetrical condensed node and provides the respective abstract network model. We denote through a field state-space vector of length in the TLM system with cells. The field component vector can be mapped onto an incident wave amplitude state-space vector of length by the cell-centered field mapping matrices and according to the following equations: and

and are selector matrices associated with where the nonzero wave amplitudes of the state vector at the ports at which the response of the system needs to be observed. The -port impulse response of the TLM system can thus be given from (6) as (7) According to (6) and (7), the output vector of length computed as

can be

(1) (8)

The waves scattered from the node at the next time step can be summarized in a state-space vector of length follows:

as

(2) where is the scattering matrix containing the information about the material properties in the computational domain and the index denotes the discrete time coordinate with unit time interval . After that, the scattered wave amplitudes become the incident amplitudes onto the neighboring cells. Therefore, we can write (3) where the matrix represents the connection between nodes and boundary conditions. The complete cycle of the TLM time evolution scheme can be expressed from (2) and (3) as

III. TLM MOR Next we pursue the construction of a model of reduced order that approximates the impulse response (7) and, thus, serves as a ROM of the discrete TLM model of (6). This can be done using moment-matching techniques based on the Krylov subspace methods. The basic idea is to project the system (6) of dimension onto a space of significantly lower dimension such that only the first moments in the Laurent series expansions of the impulse response (7) of the original system and the reduced system are matched. In other words, we project the large state vector of wave amplitudes onto the vector of much lower dimension . A. Oblique Projection Based on the Scattering-Symmetric Lanczos Algorithm Using the nonsymmetric Lanczos algorithm, the TLM matrix can be reduced to a block tri-diagonal form such that (9)

(4) In the -domain, the state equation of the TLM system (4) is cast in the form

(5)

and are generated to be bi-orwhere the two matrices thogonal, i.e., . We remark that these matrices form the bi-orthogonal basis for the Krylov subspaces span

(10)

span

(11)

3714

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

where and are the starting matrices used for the generation of the subspaces. The -port impulse response of the reduced TLM system is given by

B. Orthogonal Projection Using an orthogonal basis for the Krylov subspace (10), we obtain the -port impulse response of the reduced TLM system as follows:

(12)

(18)

The vector of port responses in (6) can be obtained through the projection of the reduced state vector as follows:

The Arnoldi algorithm reduces the TLM matrix to an upper Hessenberg matrix of dimension and forms a projection matrix of dimension , the columns of which build an orthogonal basis of the Krylov subspace (10).

(13)

C. SIST and In order to generate the bi-orthogonal basis vectors for the Krylov subspaces (10) and (11), the nonsymmetric Lanczos process utilizes the following sequences: (14) (15) Further details of the Lanczos algorithm can be found in [7]. A beneficial property of the TLM matrix is that it is symmetric with respect to the scattering matrix ; hence,

It is well known that the Krylov subspace methods operating with a shift-invert matrix extract eigenvalues closest to the shift point first [7] . Thus, in order to extract the dominant eigenvalues that dominate the response over the desired frequency band, we form the shift invert TLM matrix as follows: (19) where the shift is associated with a center frequency in the frequency band of interest. The matrix of the eigenvalues of interest is then easily recovered from the eigenvalues of the shift-invert matrix through the matrix operation

(16) (20) Thus, we will refer to the TLM matrix as scattering symmetric or, in short, -symmetric. On the basis of this property, following the ideas in [8], the second sequence (15) in the nonsymmetric Lanczos algorithm can be replaced by

The main obstacle with this process is that the operator is not given explicitly. Furthermore, it is not clear how the operations with the shift inverse matrix (19) should be done in the context of the TLM process. To overcome these difficulties, an iterative solution may be used as follows:

(17) (21) In view of (17), the nonsymmetric Lanczos process simplifies considerably since now only the right basis vectors need be generated, while the left vectors are obtained via (17). The bi-orthogonal basis is generated to satisfy . It is apparent from (14) and (15) that one nonsymmetric Lanczos step is equivalent to two time steps in the TLM time evolution scheme due to the imperative to deal with transpose TLM matrix , whereas the connection in the sequence (17) is skipped. Therefore, the LTLM-S algorithm theoretically requires 25% less computation compared to its nonsymmetric version. However, in practice, connection operations are more expensive than the scattering at all nodes. Thus, the actual acceleration provided by the -symmetric-based Lanczos process is found to be slightly higher than the above theoretical estimate, amounting to approximately 35%.

for for each step in (14). Alternatively, the two-step MOR process described below can be used. We note that the shift-inverse matrix (19) is also scattering symmetric. D. TLM MOR in Laplace Domain In order to show the advantages of TLM MOR in the -domain, we derive a reduced-order system in the Laplace domain. Utilizing the bilinear transformation, the TLM state-space system (22) becomes in the -domain

(22)

LUKASHEVICH et al.: OBLIQUE–OBLIQUE PROJECTION IN TLM-MOR FOR HIGH-

where

STRUCTURES

3715

B. Oblique–Orthogonal Projection Approach and

(23)

, we obtain Using an orthonormal basis the oblique–orthogonal projection from (12) in a very similar manner, i.e.,

Through straightforward matrix manipulations, we obtain the -port impulse response as

(28)

(24) C. Sketch of the Two-Step Reduction Approach As you can see, the application of Krylov-subspace-based MOR in the -domain already requires the inverse of the implicit given matrix. This is the same drawback as in (19). IV. TWO-STEP MOR The two-step MOR is most suitable for those cases where the dimension of the original system in very large, thus resulting in a reduced system of order much smaller than the original system, yet large enough for the computation of the eigenvalues of the reduced matrices and by the standard eigenvalue decomposition (EVD) to be computationally attractive. In such cases, a second projection of the (reduced) TLM system can be preformed in order to extract the dominant eigenvalues that are most influential on the response over the desired frequency band [3], [4] . The first projection pre-reduces the large matrix , while the second projection further reduces the original TLM matrix to an optimal, or rather, a minimal order. Along with conventional Krylov subspace methods, the Padé approximation or the SIST via the Lanczos or Arnoldi processes can be utilized for this purpose. From the four possible projections, the two that are very attractive from a computational efficiency point-of-view in relation to the TLM system are the oblique–oblique projection and oblique–orthogonal projection. These are discussed below. A. Oblique–Oblique Projection Approach The oblique–oblique projection approach utilizes the LTLM-S algorithm in the pre-reduction of the system (6) with transfer function (7) with the SIST in the second step to obtain an optimal approximation. Let be the pre-reduced TLM matrix, which is obtained via the similarity transformation (9) by the Lanczos algorithm. Introducing the second bi-orthogonal basis with , we can cast the reduced TLM operator in the following form: (25) Using the EVD of

,

We conclude the presentation of the mathematical formalism of the proposed MOR process with a brief description of TLM-MOR based on the oblique–oblique projection approach. First projection: The -symmetric version of the Lanczos algorithm is directly applied to the initial TLM matrix , which is accessible in an implicit form, in order to gain the pre-reduced TLM matrix . The number is determined during the computation, by monitoring the converged eigenvalues associated with the frequency bandwidth of interest. At the end of the process, the tri-diagonal matrix , the diagonal matrix , and the bi-orthogonal bases , are stored. Second projection: Upon completion of the first projection, which led to the reduction of the order of from to , the second step is applied to obtain an optimal model of order . For this purpose, either one of the SIST via Lanczos and SIST via Arnoldi approaches can be utilized, the choice dictated by the type of basis that will be generated. The oblique–oblique or oblique–orthogonal projections are obtained by (27) and (28), respectively. For example, in case of the oblique–oblique projection, we form the shift-inverse pre-reduced tri-diagonal matrix (29) and carry out the nonsymmetric Lanczos algorithm, yielding eigenvalues of the -matrix . These serve as an approximation to the eigenvalue spectrum of the pre-reduced matrix and, consequently, to the eigenvalues of . Finally, the reduced transfer function of the system (7) is obtained by (27) instead of (12). Typically, for practical applications, the order is sufficiently smaller than . As far as the stepping criteria is concerned for deciding on the convergence of the eigenvalues and, hence, the order that is acceptable for the approximation of the transfer function in the frequency bandwidth of interest, the approach used in the first step is also used in the second step. In applying this process, the inverse of the shifted tri-diagonal matrix must be computed. However, the inversion of a tri-diagonal matrix is computationally cheaper that the EVD process that would be required otherwise.

(26) V. APPLICATIONS and substituting (25) and (26) into (12), we obtain the oblique–oblique projection

(27)

In order to test and compare the proposed MOR methodologies, we applied them to the analysis of loss-free high- structures and a patch antenna with losses. The MATLAB matrix computation environment has been utilized for all matrix manipulations pertinent to the construction of the ROM.

3716

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 1. Geometry of one-eighth of a lossless rectangular waveguide resonator.

Fig. 3. Eigenvalue spectrum of the resonator of Fig. 1, extracted from a 410order model generated by the LTLM-S algorithm.

Fig. 2. Calculated spectrum of the resonator of Fig. 1. The analytic resonant -modes are compared with simulation frequencies (in parentheses) for results obtained by LTLM-S.

H

z

We begin with the eigenmode analysis of an ideal resonator based on the WR-1800 waveguide. More specifically, taking advantage of the symmetry of the structure, only one-eighth of the resonator is analyzed, as depicted in Fig. 1. The front and left walls of the resulting computational domain are defined as ideal magnetic conductors, while the remaining walls are ideal electric conductors. The modeled domain has been discretized using a 20 10 20 TLM grid of sizes along the -, - and -directions of mm and mm, respectively. The Arnoldi, nonsymmetric Lanczos, and LTLM-S algorithms were used for the eigenvalue analysis. The generated eigenspectra were compared with the resonator spectrum obtained from the Fourier transform of the TLM-generated transient response. Fig. 2 depicts the comparison between the TLM and LTLM-S process. We remark that the number of iterations in the construction of the Krylov subspace must be sufficiently large in order for the dominant resonances of interest to start converging. Furthermore, as seen from the plots in Fig. 2, it is difficult to anticipate which resonances will converge first, especially in the early stages of the iteration. This is consistent with the results obtained when other time-domain finite-difference or finite-element methods are used for MOR (see, e.g., [1]). The reason for this is intuitively easy to understand. Since the vectors used for the construction of the

Fig. 4. Calculated -plane poles of the resonator (Fig. 1) obtained via EVD (crosses) and SIST (circles) from the 410 410 reduced matrix generated by LTLM-S.

2

Krylov subspace describe the early time response of the system, the resulting subspace is rich in high-frequency eigenmodes. To allow for the lower frequency ones, which capture the standing wave structure of the field resulting from the interference of reflected waves from all walls (as well as any other material and geometry discontinuities in the case of a more general complex structure), to manifest themselves, layer-time responses must be used in the construction of the Krylov subspace. These facts are clearly evident from the plots in Fig. 2. The reduced model of order 150 exhibits very poor accuracy. On the other hand, the model of order 410 exhibits excellent accuracy. Also shown in Fig. 3 are the calculated eigenvalues of the LTLM-S model of order 410. From them, only seven eigenvalues are associated with the portion of the spectrum of the resonator in the 0–5.5-GHz frequency band. The LTLM-S process generates a reduced tri-diagonal matrix. To obtain the eigenvalues of this matrix, EVD can be utilized. When the output of interest is the set of the eigenstates of the system that dominate its behavior over a limited frequency band, the SIST process is most appropriate. To demonstrate, the SIST process was used for a subsequent reduction of the generated ROM of the waveguide resonator. Fig. 4 depicts the eigenvalue

LUKASHEVICH et al.: OBLIQUE–OBLIQUE PROJECTION IN TLM-MOR FOR HIGH-

STRUCTURES

3717

TABLE I COMPARISON OF METHODS USED FOR THE EIGENANALYSIS OF THE WAVEGUIDE RESONATOR

Fig. 5. Geometry of the rectangular microstrip patch antenna.

spectrum obtained after 30 iterations of the SIST via Lanczos algorithm. The spectrum is compared to the one obtained via EVD of the original reduced system. Even though the expansion (shift) point can be located inside or on the unit circle on the -plane, the best results are achieved if . We note that the poles lying outside the unit circle were discarded in order to guarantee the stability of generated ROMs. In addition, we directly applied SIST for TLM MOR using a simple iterative scheme and the bi-conjugate-gradient (BCG) algorithm in order to solve (21). A drawback of the simple iterative algorithms is that the expansion point must be chosen outside the unit circle due to convergence reasons, e.g., the iterative scheme (21) with requires 23 steps in each SIST cycle. Choosing the expansion point close to the unit circle causes high computational time. The BCG-based SIST does not yield any advantages in comparison to the LTLM-S because the number of BCG and LTLM-S steps are approximately the same. We note that all implemented SIST approaches utilized the scattering symmetric Lanczos algorithm. Table I offers a comparison of the computational cost of the analysis techniques used. The Lanczos procedures converge more slowly and exhibit higher error in comparison to Arnoldi. This is attributed to the loss in bi-orthogonality as the number of iterations grows. However, for this simple structure, the LTLM-S algorithm is 15% faster than the classical nonsymmetric Lanczos and 44 times faster than the Arnoldi process. Moreover, the -symmetry provides for memory savings compared to the general nonsymmetric approach, resulting from the fact that we do not need to store the two previous left vectors. The second structure considered is the rectangular patch antenna analyzed in [9]. The physical dimensions of the microstrip antenna are shown in Fig. 5. The grid sizes used for the discretization are mm, mm, and mm, resulting in 60, 16, and 100 cells along , , and , respectively. The dielectric losses and metal loss S/m were taken into consideration. The antenna was analyzed using both the standard TLM and the LTLM-S algorithm. Impedance matching adsorbing boundary conditions were used for the truncation of the computational

Fig. 6. Return loss of the microstrip patch antenna of Fig. 5.

domain. The comparison of the calculated input reflection coefficient with measured data obtained from [9] is depicted in Fig. 6. The number of required steps (iterations, in the case of the MOR process) for the computation of the responses in Fig. 6 were 8000 and 1500 steps, respectively, for the standard TLM and LTLM-S process. In both cases, MATLAB implementations of both algorithms were used for the computations. In this case, LTLM-S was approximately 50% faster than the standard TLM process. The order of the generated macromodel is 248, while the dimension of the initial state vector was . The third structure considered was a cutoff-coupled rectangular dielectric resonator waveguide bandpass filter, the geometry of which is depicted in Fig. 7. The filter presents a rectangular metallic waveguide filled with a dielectric material with at its ports and in its center. Between the three dielectric blocks are two air-filled sections. The lengths of the dielectric blocks mm are chosen for a resonance frequency at approximately 3.102 GHz for the TE mode, while the lengths of the air sections mm, in which the TE mode is supposed to be below cutoff and, thus, attenuate over the operating frequency bandwidth, affect the coupling between the dielectric sections. Due to the symmetry of the 25.4 12.7 mm waveguide only one-half (12.7 12.7 mm) of the dielectric resonator waveguide filter was used in the numerical model. Thus, since the TE wave is the operating mode of interest, a perfect magnetic conductor wall was placed at the plane of symmetry along

3718

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE II COMPARISON OF SIMULATION APPROACHES FOR THE DIELECTRIC FILTER

Fig. 7. Geometry of a cutoff-coupled rectangular dielectric resonator waveguide filter.

Fig. 9. Geometry of a direct-coupled four-resonator

S

Fig. 8. Magnitude of for the cutoff-coupled rectangular dielectric resonator waveguide filter (Fig. 7) computed directly from the TLM transient response, as well as via the proposed MOR techniques.

the -axis. The remaining sidewalls are all taken to be perfect electric conductors, while the front and back walls are terminated by impedance matching absorbing boundary conditions. Finally, the dielectrics were assumed to be lossless. The space steps are mm and mm. The filter was excited by the -field profile of the TE mode. The filter exhibits a high resonant behavior; therefore a large number of time steps is required. Even after 100 000 TLM time evolution scheme steps, the -parameter curves show small ripples (see Fig. 8). Application of the windowing to the time response eliminates the ripples; however, it yields some error. More specifically, application of the Hanning window to the 50 000-time step TLM response yields an of approximately 5 dB lower and slightly broader than the one obtained via the Fourier transform of the 100 000 time-step response. We remark that in order to calculate the -parameters, a reference 135-mm-long homogeneously filled waveguide was used, where the dielectric constant was that of the dielectric regions of the filter. The reference planes for the reflected and transmitted waves are placed at and . In the following, we will discuss the performance of the proposed MOR algorithms for approximating the transfer function from port 1

H -plane waveguide filter.

to port 2, calculated in terms of the -field component of the TE mode. For the application of MOR techniques for the calculation of -parameters, 600 Arnoldi (ATLM) and Lanczos (LTLM) iterations were performed. All algorithms demonstrated similar convergence behaviors. The norms of the observed right and left residual vectors after 600 iterations were of order of 10 . The comparison of the elapsed times for the various methods is presented in Table II, where the computational time of the LTLM-S algorithm is taken as the reference (set as 100%). As it turns out, from the generated approximation of the eigenspectrum in the reduced-order system, only six eigenvalues are needed for approximating the system response over the frequency band of interest of 3–3.5 GHz. Thus, the order of the two-port ROM that suffices for the description of the filter over this frequency band is 6, which amounts in the reduction of the original TLM model by a factor of 2250. From Table II, it is evident that the LTLM-S algorithm is the most efficient for the generation of the ROM. The application of the second projection is not necessary in this case due to the low dimension of the reduced TLM matrix resulting from the first projection. The next application concerns the electromagnetic analysis of yet another highly resonant structure, namely, the direct-coupled cavity waveguide filter shown in Fig. 9. Inductive irises in the -plane, spaced along the axis of the waveguide, form the fourth-order Chebyshev filter with center frequency at 11 GHz. All irises are 1-mm thick. The main rectangular waveguide is the WR75 guide ( mm, mm). The resonator lengths are mm and mm, while the gaps are of dimension mm, mm, and mm. The spatial discretization of the filter results in 53 10 110 nodes in the -, -, and -directions, respectively, with the space steps mm, mm, and mm. All metallic walls are taken to be perfect electric conductors. The waveguide filter is excited at one end by the -field profile of the TE mode. The -parameters

LUKASHEVICH et al.: OBLIQUE–OBLIQUE PROJECTION IN TLM-MOR FOR HIGH-

STRUCTURES

3719

frequency band of interest. Thus, the order of the generated ROM is 10 and the corresponding reduction factor of the TLM system is 104 940. Finally, in order to provide for an independent validation of our results, Ansoft’s High-Frequency Structure Simulator (HFSS) was used to obtain, via the fast frequency sweep (FFS) capability (see [10]), the response over the frequency band of interest. The central frequency for FFS was also taken to be 11 GHz. The total mesh in the finite-element model involves 13 012 tetrahedra. The calculated -parameters are compared in Fig. 10 with those obtained through our MOR processes. Excellent agreement is observed. VI. CONCLUSION

S

H

Fig. 10. Magnitudes of for -plane waveguide filter (Fig. 9) computed from the Fourier transform of the transient TLM response and via MOR techniques.

are calculated using a reference 11.47-cm-long WR-75 waveguide. As in the previous example, we are interested in the transmission and reflection properties coefficient of the filter, calculated in terms of the transmitted and reflected -field component of the TE mode at the two end cross sections of the waveguide. More specifically, the reference planes for the reflected and transmitted waves have been placed at and . In order to obtain -parameters of the filter through the Fourier transform of the transient TLM response, a 50 000 time-step response was required due to the strong resonant behavior of the filter. On the other hand, extraction of the response for the 10–12-GHz frequency band of interest by means of the LTLM-S process required only 2080 steps for convergence of the right and left residual vectors. Once again, compared to the nonsymmetric Lanczos process, the LTLM-S algorithm is found to be 35% more efficient computationally. Convergence was based on norms of order 10 for the residual vectors for approximating accurately the ten eigenvalues that appear to be most relevant to the accurate approximation of the filter response over the 10–12-GHz frequency band. The application of LTLM-S results in a ROM of order 2080. Calculation of the eigenvalues and associated eigenvectors requires an EVD post-processing, which is rather expensive for such a large matrix dimension. Thus, since only a small subset of the eigenvalues dominate the response over the frequency band of interest, a second projection makes sense. For this purpose, the SIST-via-Lanczos approach has been utilized for the second projection. It is evident from the plots in Fig. 10 that the calculated response is very accurate. With regards to computational cost, the treatment of the eigenvalue problem by means of the SIST approach was approximately 13 times faster than using the standard MATLAB EVD routine. Only nine Lanczos iterations in the SIST approach were necessary to extract the five eigenvalues (in the upper half of the unit circle) that were in the vicinity of the expansion point chosen on the unit circle in the complex plane and corresponding to the center frequency GHz in the

In summary, this paper has demonstrated the advantages of the proposed LTLM-S algorithm for the oblique projection of large-dimension discrete systems resulting from the numerical approximations of passive electromagnetic structures using TLM. The computational efficiency and accuracy of the LTLM-S process have been demonstrated through its application to the analysis of the loss-free high- structures and a microstrip patch antenna with losses. In addition to computational savings compared to the brute-force application of the TLM process, the ROM generated by the LTLM-S algorithm serves as the compact macromodel of the electromagnetic structure. More specifically, a direct eigendecomposition of the generated ROM provides for a rational function description of the transfer function in terms of a small number of poles associated with the most dominant eigenvalues over the desired frequency band. The ROMs were generated in the -domain using an implicit form of the TLM matrix. This latter outcome of the MOR process is of significant interest since it provides for the expedient utilization of the generated ROM in network analysis-oriented nonlinear circuit simulators for the purpose of subsystem and system-level modeling. Thus, it is important that the dimension of the compact model is as small as possible. Toward this objective, and taking advantage of the fact that the ROM contains a large number of eigenstates of minor influence on the device response over the desired frequency bandwidth, we have shown that the use of subsequent projection of the reduced system allows us to selectively extract the desired dominant eigenstates. More specifically, SIST approaches were utilized for this second projection. In addition to their success in constructing a compact ROM of optimal order, such double projection techniques further improve the computational efficiency of the MOR process, especially for those cases for which the dimension of the pre-reduced TLM matrix, obtained through the first projection, is rather large (in the order of a few thousand). This is typically the case for structures exhibiting strong resonant behavior such as bandpass filters and related frequency-selective structures. It has been showed that TLM MOR is more attractive for high- structures than for lossy structures with radiating boundary conditions. REFERENCES [1] A. C. Cangellaris and L. Zhao, “Model order reduction for electromagnetic macromodeling based on finite methods,” Int. J. Numer. Modeling, vol. 13, pp. 181–197, 2000.

3720

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

[2] D. Lukashevich, A. Cangellaris, and P. Russer, “Transmission line matrix method reduced order modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1125–1128. [3] T. Wittig, I. Munteanu, R. Schuhmann, and T. Weiland, “Two-step Lanczos algorithm for model order reduction,” IEEE Trans. Magn., vol. 38, no. 2, pp. 673–676, Mar. 2002. [4] D. Lukashevich and P. Russer, “Oblique–oblique projection in TLM-MOR for high- structures,” in Proc. 35th Eur. Microw. Conf., Paris, France, Oct. 2005, pp. 849–852. [5] C. Christopoulos, The Transmission-Line Modeling Method: TLM. Piscataway, NJ: IEEE Press, 1999. [6] P. Russer, “The transmission line matrix method,” in Applied Computational Electromagnetics. Cambridge, MA: NATO ASI Springer, 2000, pp. 243–269. [7] J. H. Wilkinson, The Algebraic Eigenvalue Problem. Oxford, U.K.: Clarendon, 1992. [8] R. W. Freund and H. Zha, “Simplification of the nonsymmetric Lanczos process and a new algorithm for Hemitian indefinite linear systems,” AT&T Bell Labs., Murray Hill, NJ, Numer. Anal. Manuscript, 1995. [9] D. M. Sheen, S. M. Ali, M. D. Abouzahra, and J. A. Kong, “Application of the three-dimensional finite-difference time-domain method to the analysis of planar microstrip circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 7, pp. 849–856, Jul. 1990. [10] J. Bracken, D. Sun, and Z. Cendes, “ -domain methods for simultaneous time and frequency characterization of electromagnetic devices,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1277–1290, Sep. 1998.

Q

S

Dzianis Lukashevich was born in Stolin, Belarus, in 1977. He received the Diploma of Radio Engineering degree from the Belarussian State University of Informatics and Radio Electronics (BSUIR), Minsk, Belarus, in 1999, and the Ph.D. degree in electrical engineering from the Munich University of Technology (TUM), Munich, Germany, in 2005. From 1999 to 2000, he was a Scientific Staff Member with the Department of Antennas and High-Frequency Devices, and a Teaching Assistant with the Department of Numerical Methods and Programming, BSUIR. From 2000 to 2005, he was a Research Assistant with the Institute for High-Frequency Engineering, TUM. In 2005, he joined the Automotive, Industrial and Multimarket Department, Infineon Technologies AG, Munich, Germany. He is currently involved in the area of device design, modeling, and characterization in SiGe technology with emphasis on microwave applications. His research interest is in the field of computational electromagnetics, high-frequency device modeling, antennas, and design of planar and monolithic microwave integrated circuits.

Andreas C. Cangellaris (M’86–SM’96–F’00) received the Diploma degree in electrical engineering from the Aristotle University of Thessaloniki, Thessaloniki, Greece, in 1981, and the M.S. and Ph.D. degrees in electrical and computer engineering from the University of California at Berkeley, in 1983 and 1985, respectively. He is currently a Professor of electrical and computer engineering with the University of Illinois at Urbana-Champaign (UIUC). Prior to joining UIUC, he was a member of the faculty of the Electrical and Computer Engineering Department, University of Arizona, initially as an Assistant Professor (1987–1992) and then as an Associate Professor (1992–1997). Prior to that, he was a Senior Research Engineer with the Electronics Department, General Motors Research Laboratories, Warren, MI (1985–1987). He has

coauthored over 200 refereed papers and conference proceedings, as well as three book chapters on topics related to computational electromagnetics and the electrical performance analysis and optimization of the signal and power distribution network in high-speed/high-frequency integrated electronic systems. His research has been in the areas of applied and computational electromagnetics with an emphasis on applications to electrical modeling and simulation of RF/microwave components and systems, high-speed digital interconnects at the board, package, and chip level, and the modeling and simulation of electromagnetic compatibility and electromagnetic interference in integrated electronic subsystems and systems. Prof. Cangellaris is the cofounder of the IEEE Topical Meeting on Electrical Performance of Electronic Packaging, He is an active member of several IEEE societies. He has served as an associate editor for the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION, and is currently an associate editor of the IEEE TRANSACTIONS ON ADVANCED PACKAGING and the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He is an associate editor of the IEEE Press Electromagnetic Fields and Waves Series. He was the recipient of the 2000 Electrical and Computer Engineering Department Faculty Outstanding Teaching Award for his teaching of undergraduate courses on electromagnetic fields and waves. He was also the recipient of the 2005 Alexander von Humboldt Research Award.

Peter Russer (SM’81–F’94) received the Dipl.-Ing. and Dr. techn. degrees in electrical engineering from the Technische Universität Wien, Vienna, Austria, in 1967 and 1971, respectively. From 1968 to 1971, he was an Assistant Professor with the Technische Universität Wien. In 1971, he joined the Research Institute of AEG-Telefunken, Ulm, Germany, where he was involved with fiber-optic communication, broad-band solid-state electronic circuits, statistical noise analysis of microwave circuits, laser modulation, and fiber-optic gyroscopes. Since 1981, he has been a Full Professor and Head of the Institute for High-Frequency Engineering, Technische Universität München (TUM), Munich, Germany. From October 1997 to September 1999, he was the Dean of the Department of Electrical Engineering and Information Technology, TUM. In 1990, he was a Visiting Professor with the University of Ottawa. In 1993, he was a Visiting Professor with the University of Victoria. From October 1992 to March 1995, he was Director of the Ferdinand-Braun-Institut für Höchstfrequenztechnik, Berlin, Germany. He has authored or coauthored over 590 scientific papers in refereed journals and conference proceedings. He has developed a variety of courses in RF techniques, microwaves, quantum electronics and optical communications. He is the Program Director of the international graduate program “Master of Science in Microwave Engineering” at the TUM. Over the years, he has graduated over 500 students of which over 50 have received the Ph.D. degree. Thus far, eight of his former students have become professors. He has served a member of the Editorial Board of several international journals including Electromagnetics and the International Journal of Numerical Modeling. His current research interests are electromagnetic fields, numerical electromagnetics, metamaterials, integrated microwave and millimeter-wave circuits, statistical noise analysis of microwave circuits, time-domain measurement methods in electromagnetic compatibility (EMC), and methods for computer-aided design of microwave circuits. Dr. Russer has served as a member of the Technical Programme Committee and Steering Committee of various international conferences (IEEE Microwave Theory and Techniques Society (IEEE MTT-S), European Microwave Conference. From 1999 to 2002 he was co-chair and from 2002 to 2005 he was chair of URSI Commission D. From 1997 to 2004, he was a member of the Board of Directors of the European Microwave Association. In 1999, he was the general chairman of European Microwave Week, Munich, Germany. He is a member of the German Informationstechnische Gesellschaft (ITG) and the German as well as Austrian Physical Societies. He was the corecipient of the 1979 Nachrichtentechnische Gesellschaft (NTG) Award. He was also the recipient of the 2006 Distinguished Educator Award of the IEEE MTT-S.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3721

A Space-Mapping Framework for Engineering Optimization—Theory and Implementation Slawomir Koziel, Member, IEEE, John W. Bandler, Fellow, IEEE, and Kaj Madsen

Abstract—This paper presents a comprehensive approach to engineering design optimization exploiting space mapping (SM). The algorithms employ input SM and a new generalization of implicit SM to minimize the misalignment between the coarse and fine models of the optimized object over a region of interest. Output SM ensures the matching of responses and first-order derivatives between the mapped coarse model and the fine model at the current iteration point in the optimization process. We provide theoretical results that show the importance of the explicit use of sensitivity information to the convergence properties of our family of algorithms. Our algorithm is demonstrated on the optimization of a microstrip bandpass filter, a bandpass filter with double-coupled resonators, and a seven-section impedance transformer. We describe the novel user-oriented software package SMF that implements the new family of SM optimization algorithms. Index Terms—Computer-aided design (CAD), design automation, engineering optimization, optimization, space mapping (SM).

I. INTRODUCTION PACE-MAPPING (SM) technology is a recognized engineering optimization paradigm consisting of a number of efficient optimization approaches [1]–[5]. The iterative optimization and updating of a so-called “coarse” or surrogate model (cheap to evaluate) replaces the direct optimization of an accurate, but computationally expensive high fidelity or “fine” model of interest. If the misalignment between the fine and coarse models is not significant, SM-based optimization algorithms typically provide excellent results after only a few evaluations of the fine model. In the microwave arena [1], fine (validation) models are often based on time-consuming full-wave electromagnetic (EM) solvers, while coarse models may be physically based equivalent-circuit models. The advantages of SM techniques have been widely demonstrated in a growing number of engineering disciplines (see, e.g., [6]–[8]). Bandler et al. [5] recently reviewed these advances.

S

Manuscript received February 22, 2006; revised July 11, 2006. This work was supported in part by the Natural Sciences and Engineering Research Council of Canada under Grant OGP0007239 and Grant STGP269760 and by Bandler Corporation. S. Koziel is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1 (e-mail: [email protected]). J. W. Bandler is with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada L8S 4K1, and also with Bandler Corporation, Dundas, ON, Canada L9H 5E7 (e-mail: [email protected]). K. Madsen is with Informatics and Mathematical Modelling, Technical University of Denmark, DK-2800 Lyngby, Denmark (e-mail: [email protected]). Color versions of Figs. 5, 8, and 10–13 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.882894

Recent efforts have focused on efficient optimization algorithms involving implicit space mapping (ISM) [3], [9] and output space mapping (OSM) [4]. Space mapping interpolating surrogates (SMISs) [10] utilize surrogate models based on SM and OSM and has proven successful for difficult optimization problems. Its performance is obtained, however, at the expense of high complexity of the underlying surrogate model. Simpler, yet powerful algorithms, namely, generalized space mapping (GSM) and its frequency-dependent version frequency-dependent generalized space mapping (FDGSM) are presented in [11]. Recent relevant work covers other important aspects of SM such as the development of new SM-based models [12], [13], the theoretical justification of SM, and a convergence theory for SM optimization algorithms [14], as well as neuro-SM [15]–[19], and microwave applications of SM (e.g., [20] and [21]). This paper describes a family of algorithms that exploit surrogate models based on the OSM concept that forces exact matching of responses and Jacobians between the surrogate and fine model. We present theoretical results that show the influence of Jacobian matching on the convergence of the optimization algorithm. We introduce design-variable-dependent ISM to increase the flexibility of the surrogate model in a consistent way. Finally, we describe the SMF system, a novel prototype useroriented software package that implements our SM optimization algorithms.1 Our system is supposed to make SM accessible to engineers inexperienced in SM. It provides sockets to popular simulators (e.g., Sonnet’s em, Agilent’s ADS, and FEKO) that allow automatic fine/coarse model data acquisition and, consequently, fully automatic SM optimization. II. SM-BASED SURROGATE OPTIMIZATION The optimization problem can be stated as follows. Let , denote the response vector of the fine model of a given object. In the microwave area, components of may be the model evaluations (e.g., scattering parameter ) at different frequency points. Our goal is to solve (1) where is a given objective function. We assume that the fine model is computationally expensive and solving (1) by means of direct optimization is impractical. Instead, we exploit inexpensive surrogates, i.e., models that are not as accurate as the fine model, but are computationally cheap, which allows us to use them in the optimization process. We consider an optimization algorithm that generates a sequence of points , 1SMF, Bandler Corporation, Dundas,

0018-9480/$20.00 © 2006 IEEE

ON, Canada, 2006.

3722

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

,

where

, and a family of surrogate models so that (2)

(8) and is constructed using suitable matching conditions with the fine model at previous points , . SM assumes the existence of a coarse model , that describes the same object as the fine model. is less accurate, but much faster to evaluate than . In the context of SM, a family of surrogate models is constructed from the coarse model in such a way that each is a suitable distortion of such that given matching conditions are satisfied. In Section III, we describe a family of SM-based models that fit this scheme.

(9)

(10)

III. GENERALIZED IMPLICIT SPACE-MAPPING (GISM) FRAMEWORK Our proposed GISM framework is a generalization of the GSM concept introduced in [11]. It is enhanced by design-variable-dependent ISM. Let us recall that ISM [3] assumes that the coarse model depends on additional (preassigned) parameters, i.e., we have , where is the domain of such preassigned parameters. An ISM optimization algorithm aims at predistortion of the coarse model by adjustment of its preassigned parameters so that, at the current point , the fine and coarse model response vectors coincide. The predistorted model becomes a surrogate, which, in turn, is optimized in order to obtain the next point . Thus, the surrogate model defined by ISM is

Matrices , , , and and vector are obtained using parameter extraction applied to the matching condition . Maand are calculated using (9) trices , , , , and . and (10) after having determined and denote the Jacobian of the coarse model with respect to and , respectively. If derivative information is not can be estimated, for example, using the available, matrix Broyden update. A general form of the matching condition is

(3) where is determined by solving a parameter-extraction problem of the form (4) One of the ways of increasing the number of degrees of freedom of the surrogate model (3) is to make preassigned parameters dependent on the design variables. In particular, we can define (5) where and are determined by solving the parameter-extraction problem

(6) Now, we can integrate the above concept into GSM [11] and define the GISM framework as the family of surrogate models defined as

(7)

(11) and are either 0 or 1 (alWe assume that the coefficients though more general situations are conceivable). For example, setting , and , means that the surrogate tries to match the fine model response at all previous points (including the current point), but Jacobian matching is not exploited. We will use this setting in our numerical experiments of Section V. Input SM determined by matrices and , the multi, as well as ISM parameters and , plication matrix can be considered as preconditioning of the coarse model that reduces the initial misalignment between the coarse and fine models over a neighborhood of the current point . Term ensures perfect matching of responses at , while term gives perfect matching of first-order derivatives at .

KOZIEL et al.: SM FRAMEWORK FOR ENGINEERING OPTIMIZATION

3723

Apart from model (7)–(11), there is an optional frequency scaling that works in such a way that the coarse model is evaluated at a different frequency than the fine model using the transformation , where is obtained together with other parameters using a parameter-extraction process similar to (11). Note that the flexibility of the surrogate model, i.e., the number of model parameters, may affect the uniqueness of the parameter-extraction problem, as well as the extrapolation properties of the model. If the model is too flexible, it may provide good matching at the points , but provide poor matching elsewhere and, consequently, slow down (or even prevent) convergence of the SM algorithm. The issue of proper choice of flexibility of the surrogate model is an important problem and needs to be carefully studied. Flexibility of the model can be adjusted by constraining some of the SM parameters to their initial values (identity for and , zero for , , , and for , or initial values of the preassigned parameters for ). In general, a suitable choice of SM requires both knowledge of the problem and engineering experience. In practice, a proper SM combination can be chosen by performing parameter extraction at a small number of test points (preferably at least two points, but it is possible to get some information using even one point, namely, the starting point, at which one needs to evaluate the fine model anyway) for different combinations of SM. We recommend using the simplest combination (i.e., the one containing the least number of parameters) for which it is possible to achieve a reasonably good match between the fine model and the SM surrogate. If one can get a good match for single-point parameter extraction at different points using a simple mapping such as or , but the matching deteriorates while extracting parameters for several points at the same time (multipoint parameter extraction), SM should be enhanced by or , respectively. In general, ISM is preferred over input SM whenever the user is able to wisely choose preassigned parameters. Frequency mapping ( term) is recommended if the response of the coarse model seems shifted in frequency with respect to the response of the fine model. Multiplicative OSM ( term) should be used with care because it introduces a large number of extractable parameters. Additive OSM ( and terms) can be safely used all the time. Having defined the family of surrogate models, we can define an optimization algorithm [which is, in fact, an implementation of the generic surrogate-model-based optimization algorithm (2)] Step 1 Set Step 2 Evaluate Step 3 Obtain Step 4 Find

; Set and using (7)–(11);

;

IV. SENSITIVITY INFORMATION VERSUS CONVERGENCE RATE OF THE SM OPTIMIZATION ALGORITHM The GISM framework explicitly uses sensitivity information, i.e., the correction term , which allows the satisfaction of a first-order consistency condition, i.e., the alignment of first-order derivatives of the fine and surrogate models at the current iteration. As we show below, this term is significant to the convergence rate of the algorithm. For the purpose of theoretical considerations, we shall consider a simplified version of GISM that only uses OSM in the form of the and terms, and no input mapping. In particular, we first consider the generic algorithm (2) that uses surrogate models with only the term. Let us define function as (12) We build surrogates that use zeroth-order models of

, i.e., (13)

where . Next, we will consider the algorithm that utilizes surrogate models based on both the and terms. In particular, we construct surrogates that use first-order models of , i.e., (14) , and with where being the Jacobian of at . We are going to formulate convergence results for algorithm (2) with surrogate models (13) and for algorithm (2) with surrogate models (14) in order to see the impact of the term on the convergence properties of the SM algorithm. Let us assume, for simplicity, that (this implies, of course, that for ). We also assume that is a closed subset of . We denote by the range of , i.e., . Definition 1: Let denote a set of solutions to the problem . the set of solutions to Definition 2: We shall denote by (1) and call it the set of fine model minimizers. Theorem 1: Suppose that is a closed subset of and (i) is not empty for any , and the following condition is satisfied: (15)

; ;

Step 5 If termination condition go to Step 7; Step 6 Set ; go to Step 2; Step 7 END In numerical experiments, we use a termination condition of the form , where is a small constant.

for any ), (ii) the function

, where

(here,

is Lipschitz continuous, i.e., (16)

(iii)

for any and

, where are such that

, .

3724

For any , the sequence is then convergent, i.e., there is an for . Moreover, for any

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

defined by (2) and (13) such that , we have the estimate (17)

A proof of Theorem 1 is given in the Appendix. Let be defined as . We have the following corollary. Corollary 1: Suppose that for any such that Theorem 1 are satisfied. Then

there is an and the assumptions of , where

is the limit of the sequence defined by algorithm (2), (13). Proof: The convergence of the sequence follows from Theorem 1. The assumption of the corollary implies that for any . In the limit , we have so and . Let us now formulate the convergence result for algorithm (2) with surrogate models (14). Again, we assume that , is a closed subset of . We also admit the following notation: (the range of ) and (the range of ). Theorem 2: Suppose that is a closed subset of . Let be a sequence defined by algorithm (2) and (14) with arbitrary and (i) assumption (i) of Theorem 1 holds, (ii) function is differentiable on and , the Jacobian of , is Lipschitz continuous on , i.e., (18) for any , where is a bounded function on , (iii) there is an such that on , (iv) for , we have , where is a given constant, (v) there is an such that the following estimate holds: (19) , where is a given constant. for all The sequence is then convergent, i.e., there is an such that for . A proof of Theorem 2 is given in the Appendix. Corollary 2: Suppose that for each there is an such that , that the assumptions of Theorem 2 are satisfied, and that and

are continuous. Then

, where

is the

limit of the sequence defined by algorithm (2) and (14). We omit the proof. Remark 1: Theorems 1 and 2 can be formulated in such a way that constants and in assumption (i) of Theorem 1 are local with respect to and , respectively. This would relax the assumptions, but at the same time, make the notation more complicated. Theorems 1 and 2 show that there are basically two fundamental (and natural) requirements for convergence of algorithms using and terms: (i) regularity of the perturbed coarse model optimal solution with respect to the perturbation vector and (ii) similarity between the fine and coarse models in terms of the difference between their first-order derivatives. Theorems 1 and 2 indicate that the convergence rate of algorithm (2) and (14) is much better than the convergence of algorithm (2) and (13). In particular, we have [cf. (A2)] for the algorithm using only the term, and [cf. (A8)] for the algorithm using the and terms (in fact, is not a constant, but it does not depend significantly on ). Thus, the explicit use of sensitivity information in the SM optimization algorithm has a significant impact on the convergence rate. Theorems 1 and 2 provide convergence results for the SM algorithm using the and terms working without convergence safeguards (in other words, it may happen that the algorithms are not convergent if the coarse model is not good enough in terms of satisfying the assumptions of the theorems). It should be noted that, in practice, SM algorithms are often used together with trust region methods [23] so that the optimization of the current surrogate model is constrained to a neighborhood of the previous iteration point , and the size of this neighborhood is adjusted based on the actual improvement of the fine model solution. It can be shown using classical methods (see, e.g., [24]) that our SM algorithm working with the and terms and trust region methods guarantees convergence to the local fine model optimum (under classical conditions such as smoothness of the fine/coarse models and convexity of the objective function) [23]. This is probably the most important strength of explicit use of Jacobian information in SM optimization algorithms. In the numerical experiments of Section V, we use the SM optimization algorithm with trust region methods. Let us consider a third-order elliptic OTA-C low-pass filter example [22] shown in Fig. 1. A coarse model of the filter is the transfer function formula assuming ideal transconductors and no parasitic elements, shown in (20), at bottom of the page. A fine model is the transfer function formula that takes into consideration parasitic conductances and capacitors (21)

(20)

KOZIEL et al.: SM FRAMEWORK FOR ENGINEERING OPTIMIZATION

3725

Fig. 3. Geometry of the microstrip bandpass filter [25]. Fig. 1. Diagram of the second-order OTA-C low-pass filter [22].

Fig. 4. Coarse model of microstrip bandpass filter (Agilent’s ADS).

Fig. 2. Convergence of the SM algorithms for the elliptic OTA-C design problem: algorithm (2) and (13) without ( ) and with (+) the coarse model improvement by input SM (B and c terms), and algorithm (2) and (14) without ( ) and with ( ) the input SM improvement.



2

where

The results clearly show that using the term plays a crucial role in improving the convergence rate of the optimization process. Using the input SM also speeds up convergence of the algorithm, however, the impact of the term is significantly larger, as shown in Fig. 2. This complies with the theory presented here. V. EXAMPLES A. Bandpass Filter

(22) , . We use normalized elements with and fixed , , and . Optimization variables are . The optimization problem is to find such that the following specifications are satisfied: for for for

GHz GHz GHz

GHz GHz (23)

We have applied algorithms (2) and (13) and (2) and (14) to find the fine model solution assuming (the coarse model optimal solution). We consider: (i) that the surrogate is constructed directly using , as in (13) or (14) and (ii) that the coarse model is improved using the input SM, i.e., the GISM framework with the , and terms, and with the , , , and terms, respectively. Fig. 2 shows the convergence properties of our algorithms (w.r.t. the norm of the difference between current iteration point and ). The optimal fine model solution is .

The GISM framework was applied to the optimization of the microstrip bandpass filter [25] shown in Fig. 3. The design parameters are . The fine model is simulated in FEKO [26], and the coarse model is the circuit model implemented in Agilent’s ADS [27] (Fig. 4). For this problem, we use ISM with preassigned parameters being electrical permittivities (initial value: 9) and heights (initial value: 0.66 mm) of microstrip elements MLIN and MLOC, shown in Fig. 4, frequency SM ( term). as well as OSM ( and terms). The Jacobian of the fine/coarse model was estimated using the forward finite-difference method. The design specifications are dB dB dB

for for for

GHz GHz GHz

GHz GHz GHz

The initial design is the coarse model optimal solution mm. The fine model response at , as well as the response at the solution obtained using GISM after four iterations mm are shown in Fig. 5. The response at satisfies the design specifications (specification error 1.4 dB). The optimization time on an Intel P4 3.4-GHz machine was 2 h and 32 min. For the sake of comparison, we also performed a direct optimization for this

3726

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 5. Initial (dashed line) and optimized (solid line) jS for the microstrip bandpass filter.

j

versus frequency

Fig. 7. Coarse model of bandpass filter with double-coupled resonators (Agilent’s ADS).

Fig. 8. Initial (dashed line) and optimized (solid line) jS for the bandpass filter with double-coupled resonators.

j

versus frequency

Fig. 6. Geometry of the bandpass filter with double-coupled resonators [25].

problem, which ended up in a local minimum that does not satisfy the design specifications (specification error 1.4 dB). Direct optimization took 32 h and 36 min using the same machine. B. Bandpass Filter With Double-Coupled Resonators Out next example is the microstrip bandpass filter with double-coupled resonators [25] shown in Fig. 6. The design parameters are . The fine model is simulated in FEKO [26], the coarse model is the circuit model implemented in Agilent’s ADS [27] (Fig. 7). For this problem, we use input SM ( term), ISM with preassigned parameters being electrical permittivities (initial value: 9) and heights (initial value: 0.66 mm) of microstrip elements MLIN, MCORN, and MTEE, shown in Fig. 7 (grouped into five groups in order to reduce the number of parameters), design-variable-dependent ISM ( term), frequency SM ( term), as well as OSM ( and terms). The Jacobian of the fine/coarse model was estimated using the forward finite-difference method. The design specifications are dB dB dB

for for for

GHz GHz GHz

GHz GHz GHz

The initial design is mm. The fine model response at , as well as the response at the solution obtained using GISM after three iterations mm are shown in Fig. 8. The response at satisfies the design specifications (specification error 0.7 dB). The optimization time on an Intel P4 3.4-GHz machine was 2 h and 56 min. Direct optimization for this problem took 18 h and 20 min on the same machine. The specification error for the solution obtained by direct optimization is 0.8 dB. C. Seven-Section Impedance Transformer We also apply the proposed GISM framework to the sevensection capacitively loaded impedance transformer [28]. We consider a “coarse” model as an ideal seven-section transmission line (TL), while the “fine” model is a capacitively loaded TL with capacitors pF. The characteristic impedances in the fine model are kept fixed at the values . The models are shown in Fig. 9. Both models are implemented in MATLAB [29]. Our design parameters are taken as the normalized lengths with respect to the quarter-wave length at the center frequency of 4.35 GHz. Design specifications are for GHz GHz with 68 points

KOZIEL et al.: SM FRAMEWORK FOR ENGINEERING OPTIMIZATION

3727

Fig. 9. Seven-section capacitively loaded impedance transformer [28]: “fine” model (upper graph) and “coarse” model (lower graph). Fig. 10. Fine (solid line) and surrogate (circles) model responses at the surrogate model optimal solutions for model R . TABLE I COMPARISON OF THE OPTIMAL SOLUTIONS OF THE THREE SURROGATE MODELS

per frequency sweep. The initial design is the coarse model op. In order to use ISM, we timal solution introduce preassigned parameters to the coarse model, which are the characteristic impedances . We use the following optimization technique. First, we create an SM surrogate model based on a number of a priori fine model data, and then we optimize the surrogate. The whole optimization process takes a single parameter extraction and only a single surrogate model optimization, however, at the expense of the initial effort of acquiring necessary fine model information. In the first stage, we set up our surrogate model as in (7)–(11) using the set of evaluation points (also called the base set) denoted as , (i.e., points, where ). We have (coarse model optimal solution) and , , where is a unit vector with 1 at the th position; is the size of the region along the th axis. This distribution of points is called the star distribution [30]. For the sake of comparison, we set up three models, which are special cases of our GISM-based model (7)–(11). The first one, i.e., , uses only matrices , , and ; the second one, , uses matrices , , and preassigned parameters i.e., ; and the third model, i.e., , uses , , , , and (we call this model the full GISM). The preassigned parameters . We do not are kept fixed at their initial values in model terms in models , because use the and they are suitable for local modeling, which is not the case in this example. Having the models, we performed their optimization and compared the results with the optimal solution of the fine model (obtained by direct optimization). Table I shows the results. We use the following notation: , the ; , optimal solution of the surrogate model the response of the fine model at the optimal solution of the th surrogate; , the response of the fine model at

its final solution; and , the value of the minimax error of response . The results in Table I show that increasing flexibility by means of preassigned parameters and our design-variabledependent ISM allows us to obtain a better quality of the surrogate model (i.e., a better match between the fine and surrogate models), as well as better accuracy of the optimization outcome. In particular, the relative difference between the minimax error of the fine model at its optimal solution and the minimax error of the fine model at the surrogate model optimal solution is more than 13% for the model using only the , , and terms, and 1.6% for the model using the full GISM. Similarly, the distance between the fine model optimal solution and the surrogate model optimal solution is more than eight times smaller for the model using the full GISM than for the model using only the , , and terms. Fig. 10 shows the fine (solid line) and surrogate (circles) model responses at the surrogate model optimal solutions for model . VI. AUTOMATIC SM OPTIMIZATION: SMF SYSTEM In order to make SM accessible to engineers not experienced in this technology, a prototype comprehensive user-oriented software package is under development. SMF is a graphical-user-interface-based MATLAB system that can perform SM-based constrained optimization, modeling, and statistical analysis. It implements existing SM approaches, including input SM, output SM, ISM, and frequency SM (in particular, the GISM framework). It contains drivers for simulators (Sonnet’s em, MEFiSTo, Agilent’s ADS, FEKO) that allow the linking of commercial fine/coarse models to the algorithm and make the optimization process fully automatic. We only focus on one aspect of SMF: a module for automatic SM optimization. Fig. 11 shows a block diagram of the SM optimization module in SMF. Optimization is performed in several steps. First, the user enters problem arguments: starting point, frequency sweep, optimization type and design specifications. Next, the user sets up SM itself, i.e., the kind of SM to be used (e.g., input, output, implicit), specifies termination conditions, parameter-extraction options, and optional constraints. The next step is to link the fine and coarse models to SMF by setting up the data that will be used to create the model drivers. Using user-provided data (e.g., simulator input files and design variable identification data), SMF creates drivers that are later

3728

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 11. Flowchart of the optimization module in the SMF system .

model in SMF. Note that all the model responses are stored in the database and retrieved if necessary. Parameter extraction, surrogate model optimization, and optional trust-region specific options are set in the next step using auxiliary interfaces. Having done the setup, the user runs the execution interface, which allows execution of the SM optimization algorithm and visualization of the results, including model responses, specification error plots, as well as convergence plots. All the test problems presented in Section V have been solved using SMF. In order to do that, we only needed to prepare projects corresponding to fine and coarse models in FEKO and ADS, respectively (or in MATLAB in the case of the seven-section transformer example). The models are then linked to SMF by simply pointing into corresponding input files, as well as providing data concerning the design variable labels. The SM options are set up as described above. In particular, the selection of SM type is performed by checking check boxes corresponding to the terms. All the other setup is done using separate graphical user interfaces. VII. CONCLUSION

Fig. 12. Setup of model driver in SMF.

We have presented a novel GISM optimization framework. Our algorithms have exploited “traditional” input-SM-based preconditioning of the coarse model, design-variable-dependent ISM, and output-SM external terms to ensure perfect matching of the responses and first-order derivatives between the surrogate and the fine model. GISM performance is illustrated through a microstrip bandpass filter, a bandpass filter with double-coupled resonators, and a seven-section capacitively loaded impedance transformer. We have also provided theoretical results that have demonstrated the importance to the convergence properties of the algorithm of the explicit use of sensitivity information. Our SMF system, a novel prototype user-oriented software package that implements our SM optimization algorithms, has been described. It provides sockets to popular commercial simulators (e.g., Sonnet’s em, Agilent’s ADS, FEKO) that allow automatic fine/coarse model data acquisition and, consequently, fully automatic SM optimization and modeling. APPENDIX Proof of Theorem 1: Take any according to (2) and (13), i.e., for

. Define

. From Definition 1, we have that (A1)

Fig. 13. Evaluation of simulator-based models in SMF.

used to evaluate fine/coarse models for any necessary design variable values. Model evaluation is accomplished by generating the simulator input file corresponding to the required design, running the simulator. and acquiring the results. Fig. 12 shows the flowchart for preparing the model driver in SMF. Fig. 13 shows the flowchart for evaluating the simulator-based

We would like to obtain an estimate for Bearing in mind that and , and using assumptions (i)–(iii), we get

.

(A2)

KOZIEL et al.: SM FRAMEWORK FOR ENGINEERING OPTIMIZATION

where

. Now, for any

3729

Thus, is an theorem.

, we have

is a Cauchy sequence, and since is closed, there , . This ends the proof of the

ACKNOWLEDGMENT

(A3) which is arbitrarily small for sufficiently large , i.e., is a Cauchy sequence. Thus, there is an , . Estimate (17) is obvious. This ends the proof of the theorem. Proof of Theorem 2: Let us take any . Define as in (2) and (14), i.e., for From Definition 1, we have that . We would like to obtain an estimate for . From assumption (i), we get

(A4) It follows from assumption (ii) and [23, Th. 3.1.6] that

(A5) From (A4) and (A5), we have

(A6) which gives

(A7) Let be the smallest index for which assumption (v) is satisfied. For any , we then have (A8) where (A9)

The authors thank Agilent Technologies, Santa Rosa, CA, for making ADS available. The authors also acknowledge discussions with N. K. Nikolova, McMaster University, Hamilton, ON, Canada, and thank J. Zhu, McMaster University, for suggesting and setting up the microstrip filter examples. REFERENCES [1] J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny, and R. H. Hemmers, “Space mapping technique for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 2, no. 12, pp. 2536–2544, Dec. 1994. [2] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2874–2882, Dec. 1995. [3] J. W. Bandler, Q. S. Cheng, N. K. Nikolova, and M. A. Ismail, “Implicit space mapping optimization exploiting preassigned parameters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 378–385, Jan. 2004. [4] J. W. Bandler, Q. S. Cheng, D. H. Gebre-Mariam, K. Madsen, F. Pedersen, and J. Søndergaard, “EM-based surrogate modeling and design exploiting implicit, frequency and output space mappings,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1003–1006. [5] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [6] S. J. Leary, A. Bhaskar, and A. J. Keane, “A constraint mapping approach to the structural optimization of an expensive model using surrogates,” Optim. Eng., vol. 2, no. 4, pp. 385–398, Dec. 2001. [7] M. Redhe and L. Nilsson, “Using space mapping and surrogate models to optimize vehicle crashworthiness design,” presented at the 9th AIAA/ISSMO Multidisciplinary Anal. Optim. Symp., Atlanta, GA, Sep. 2002, Paper AIAA-2002-5536. [8] H.-S. Choi, D. H. Kim, I. H. Park, and S. Y. Hahn, “A new design technique of magnetic systems using space mapping algorithm,” IEEE Trans. Magn., vol. 37, no. 5, pp. 3627–3630, Sep. 2001. [9] J. W. Bandler, M. A. Ismail, and J. E. Rayas-Sanchez, “Expanded space-mapping EM-based design framework exploiting preassigned parameters,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 49, no. 12, pp. 1833–1838, Dec. 2002. [10] J. W. Bandler, D. M. Hailu, K. Madsen, and F. Pedersen, “A space mapping interpolating surrogate algorithm for highly optimized EM-based design of microwave devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2593–2600, Nov. 2004. [11] S. Koziel, J. W. Bandler, and K. Madsen, “Space mapping optimization algorithms for engineering design,” in IEEE MTT-S Int. Microw. Symp. Dig., San Francisco, CA, Jun. 2006, [CD ROM]. [12] S. Koziel, J. W. Bandler, A. S. Mohamed, and K. Madsen, “Enhanced surrogate models for statistical design exploiting space mapping technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1609–1612. [13] J. W. Bandler, Q. S. Cheng, and S. Koziel, “Implementable space mapping approach to enhancement of microwave device models,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, Jun. 2005, pp. 1139–1142. [14] S. Koziel, J. W. Bandler, and K. Madsen, “Towards a rigorous formulation of the space mapping technique for engineering design,” in Proc. Int. Circuits Syst. Symp., Kobe, Japan, May 2005, pp. 5605–5608. [15] M. H. Bakr, J. W. Bandler, M. A. Ismail, J. E. Rayas-Sanchez, and Q.-J. Zhang, “Neural space-mapping optimization for EM-based design,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2307–2315, Dec. 2000.

3730

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

[16] V. K. Devabhaktuni, B. Chattaraj, M. C. E. Yagoub, and Q.-J. Zhang, “Advanced microwave modeling framework exploiting automatic model generation, knowledge neural networks, and space mapping,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 7, pp. 1822–1833, Jul. 2003. [17] J. E. Rayas-Sanchez, “EM-based optimization of microwave circuits using artificial neural networks: The state-of-the-art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 420–435, Jan. 2004. [18] J. E. Rayas-Sanchez, F. Lara-Rojo, and E. Martinez-Guerrero, “A linear inverse space-mapping (LISM) algorithm to design linear and nonlinear RF and microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 960–968, Mar. 2005. [19] L. Zhang, J. Xu, M. C. E. Yagoub, R. Ding, and Q.-J. Zhang, “Efficient analytical formulation and sensitivity analysis of neuro-space mapping for nonlinear microwave device modeling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2752–2767, Sep. 2005. [20] M. A. Ismail, D. Smith, A. Panariello, Y. Wang, and M. Yu, “EMbased design of large-scale dielectric-resonator filters and multiplexers by space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 386–392, Jan. 2004. [21] K.-L. Wu, Y.-J. Zhao, J. Wang, and M. K. K. Cheng, “An effective dynamic coarse model for optimization design of LTCC RF circuits with aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 393–402, Jan. 2004. [22] S. Koziel, S. Szczepanski, and R. Schaumann, “Structure generation and performance comparison of elliptic G C filters,” Int. J. Circuit Theory Applicat., vol. 32, no. 6, pp. 565–589, Nov. 2004. [23] A. R. Conn, N. I. M. Gould, and P. L. Toint, Trust Region Methods, ser. MPS-SIAM Optim.. Philadelphia, PA: MPS-SIAM, 2000. [24] N. M. Alexandrov, J. E. Dennis, R. M. Lewis, and V. Torczon, “A trust region framework for managing use of approximation models in optimization,” Struct. Multidisciplinary Optim., vol. 15, no. 1, pp. 16–23, 1998. [25] A. Hennings, E. Semouchkina, A. Baker, and G. Semouchkin, “Design optimization and implementation of bandpass filters with normally fed microstrip resonators loaded by high-permittivity dielectric,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1253–1261, Mar. 2006. [26] “FEKO User’s Manual” EM Software & Systems-S.A. (Pty) Ltd., Stellenbosch, South Africa, Jun. 2004 [Online]. Available: http://www.feko.info [27] Agilent ADS. ver. 2003C, Agilent Technol., Santa Rosa, CA, 2003. [28] M. H. Bakr, J. W. Bandler, K. Madsen, and J. Søndergaard, “An introduction to the space mapping technique,” Optim. Eng., vol. 2, no. 4, pp. 369–384, Dec. 2001. [29] MATLAB. ver. 7.0, The MathWorks Inc., Natick, MA, 2005. [30] J. W. Bandler, N. Georgieva, M. A. Ismail, J. E. Rayas-Sánchez, and Q. J. Zhang, “A generalized space mapping tableau approach to device modeling,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 67–79, Jan. 2001.

John W. Bandler (S’66–M’66–SM’74–F’78) was born in Jerusalem on November 9, 1941. He received the B.Sc.(Eng.), Ph.D., and D.Sc.(Eng.) degrees from the University of London, London, U.K., in 1963, 1967, and 1976, respectively. He joined Mullard Research Laboratories, Redhill, Surrey, U.K., in 1966. From 1967 to 1969, he was a Postdoctorate Fellow and Sessional Lecturer at the University of Manitoba, Winnipeg, Canada. He joined McMaster University, Hamilton, ON, Canada, in 1969. He was Chairman of the Department of Electrical Engineering and Dean of the Faculty of Engineering. He is currently Professor Emeritus in Electrical and Computer Engineering, directing research in the Simulation Optimization Systems Research Laboratory. He has authored or coauthored more than 385 papers. He was a member of the Micronet Network of Centres of Excellence. He was President of Optimization Systems Associates Inc. (OSA), which he founded in 1983, until November 20, 1997, the date of acquisition of OSA by the Hewlett-Packard Company. OSA implemented a first-generation yield-driven microwave computer-aided design (CAD) capability for Raytheon in 1985, followed by further innovations in linear and nonlinear microwave CAD technology for the Raytheon/Texas Instruments Joint Venture MIMIC Program. OSA introduced the CAE systems RoMPE in 1988, HarPE in 1989, OSA90 and OSA90/hope in 1991, Empipe in 1992, and Empipe3D and EmpipeExpress in 1996. OSA created the product empath in 1996, which was marketed by Sonnet Software Inc. He is President of Bandler Corporation, Dundas, ON, Canada, which he founded in 1997. He joined the Editorial Boards of the International Journal of Numerical Modelling in 1987, the International Journal of Microwave and Millimeterwave Computer-Aided Engineering in 1989, and Optimization and Engineering in 1998. He was a Guest Editor of the International Journal of Microwave and Millimeter-Wave Computer-Aided Engineering Special Issue on “Optimization-Oriented Microwave CAD” (1997). He was Guest Coeditor of the Optimization and Engineering Special Issue on “Surrogate Modelling and Space Mapping for Engineering Optimization” (2001). Dr. Bandler is a Fellow of the Canadian Academy of Engineering, the Royal Society of Canada, the Institution of Electrical Engineers (IEE), U.K., and the Engineering Institute of Canada. He is a member of the Association of Professional Engineers of the Province of Ontario, Canada, and the Massachusetts Institute of Technology (MIT) Electromagnetics Academy. He received the Automatic Radio Frequency Techniques Group Automated Measurements Career Award in 1994 and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Microwave Application Award in 2004. He was an associate editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1969–1974) and has continued serving as a member of the Editorial Board. He was guest editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES Special Issue on “Computer-Oriented Microwave Practices” (1974) and “Automated Circuit Design Using Electromagnetic Simulators” (1997) and guest coeditor of the Special Issue on “Process-Oriented Microwave CAD and Modeling” (1992) and “Electromagnetics-Based Optimization of Microwave Components and Circuits” (2004). He was chair of the MTT-1 Technical Committee on Computer-Aided Design.

Slawomir Koziel (M’03) was born in Poland, in 1970. He received the M.Sc. and Ph.D. (with honors) degrees in electronic engineering from Gdansk University of Technology, Gdansk, Poland, in 1995 and 2000, respectively, and the M.Sc. degree in theoretical physics and mathematics and Ph.D. degree in mathematics (with honors) from the University of Gdansk, Gdansk, Poland, in 2000, 2002, and 2003, respectively. He is currently a Research Associate with the Simulation Optimization Systems Research Laboratory, Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada. He has authored or coauthored over 90 papers. His research interests include SM-based modeling and optimization, circuit theory, analog signal processing, active filter design, evolutionary computation, and numerical analysis.

Kaj Madsen was born in Denmark in 1943. He received the cand.scient. degree in mathematics from the University of Aarhus, Aarhus, Denmark, in 1968 and the Dr.Techn. degree from the Technical University of Denmark (DTU), Lyngby, Denmark, in 1986. From 1968 to 1988, he was a Lecturer in numerical analysis, apart from the 1973–1974 academic year, when he was with AERE Harwell, Didcot, U.K. Most of his career has been spent with the Department for Numerical Analysis, DTU. From 1981 to 1983, he was with the Computer Science Department, Copenhagen University, Copenhagen, Denmark. During Summer 1978, he visited McMaster University, Hamilton, ON, Canada. In 1988, be became a Full Professor. Since the 1990s, he has arranged several international workshops on linear programming, parallel algorithms, and surrogate modeling, and SM. In 1993, he joined the Department of Mathematical Modelling, DTU, and during 1995–2000 was Head of that department. In 2000, he took an active part in forming the new department Informatics and Mathematical Modelling, DTU, which includes computer science and applied mathematics. Since January 2001, he has been Head of that department. His primary fields of interest in teaching and research are nonlinear optimization, including SM techniques and global optimization, and validated computing using interval analysis.

0

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3731

The Ellipsoidal Technique for Design Centering of Microwave Circuits Exploiting Space-Mapping Interpolating Surrogates Hany L. Abdel-Malek, Member, IEEE, Abdel-karim S. O. Hassan, Ezzeldin A. Soliman, Associate Member, IEEE, and Sameh A. Dakroury, Student Member, IEEE

Abstract—A new technique for design centering of microwave circuits is introduced. This technique exploits the space-mapping interpolating surrogate (SMIS) with a modified ellipsoidal technique. The design centering solution for microwave circuits is obtained with a small number of fine model evaluations and, hence, the number of electromagnetic simulations is greatly reduced. Practical and demonstrative examples are included to show the efficiency of the new technique. Index Terms—Computer-aided design (CAD) algorithms, design centering, ellipsoidal technique, microwave circuits, space mapping (SM).

I. INTRODUCTION ESIGN centering is an optimization process whose aim is to find the nominal values of designable circuit parameters that maximize the yield. Design centering of nonlinear microwave circuits is a great challenge [1]. The computational overhead is one of the main difficulties in the optimization process of these circuits as many electromagnetic (EM) simulations would be required. This problem can be solved successfully by using the space-mapping (SM) technique [2], [3]–[6]. SM employs computationally fast coarse models to greatly reduce the evaluation cost of the computationally expensive full-wave EM fine models. The space-mapping interpolating surrogate (SMIS) [2] aims to calibrate a space-mapped surrogate, via input and output mapping, to match the fine model with high accuracy. In this study, the SM technique is integrated with the modified ellipsoidal technique [7] to obtain the design centering solution of microwave circuits with a small number of EM simulations. In general, design centering methods are either based on the Monte Carlo method [8]–[13] or on a geometrical approach [7], [14]–[22]. Monte Carlo-based methods are computationally expensive, as yield values are estimated by using a large number of sample points. Attempts to reduce the computational effort have been investigated. Methods based on a geometrical approach aim to approximate the feasible region

D

Manuscript received March 16, 2006; revised June 4, 2006. H. L. Abdel-Malek, A. S. O. Hassan, and S. A. Dakroury are with the Faculty of Engineering, Department of Engineering Mathematics and Physics, Cairo University, Giza 12211, Egypt (e-mail: [email protected]). E. A. Soliman is with the Faculty of Engineering, Department of Engineering Mathematics and Physics, Cairo University, Giza 12211, Egypt, and also with the College of Engineering, Department of Electrical and Computer Engineering, King Abdul Aziz University, Jeddah 21589, Saudi Arabia. Digital Object Identifier 10.1109/TMTT.2006.882881

by a geometrical body. The feasible region is a region in the parameter space where design specifications are satisfied. The ellipsoidal technique [22] approximates the feasible region by a hyperellipsoid, which is the final hyperellipsoid of a sequence of decreasing volume of different center and shape hyperellipsoids. The center of this final hyperellipsoid is the proposed design center. Reduction in the hyperellipsoid volume is obtained through consecutive cuts. Each cut is a linearization of the feasible region boundary at a selected boundary point. The boundary points are located such that a great reduction in the generated hyperellipsoids volume and, hence, a speedy convergence, is achieved [7]. However, for microwave circuits, finding the boundary points and linearization at these points require a lot of computationally expensive EM simulations. The proposed design centering technique employs the SMIS surrogate [2] with the modified ellipsoidal technique to greatly reduce this computational overhead. The Broyden formula [23] offers a fast way to approximate the gradients required in linearization. However, for some models, exact gradients can be evaluated by the adjoint sensitivity technique [24], [25]. The proposed technique is formulated in Section II. An algorithm based on this technique is presented in Section III. Examples of a two- and seven-section capacitively loaded impedance transformer, a coupled line bandpass filter, and an electromagnetically coupled Yagi antenna are given in Section IV.

II. ELLIPSOIDAL TECHNIQUE EXPLOITING SMISs The design specifications of a microwave circuit define a region in the parameter space (feasible region), which can be defined by (1) where is a vector of the design parameters, , is a fine model response vector, is the number is the number of constraints, and of design parameters, is the constraint vector function. The design center using (1) can be obtained by the ellipsoidal technique. The ellipsoidal technique generates a sequence of -dimensional hyperellipsoids. This sequence converges to a final hyperellipsoid whose center is taken as the design center.

0018-9480/$20.00 © 2006 IEEE

3732

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

However, working with (1) involves a lot of computationally expensive fine model evaluations. Instead, the SMIS is employed and the feasible region is approximated by

(2) is the SMIS surrogate. The SMIS is where obtained by satisfying the following matching conditions: (3) (4) and are the Jacobians of the surrogate and fine where model, respectively. The SMIS is initially constructed based on the coarse model and updated through SM iterations. In each SM iteration, the ellipsoidal technique is invoked and a design centering point is obtained. This new center is validated by the fine model and is used to update the next SMIS surrogate. Enhanced improvement of the SMIS is achieved by satisfying (3) at all preceding design centering points (global matching). The ellipsoidal technique is then restarted with the updated SMIS and the next design center is obtained.

where (9)

The iterations of the hyperellipsoid technique continue until no significant reduction in the hyperellipsoid volume can be achieved. The center of the final hyperellipsoid, denoted by , is considered the next design center and is fed into the next SM iteration. B. Selection of Boundary Points The reduction ratio in hyperellipsoid volume is monotonically decreasing function in [22]. Thus, the best boundary point is the one that maximizes the value of . If the current hyperellipsoid center is not feasible, then a boundary point can be located by carrying out a line search starting from a feasible point in the direction (10) until hitting a boundary constraint , say, at (7), the value of is given by

. Hence, using

A. Modified Ellipsoidal Technique Assume that is the design center obtained in the SM iteration. In the th iteration, the feasible region is approx. The ellipsoidal imated by technique starts with a sufficiently large hyperellipsoid [22] containing the feasible region with initial center . An iteration of the ellipsoidal technique involves the following. Assume that the current hyperellipsoid is with center and hyperellipsoid matrix , which is symmetric and positive definite. A is obtained by linearizing the most hyperplane promising constraint at the best boundary point that results in the greatest volume reduction (as shown in Section II-B). This hyperplane is used to generate the next hyperellipsoid where and are given by [22]

(11)

which is a positive value resulting in a significant reduction ratio. If the point is feasible, then the best boundary point is located by using the following steps. First, the most promising constraint is selected. All constraints are linearized around the hyperellipsoid center . This linearization is given by (12) where

is the gradient of at , . The constraint boundary can be approximated by a hyperplane given by

(5)

(13) The corresponding value of by using (7) as

and (6)

for this hyperplane is evaluated

(14)

where

The new hyperellipsoid is smaller in volume than the previous one with a reduction ratio in hyperellipsoid volume given by [22]

The constraints are then renumbered according to the descending values of . The most promising constraint , say, is selected according to this numbering order such that the maximum is obtained. Second, the boundary point on constraint is found by carrying out a line search starting from and taking small steps in the direction

(8)

(15)

and

(7)

ABDEL-MALEK et al.: ELLIPSOIDAL TECHNIQUE FOR DESIGN CENTERING OF MICROWAVE CIRCUITS EXPLOITING SMISs

3733

where is the gradient of constraint . The Jacobian in (15) is evaluated either by updating the Broyden formula or by an adjoint sensitivity technique after each step and the direction is updated. This process permits the rotation of the search to find the promising boundary point [7]. If the value of drops during the line search process below a certain prespecified value , the constraint is discarded and the next promising constraint is tried is found. If the search hits the until the best boundary point boundary at and (preset value), then final refinement is carried out by using a boundary search technique [7] to reach the best boundary point resulting in the greatest possible reduction in hyperellipsoid volume.

by parameter extraction (PE) with the objective of satisfying (3) , and (4) at . The PE at the design centers , aims at solving the optimization problem given by

C. Boundary Search Technique [7]

elements (23) where and are the th columns of and , respectively. Hence, the surrogate is updated by the new mapping parameters and the ellipsoidal technique is restarted with the updated surrogate. The process continues until the final design center point is reached.

Starting from , a sequence of boundary points converging to the best boundary point can be generated as follows: The value of at the boundary point is given by (16)

Find a nonfeasible point

where is initially taken as and , .A new boundary point is obtained by a line search starting from in the direction (18) The process is repeated and (17) and (18) are used iteratively until a fixed point is reached. This fixed point is the best boundary point that maximizes the value of [7]. D. SMIS [2] The SMIS is constructed by applying linear input and output mapping to the coarse model. The SMIS response at the th iteration is given by (19) where (20) are the output mapping parameters, is the coarse model response vector, and is the input mapping. The input mapping is given

by (21) are the input mapping . The mapping parameter is taken as [2]. The other map, , and , are obtained ping parameters

where parameters

and

where is a residual representing the deviation of the surrogate from the fine model and is given by .. .

elements

III. ALGORITHM

given by (17)

,

(22)

The proposed technique proceeds in iterations. In each iteration, an SMIS surrogate is used in the approximation of the feasible region then the ellipsoidal technique is applied to find a design center point. The technique can be summarized by the following algorithm. The coarse model is taken as the initial surrogate. A feasible point of the current SMIS surrogate is assumed to be known. An initial hyperellipsoid , containing a feasible region is selected such that its volume is greater than times any estimate of the feasible region volume. Step 1) Set , , and initialize , , , . Step 2) If the center is feasible go to Step 4). Step 3) Starting from , do a line search in the direction given by (10) to find the boundary point then go to Step 12). Step 4) Find hyperplane approximations for the constraints by using (13). Step 5) Find for each hyperplane by using (7), renumber the constraints according to the descending values of . Step 6) Set the current point to . Step 7) Select the available most promising constraint (i.e., the one with the highest value of ). Take a small step in the direction given by (15), recalculate and at the new point and then evaluate . If the constraint is hit, then go to Step 11). Step 8) If and all constraints are exhausted, then go to Step 15). Step 9) If and there are available constraints, discard the current constraint, select the next most promising constraint, go to Step 6). Step 10) If , set the current point to the new point and go to Step 7). Step 11) If , apply the boundary search technique by using (17) and (18) to get the best boundary point .

3734

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Step 12) Find the hyperplane approximation for the active constraint around . Step 13) Use (5) and (6) to find the next hyperellipsoid , , find from (8). Step 14) If , set and go to Step 2). Step 15) Set , . Step 16) If stop the algorithm with the final solution , . Step 17) Evaluate , . Step 18) Apply PE (22) and (23) to find the mapping parameters , , , . and update the surrogate. Step 19) Set , , and go to Step 2). Remarks: computational saving can be achieved by considering the following. • In the early SM iterations and if the design center of the last SMIS is infeasible with respect to the fine model, the ellipsoidal technique can be stopped at a feasible point before reaching the accurate solution. • During the search towards the constraint boundary [see Step 7)], Broyden updates can be applied to approximate only the gradient of the constraint considered.

Fig. 1. Final solution and final hyperellipsoid for the two-section TL example.

TABLE I RESULTS FOR THE TWO-SECTION TL EXAMPLE ASSUMING INDEPENDENT PARAMETERS

IV. EXAMPLES A. Two-Section Capacitively Loaded Impedance Transformer A good example to demonstrate the design centering problem is the two-section TL impedance transformer [7]. Here, , where at the frequency points , GHz. The coarse model is an ideal two-section TL, whereas the fine model is a capacitively loaded TL with pF. The characteristic impedances are taken as the design parameters , while the normalized lengths with respect to the quarter-wave length at the center frequency of 1 GHz are taken as . The initial surrogate is taken as the coarse model giving an initial design of the fine model as . The final design center and the final hyperellipsoid matrix

TABLE II RESULTS FOR THE TWO-SECTION TL EXAMPLE ASSUMING CORRELATED PARAMETERS

are reached after five SM

iterations. The feasible region can be approximated by a hyperellipsoid using the final hyperellipsoid scaled by [22]. It is a smaller region and, hence, is expected to give pessimistic yield estimation. The final solution and the scaled final hyperellipsoid are shown in Fig. 1. The initial and final yield are evaluated via the Monte Carlo method with 1000 sample points by using the actual region and the approximate region assuming normally distributed parameters. The results assuming independent parameters are shown in Table I. Table II shows the results for correlated parameters with the covariance matrix given by , , and . The final yield and the number of fine model evaluations are shown in Table III. The ellipsoidal technique is applied directly to the fine model (direct optimization) and the results are also shown in Table III. The proposed technique shows high efficiency compared with the direct optimization of the fine model.

B. Seven-Section Capacitively Loaded Impedance Transformer Another example is the seven-section TL impedance transformer [3], where and at the frequency points , GHz. The coarse model is an ideal seven-section TL, whereas the fine model is a capacitively loaded TL with pF. The characteristic impedances are fixed at , whereas the design parameters are the normalized lengths

ABDEL-MALEK et al.: ELLIPSOIDAL TECHNIQUE FOR DESIGN CENTERING OF MICROWAVE CIRCUITS EXPLOITING SMISs

TABLE III COMPARISON OF THE PROPOSED TECHNIQUE AND DIRECT OPTIMIZATION

TABLE IV RESULTS FOR SEVEN-SECTION TL EXAMPLE ASSUMING INDEPENDENT PARAMETERS

3735

TABLE V RESULTS FOR THE SEVEN-SECTION TL EXAMPLE ASSUMING CORRELATED PARAMETERS

TABLE VI COMPARISON OF THE PROPOSED TECHNIQUE AND DIRECT OPTIMIZATION

with respect to the quarter-wave length at the center frequency 4.35 GHz. The initial surrogate is taken as the coarse model giving initial design of the fine model as . The final design center and the final hyperellipsoid matrix are obtained after four SM iterations, as shown in (24) at the bottom of this page. The initial and final yield are evaluated via the Monte Carlo method with 1000 sample points by using the actual region assuming normally distributed parameters. The results assuming independent parameters are shown in Table IV. Table V shows the results for correlated parameters. The final yield and the number of fine model evaluations are shown in Table VI. Fig. 2. Coupled-line bandpass filter [27].

C. Coupled-Line Bandpass Filter A third example is the design of a coupled-line bandpass filter [27] shown in Fig. 2. The design constraint functions are given by GHz GHz GHz GHz

GHz GHz (25)

dB at frequency . The substrate where thickness is taken as 1.272 mm and . The design parameters are in millimeters, as shown in Fig. 2. The simulation of this example is performed using an in-house planar solver based on the method of moments (MoM) [28], [29]. The fine model is meshed with three width and 15

(24)

3736

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE VII YIELD RESULTS FOR THE COUPLED-LINE BANDPASS FILTER ASSUMING INDEPENDENT PARAMETERS

Fig. 3. Electromagnetically coupled microstrip Yagi antenna [27].

TABLE VIII YIELD RESULTS FOR THE COUPLED-LINE BANDPASS FILTER ASSUMING CORRELATED PARAMETERS

length segments of the microstrip lines, while the coarse model is meshed with one width and five length segments. The constraints functions are evaluated at all frequency points GHz. The initial surrogate is taken as the coarse model giving as an initial fine model design. The final design center solution is reached after six SM iterations. The initial and the final yields are evaluated via the Monte Carlo method with 100 sample points assuming normally distributed parameters. The results assuming independent parameters are shown in Table VII. Results for correlated parameters are shown in Table VIII. Much higher yield is achieved with the obtained design center in comparison with the minimax center . D. Electromagnetically Coupled Microstrip Yagi Antenna One last example is the electromagnetically coupled Yagi antenna array [27] (see Fig. 3). The array consists of a driven element, a reflector, and two directors. The driven element is electromagnetically coupled to the feeding microstrip line, which runs below the array. The design parameters are in millimeters, as shown in Fig. 3. The design constraint functions are given by

TABLE IX YIELD RESULTS FOR THE ELECTROMAGNETICALLY COUPLED MICROSTRIP YAGI ANTENNA ASSUMING INDEPENDENT PARAMETERS

for GHz GHz, at 26 frequency points , where dB . The simulation of this example is performed using an in-house planar solver based on the MoM [28], [29]. The fine model is meshed with three width and ten length segments of the microstrip lines, while the coarse model is meshed with one width and three length segments. The initial surrogate is taken as the coarse model giving an initial design of . The final design center is reached after four SM iterations. The initial and the final yields are evaluated via the Monte Carlo method with 100 sample points assuming normally distributed parameters. The results assuming independent parameters are shown in Table IX. Results for correlated parameters are shown in Table X. A much higher yield is achieved with the obtained center in comparison with the minimax center .

ABDEL-MALEK et al.: ELLIPSOIDAL TECHNIQUE FOR DESIGN CENTERING OF MICROWAVE CIRCUITS EXPLOITING SMISs

TABLE X YIELD RESULTS FOR THE ELECTROMAGNETICALLY COUPLED MICROSTRIP YAGI ANTENNA ASSUMING CORRELATED PARAMETERS

V. CONCLUSION In this paper, a new technique for design centering of microwave circuits is introduced. The proposed technique has been successfully applied to solve the design centering problem for different microwave circuits. The integration of SM with the modified ellipsoidal technique has reduced the number of fine model evaluations required to obtain a good design center and, hence, the computational effort is reduced. Yield values have been dramatically increased for all examples considered. The technique shows a significant increase in yield values for the design center obtained in comparison with the minimax solution as a center. REFERENCES [1] J. W. Bandler, Q. J. Zhang, J. Song, and R. M. Biernacki, “FAST gradient based yield optimization of nonlinear circuits,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 11, pp. 1701–1710, Nov. 1990. [2] J. W. Bandler, Q. S. Cheng, S. A. Dakroury, D. M. Hailu, K. Madsen, A. S. Mohamed, and F. Pedersen, “Space mapping interpolating surrogates for highly optimized EM-based design of microwave devices,” in IEEE MTT-S Int. Microw. Symp. Dig., Fort Worth, TX, 2004, vol. 3, pp. 1565–1568. [3] M. H. Bakr, J. W. Bandler, K. Madsen, and J. Søndergaard, “An introduction to the space mapping technique,” Optim. Eng., vol. 2, pp. 369–384, 2001. [4] J. W. Bandler, R. M. Biernacki, S. H. Chen, P. A. Grobelny, and R. H. Hemmers, “Space mapping technique for electromagnetic optimization,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2536–2544, Dec. 1994. [5] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, pp. 2874–2882, 1995. [6] J. W. Bandler, Q. Cheng, S. A. Dakroury, A. S. Mohamed, M. H. Bakr, K. Madsen, and J. Søndergaard, “Space mapping: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 337–361, Jan. 2004. [7] H. L. Abdel-Malek, A. S. O. Hassan, and M. H. Bakr, “Statistical circuit design with the use of a modified ellipsoidal technique,” Int. J. Microw. Millim.-Wave Comput.-Aided Eng., vol. 7, pp. 117–129, 1997. [8] D. E. Hocevar, M. R. Lightner, and T. N. Trick, “An extrapolated yield approximation for use in yield maximization,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. CAD-3, no. 4, pp. 279–287, Oct. 1984. [9] K. Singhal and J. F. Pinel, “Statistical design centering and tolerancing using parametric sampling,” IEEE Trans. Circuits Syst., vol. CAS-28, no. 7, pp. 692–702, Jul. 1981. [10] M. A. Styblinski and L. J. Oplaski, “Algorithms and software tools for IC yield optimization based on fundamental fabrication parameters,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. CAD-5, no. 1, pp. 79–89, Jan. 1986. [11] T. Yu, S. M. Kang, I. N. Hajj, and T. N. Trick, “Statistical performance modeling and parameteric yield estimation of MOS VLSI,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. CAD-6, no. 6, pp. 1013–1022, Nov. 1987.

3737

[12] A. H. Zaabab, Q. J. Zhang, and M. Nakhla, “A neural network modeling approach to circuit optimization and statistical design,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 6, pp. 1349–1358, Jun. 1995. [13] A. S. O. Hassan, H. L. Abdel-Malek, and A. A. Rabie, “None-derivative design centering algorithm using trust region optimization and variance reduction,” Eng. Optim., vol. 38, pp. 37–51, 2006. [14] S. W. Director, G. D. Hachtel, and L. M. Vidigal, “Computationally efficient yield estimation procedures based on simplicial approximation,” IEEE Trans. Circuits Syst., vol. CAS-25, no. 3, pp. 121–130, Mar. 1978. [15] H. L. Abdel-Malek and J. W. Bandler, “Yield estimation for efficient design centering assuming arbitrary statistical distributions,” Int. J. Circuit Theory Applicat., vol. 6, pp. 289–303, 1978. [16] ——, “Yield optimization for arbitrary statistical distributions: Part I—Theory,” IEEE Trans. Circuits Syst., vol. CAS-27, no. 4, pp. 245–253, Apr. 1980. [17] K. J. Antreich, H. E. Graeb, and C. U. Wieser, “Circuit analysis and optimization driven by worst-case distances,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 13, no. 1, pp. 57–71, Jan. 1994. [18] S. S. Sapatnekar, P. M. Vaidya, and S. Kang, “Convexity-based algorithms for design centering,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 13, no. 12, pp. 1536–1549, Dec. 1994. [19] A. Seifi, K. Ponnambalam, and J. Vlach, “A unified approach to statistical design centering of integrated circuits with correlated parameters,” IEEE Trans. Circuits Syst., vol. 46, no. 1, pp. 190–196, Jan. 1999. [20] H. L. Abdel-Malek, A. S. O. Hassan, and M. H. Bakr, “A boundary gradient search technique and its application in design centering,” IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 18, no. 11, pp. 1654–1661, Nov. 1999. [21] A. S. O. Hassan and A. A. Rabie, “Design centering using parallel-cuts ellipsoidal technique,” J. Eng. Appl. Sci., vol. 47, pp. 221–239, 2000. [22] H. L. Abdel-Malek and A. S. O. Hassan, “The ellipsoidal technique for design centering and region approximation,” IEEE Trans. Comput.Aided Design Integr. Circuits Syst., vol. 10, no. 8, pp. 1006–1014, Aug. 1991. [23] C. G. Broyden, “A class of methods for solving nonlinear simultaneous equations,” Math. Comput., vol. 19, pp. 577–593, 1965. [24] J. W. Bandler and R. E. Seviora, “Computation of sensitivities for noncommensurate networks,” IEEE Trans. Circuit Theory, vol. CT-18, no. 1, pp. 174–178, Jan. 1971. [25] E. A. Soliman, M. H. Bakr, and N. K. Nikolova, “An adjoint variable method for sensitivity calculations of multiport devices,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 589–599, Feb. 2004. [26] J. W. Bandler and S. H. Chen, “Circuit optimization: The state of the art,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 424–443, Feb. 1988. [27] E. A. Soliman, M. H. Bakr, and N. K. Nikolova, “Accelerated gradientbased optimization of planar circuits,” IEEE Trans. Antennas Propag., vol. 53, no. 2, pp. 880–883, Feb. 2005. [28] E. A. Soliman, “Rapid frequency sweep technique for MoM planar solvers,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 151, pp. 277–282, Aug. 2004. [29] E. A. Soliman, M. H. Bakr, and N. K. Nikolova, “Neural networks–method of moments (NN–MoM) for the efficient filling of the coupling matrix,” IEEE Trans. Antennas Propag., vol. 52, no. 6, pp. 1521–1529, Jun. 2004.

Hany L. Abdel-Malek (S’75–M’78) was born in Cairo, Egypt, in 1949. He received the B.Sc. degrees in electronics and communications engineering and mathematics (with honors) from Cairo University, Giza, Egypt, in 1970 and 1972, respectively, and the Ph.D. degree in electrical engineering from McMaster University, Hamilton, ON, Canada, in 1977. From 1970 to 1974 he was an Instructor with the Department of Engineering Mathematics and Physics, Cairo University. From 1974 to 1978, he was with the Department of Electrical Engineering, McMaster University. In 1978, he returned to the Faculty of Engineering, Department of Engineering Mathematics and Physics, Cairo University, where he is currently a Professor. His main research interests are in the area of circuit theory, computer-aided circuit and system design, numerical methods, and optimization techniques. Dr. Abdel-Malek was the recipient of a 1977 Post-Doctoral Fellowship presented by the National Research Council of Canada.

3738

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Abdel-karim S. O. Hassan was born in Cairo, Egypt, in 1956. He received the B.Sc. degree (with honors) in electronics and communications engineering from Cairo University, Giza, Egypt, in 1979, the B.Sc. degree in mathematics from Ain Shams University, Cairo, Egypt in 1981, and the M.Sc. and Ph.D. degrees in engineering mathematics from Cairo University, in 1984 and 1989, respectively. Since 1979, he has been with the Physics, Faculty of Engineering, Department of Engineering Mathematics, Cairo University. From 1994 to 2002, he was with the Department of Mathematics, Teacher’s College, Dammam, Saudi Arabia. In 2002, he returned to the Department of Engineering Mathematics and Physics, Cairo University, where he is currently a Professor. His research is involved with optimization theory and techniques and computer-aided design. His interests are in engineering optimization, statistical circuit design, and optimal design of microwave circuits.

Ezzeldin A. Soliman (S’97–A’99) was born in Cairo, Egypt, on May 18, 1970. He received the B.Sc. degree (honors) in electronics and communications engineering and M.Sc. degree in engineering physics from Cairo University, Giza, Egypt, in 1992 and 1995, respectively, and the Ph.D. degree (summa cum laude) in electrical engineering from the University of Leuven, Leuven, Belgium, in 2000. In 1992, he joined the Faculty of Engineering, Cairo University, where he was initially a Demonstrator and then an Associate Professor in 2005.

From 1996 to 2000, he was a Graduate Researcher with both the Interuniversity Microelectronics Center (IMEC), Leuven, Belgium, and the Department of Electrical Engineering (ESAT), University of Leuven. In 2002, he was a Visiting Professor with IMEC. From 2002 to 2003, he was a Post-Doctoral Fellow with the Department of Electrical and Computer Engineering, McMaster University, Hamilton, ON, Canada. He is currently an Associate Professor with the Department of Electrical and Computer Engineering, College of Engineering, King Abdul Aziz University, Jeddah, Saudi Arabia. His research interests include computational electromagnetics, development and characterization of planar antennas in multilayer technology, neural-network modeling of EM problems, and EM-based optimization techniques.

Sameh A. Dakroury (S’02) was born in Cairo, Egypt, in 1973. He received the B.Sc. degree (with honors) in electronics and communications engineering and M.Sc. degree from Cairo University, Giza, Egypt, in 1995 and 2001, respectively. In 1996, he joined the Faculty of Engineering, Cairo University, where he was a Research and Teaching Assistant with the Department of Engineering Mathematics and Physics. From January 2002 to August 2003, he was a Research Assistant with the Simulation Optimization Systems Research Laboratory, McMaster University, Hamilton, ON, Canada. In September 2003, he rejoined the Engineering Mathematics and Physics Department, Cairo University, where he is currently a Research and Teaching Assistant. His doctoral research concerns design centering of microwave circuits using recent advances in SM.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3739

An Efficient Full-Wave Simulation Algorithm for Multiple Vertical Conductors in Printed Circuits Tayyar Onal, M. I. Aksun, Senior Member, IEEE, and Noyan Kinayman, Member, IEEE

Abstract—An efficient and rigorous numerical method, based on the spatial-domain method of moments (MoM) in conjunction with the closed-form Green’s functions, is devised for the analysis of multiple vertical conductors in printed circuits. As this combination has already proven to be very efficient for the analysis of printed structures with horizontal and vertical conductors, it is extended to efficiently handle multiple vertical conductors. Some circuits with multiple vertical strips are analyzed using the proposed method, and results are compared either to those presented in the literature or to those obtained from the commercial software em by SONNET Software, North Syracuse, NY. Computational efficiency of the algorithm is assessed in terms of CPU time, and it is observed that the computational cost of the proposed algorithm is an order of magnitude less than that of the straightforward implementation of the underlaying method of the algorithm—the spatial-domain MOM using closed-form Green’s functions. Index Terms—Closed-form Green’s functions, discrete complex image method, method of moments (MoM), three-dimensional (3-D) planar layered media, vertical conductors.

I. INTRODUCTION URING THE last three decades, the use of printed geometries has been increasing steadily, especially in the applications of antennas and monolithic microwave integrated circuits [1]–[3]. Since circuits distributed over a multilayer environment need vertical conductors to facilitate the connectivity between the layers, vertical conductors like via-holes in monolithic microwave integrated circuits, shorting strips, and probe feeds in microstrip antennas have become integral parts of highfrequency circuits and/or multifunction antennas [4]. As a result, with the use of vertical conductors, one of the main advantages of printed circuits—ease to manufacture—might have been sacrificed to achieve the goals of multifunction operations and/or miniaturization. This burden can partially be alleviated with a computer-aided design (CAD) tool that can accurately and efficiently analyze such circuits. Therefore, parallel to such fabrication requirements of real circuits, simulation tools need to be developed to efficiently and accurately design printed circuits with multiple vertical conductors. It is widely accepted that method-of-moments (MoM)-based algorithms are the most suitable and efficient algorithms for the solution of mixed-potential integral equations [5]–[8]. In addition, with the introduction of closed-form spatial-domain

D

Manuscript received March 22, 2006; revised May 31, 2006. T. Onal and M. I. Aksun are with the Department of Electrical and Electronics Engineering, Koç University, 34450 Istanbul, Turkey (e-mail: [email protected]. tr). N. Kinayman is with M/A-COM, Lowell, MA 01853 USA (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.881616

Fig. 1. Microstrip line with multiple shorting strips over a perfect electric conductor (PEC)-backed substrate ( = 4:0).

Green’s functions for vector and scalar potentials (the procedure is also known as the discrete complex image method) [9], [10], and with its improved versions [11], the computational efficiency of the spatial-domain MoM for printed geometries has been significantly improved [12], [13]. Therefore, the main numerical technique employed in this study is chosen to be the spatial-domain MoM in conjunction with the closed-form Green’s functions. A formulation how to handle vertical conductors within this approach was first proposed in [14], and extended and applied to more general geometries in [17]. Meanwhile, applications of the combination of the MoM and discrete complex image method to vertical probes and to probe-fed patch antennas in layered media were proposed following a different approach [15], [16]. In search of an efficient approach, based on a similar combination, for the analysis of general printed structures, there has recently been some significant contributions [18]–[21]. However, there has been no study thus far on the rigorous and efficient analysis of printed structures with multiple vertical conductors. The goal in this study is to develop a full-wave method that efficiently handles multiple vertical conductors in printed structures. Since the main goal in this paper is to develop an algorithm that substantially improves the MoM matrix filling time when multiple vertical conductors are involved, the proposed algorithm, together with its formulation and demonstration, is detailed in Section II. It is then followed by some representative examples in Section III to demonstrate the efficiency of the method. Finally, in Section IV, conclusions are provided. II. DISCUSSIONS ON FILLING MOM MATRIX ENTRIES FOR VERTICAL CONDUCTORS A. Formulation Let us consider a printed structure, as shown in Fig. 1, that supports only - and -components of the current density to demonstrate the formulation. Note that, throughout this study,

0018-9480/$20.00 © 2006 IEEE

3740

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

vertical strips are employed as vertical conductors, with no loss of generality, and that no slanted connections between the layers are considered, as it may require different class of Green’s functions [8]. In addition, although the algorithm developed in this paper is applied only to single-layer geometries, it can be extended to multilayered geometries with some modifications [23], which will be presented in the near future. Since the subject matter of this paper is an efficient MoM-based analysis of printed circuits with multiple vertical strips, the MoM matrix entries corresponding to vertical strips require special attention. For such circuits, there are mainly five different inner-product terms involving -directed basis and/or testing functions in the MoM matrix entries, which are , for , for , and and for , where , and , denote the th basis and th testing functions used to expand the current density components in the - and -directions, respectively, and , , , and are the associated components of the Green’s functions for vector and scalar potentials when the traditional form is used [8]. Since Green’s functions—spectral-domain representations in planar multilayer media and their closed-form approximations in the spatial domain—have been detailed in the literature [24], they are not given here, except for the ones required in the formulation. One of the inner product terms given above, i.e., , which is the most difficult one as it involves integrations over both and , is used to demonstrate the below formulation. Since the calculation of the other inner-product terms can be performed using similar steps, for the sake of brevity, they are not provided here. Using the definitions of inner-product and convolution integral, can be written as

written as the inverse Hankel transform of its spectral-domain representation

(3) where function of

and

can be written analytically as an explicit in the source layer as

(4)

and the generalized reflection coefficients and other terms like can be found in [24]. Note that and the other Green’s functions can be written as explicit functions of and not only in the source layer, but in any layer, which will be detailed in a future paper. After analytically evaluating and integrals in (3), can be obtained in closed form by the generalized pencil-of-function method [25] as a function of . Hence, making the substitution and , the inner-product term (2) reduces to

(1) (5) where and denote the -coordinates of the source and observation, respectively, both of which are constant at the vertical strips spanning in the -direction. After some simple manipulations, (1) can be cast into the following form:

(2) where the separability of the basis and testing functions is used. At first, the spatial-domain Green’s function in (2) is

where the inner integral can be evaluated analytically, and the resulting outer integral of can also be evaluated analytically following [12] or numerically. It should be pointed out that is an analytic function of independent variables and explicitly. In obtaining in (3), the generalized pencil-offunction method is applied to the function obtained analytically from the inner double integral of (3). This integral—the term that the generalized pencil-of-function method is applied—can always be evaluated analytically because - and -dependencies of spectral-domain Green’s functions are always in exponential forms and, therefore, their products with most of the basis and testing functions and with their derivatives are analytically integrable over and . Using the generalized pencil-offunction method for the resulting spectral-domain function in

ONAL et al.: EFFICIENT FULL-WAVE SIMULATION ALGORITHM FOR MULTIPLE VERTICAL CONDUCTORS IN PRINTED CIRCUITS

conjunction with the Sommerfeld identity, is then obtained in closed form as a function of and . Once is obtained as such, it can be used for other vertical metallizations by just evaluating it for different and values provided that basis functions employed along the new vertical strips are the same as those used on the first strip. Therefore, adding new vertical strips would only require the evaluation of the outer integral in (5), whose computational cost is not significant, as it can be done analytically [12] or, perhaps more efficiently, numerically using an algorithm known as TRIEX (integration over a triangle using nonlinear extrapolation) [26]. Although the approach detailed above is quite general for any set of lengths of vertical conductors, the efficiency of the method for multiple vertical conductors can only be achieved when - and -dependencies of the basis and testing functions for all vertical conductors are similar. To clarify this issue, one should refer to the analytic calculation of (3). In this equation, the generalized pencil-of-function method is applied to the integral of the product of the spectral-domain Green’s function and - and -derivatives of the testing and basis functions. If one decides to use an additional vertical strip with more basis functions (as opposed to two basis functions used throughout this study), then these basis functions and corresponding testing functions would have different -dependencies, and would be integrated over different domains, resulting in different functions in (3) to be approximated by the generalized pencil-of-function method. Therefore, for every basis function with a different -domain dependency, the generalized pencil-of-function method needs to be implemented separately for all inner-product terms involving these basis and/or corresponding testing functions. The same argument also applies to the other matrix entries involving -directed basis and/or testing functions and, as a result, the matrix filling time increases in proportion to the number of basis functions with different -domain representations or, in other words, in proportion to the number of applications of the generalized pencil-of-function method. Before closing the discussion on the implementation of the proposed approach, a possible question as how to implement it efficiently in cases of multiple vertical strips with different lateral spans needs to be clarified. Remember that basis and testing functions used in this study are separable functions, and can be written in terms of two independent functions. Hence, using vertical strips with different spans does not pose a problem provided that their -dependencies are the same. Once the -dependencies are chosen to be identical, auxiliary functions can be obtained only once for every different -domain representation of the basis or testing functions. As the auxiliary functions are obtained in closed form after the exponential approximation, they can be used repeatedly in the evaluations of the matrix entries corresponding to testing and/or basis functions with the same - and/or -dependencies. Considering the inner-product term (1) whose evaluation has been detailed above, it does not matter if the strip spans on - or -directions since only the -dependencies of the testing and basis functions are involved in its evaluation. Therefore, for any additional vertical strip (regardless of its direction of span) with a different location on the -plane, but with the same and representation, the same auxiliary function is to be used with different integration limits over , , , and .

3741

Fig. 2. Current distributions along the microstrip-line circuit, shown in Fig. 1, at f = 1:0 GHz.

B. Demonstration Once the formulation is set up, it would be instructive to see how it is working on a simple and intuitive example, such as a microstrip line with multiple shorting strips (Fig. 1). The widths of the microstrip line and vertical strips are chosen to be narrow to facilitate the use of only longitudinal current density on the microstrip line for the sake of simplicity. Since the thicknesses of substrates used in microwave circuits and printed antennas are usually small, as compared to wavelength, two basis functions would be sufficient to represent the current density on each vertical strip. In cases of thicker substrates, one can model the substrate as composed of two or more layers with identical electrical properties, resulting in as many basis functions as needed along the vertical conductors. Using the same number of basis functions on every vertical strip guarantees that the basis functions on each strip will have identical -variations, which is the main requirement for the efficiency of the method, as discussed above. At first, to validate the proposed method, the current distribution along the microstrip line is obtained and compared to that obtained from a commercial software, em by SONNET Software, North Syracuse, NY, in Fig. 2. It is observed that the current distributions agree quite well. Once the method is validated, the efficiency of the method is assessed by studying the computational cost of filling the MoM matrix for each additional vertical strip. In this study, it is assumed that the MoM matrix entries corresponding to the horizontal part of the geometry are filled once and not calculated again with the additional vertical strips. Therefore, counting new MoM matrix entries, and their computational expensive constituents, corresponding to additional vertical strips would be sufficient to assess the efficiency of the method. The filling time of MoM matrix entries corresponding to each additional vertical strip is recorded for a microstrip line with , as shown in Table I, for two different scenarios: using the information of identical -variations of the basis functions along vertical strips as proposed in this paper (case 1) and not using any information to fill the matrix, except

3742

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I MATRIX FILL TIMES FOR EACH ADDITIONAL VERTICAL STRIP FOR THE GEOMETRY IN FIG. 1, = 71

N

Fig. 3. MoM matrix entries for Fig. 1 with two vertical strips.

for the symmetry of the Galerkin’s implementation of the MoM procedure (case 2). In the former scenario, the necessary auxiliary functions need to be calculated only once and are used repeatedly, but for the latter, the auxiliary functions are calculated for every inner-product term corresponding to each basis and testing functions introduced with the addition of a new vertical strip. Note that a slight decrease in the computational cost of adding a second vertical strip, as compared to that of the first one (Case II in Table I) is due to the implementation of the numerical integration algorithm, requiring the samples of auxiliary functions only once. It is clearly observed from Table I that once one vertical strip is introduced into the formulation and the MoM matrix is filled, adding new vertical strips does not require any significant additional computational cost. To understand this, let us investigate the additional matrix entries and their constituents. The number of additional rows and columns for the MoM matrix, when a vertical strip is added, is equal to the number of basis functions on the strip. Since it was already noted that two basis functions on each vertical strip are employed, two rows and columns are to be filled in and included in the MoM matrix. However, if the Galerkin’s MoM is used, only the columns or rows would be sufficient, due to the symmetry of the MoM matrix. For these new entries, there are generally five inner-product terms to be evaluated for each distinct basis and testing functions, given as and

for for

and

for

however, for the Galerkin’s MoM, the terms for and would be sufficient. Since they involve integrals and/or derivatives on and/or variables, the closed-form auxiliary functions are used instead of closed-form Green’s functions in the evaluations of these inner-product terms. As the generalized pencil-of-function method is the main time-consuming numerical block of the computation of the auxiliary functions, as discussed in Section II-A, the number of generalized pencil-of-

function implementation per vertical strip can be used as a measure of efficiency. To facilitate counting the number of generalized pencil-of-function implementation, it should be noted that evaluation of each auxiliary function requires three generalized pencil-of-function implementations, as a three-level algorithm is used for the auxiliary functions and a two-level algorithm is used for the Green’s functions. Therefore, adding an th vertical strip to already available vertical strips requires the following counts of auxiliary function evaluations for the Galerkin’s MoM: (6)

where is the number of basis function for the horizontal part of the geometry, as the partitions of the MoM matrix and their dimensions are shown in Fig. 3. Note that the counts in (6) have been obtained with the following assumptions. 1) The matrix is symmetric as the Galerkin’s MoM is used. 2) Two basis functions are employed to represent the current density along each vertical strip. 3) Attachment basis functions are introduced at the junctions of horizontal and vertical conductors to satisfy the current continuity and charge conservation. 4) Auxiliary functions are recalculated for each different term, as if the MoM matrix is being filled using a standard MoM approach. To get an idea as to how the above counts are obtained for the Galerkin’s MoM, here are some numbers and their origins: for the first vertical strip, the number of auxiliary function evaluations is , where corresponds with two inner-product terms to three different elements in denotes the number of entries in with in each, one inner-product term, and auxiliary functions account for the attachment function contribution with one inner-product term; for the second vertical strip, gives the additional number of auxiliary function evaluations, where the second term is due to the different entries in . Since the formula in (6) and the numbers given above provide the number of auxiliary function evaluations for the th additional vertical strip, i.e., incremental change, the total number

ONAL et al.: EFFICIENT FULL-WAVE SIMULATION ALGORITHM FOR MULTIPLE VERTICAL CONDUCTORS IN PRINTED CIRCUITS

Fig. 5. Magnitudes of shorting strips.

S

3743

for the patch in Fig. 4, with zero, five, and seven

Fig. 4. Geometry of a patch antenna using multiple vertical strips.

of auxiliary function evaluations for additional vertical strips to a horizontal geometry, in the case of Galerkin’s MoM, can be easily calculated from (6) as . Thus, to assess the computational burden with adding vertical strips to a horizontal geometry, the following example represents the case provided in Fig. 1 for two different discretizations: for , adding four new vertical strips requires 648 auxiliary function evaluations and 648 3 generalized pencil-of-function implementations; for , it requires 2952 auxiliary function evaluations and 2952 3 generalized pencil-of-function implementations. However, with the use of the proposed method, assuming that all vertical strips have the same length and the same number of basis functions (two in this case), auxiliary function evaluations will be sufficient for any number of vertical conductors, i.e., independent of the number of vertical strips. Note that is for three different elements in with two inner-product terms each, and 2 is for two different basis functions with different variations out of elements of with one inner-product term each. Note that, as attachment functions used with each vertical strip are defined over the planar conductors, inner-products involving them do not require any auxiliary function evaluations. III. NUMERICAL EXAMPLES Thus far, an electromagnetic-based simulation algorithm was introduced together with its demonstration on a simple example with thorough discussions on its efficiency when multiple vertical strips were involved. Here, the proposed algorithm is applied to more practical printed circuits and patch antennas, and their -parameters are presented and, if available, are compared to experimental results in the literature. To start with, let us consider a patch antenna whose geometry and dimensions are given in Fig. 4 where the thickness and dielectric constant of the substrate are set to 0.132 cm and 2.33, respectively. Note that vertical strips employed in this study are chosen to be spanning in the -direction with the same

width of 0.268 cm, and all are located at cm to approximate short circuiting the patch at a distance of 1.876 cm from its left edge. All vertical strips have the same length (equals to the thickness of the substrate) as they are short circuiting the patch to ground and, therefore, the basis and testing functions have the same - and -dependencies, enabling the algorithm to efficiently analyze the effects of multiple vertical strips. The choice of a patch antenna with vertical strips, as an example, is motivated by the facts that: 1) vertical strips are used to achieve multifunction antennas, like dual-band dual-polarized antennas and 2) the results expected and achieved could be interpreted intuitively, as the patch would be expected to have resonances (according to the cavity model) at the frequencies of 2.48 GHz [ ] and 2.65 GHz [ ] with no shorting strip and with full short circuiting at a distance of 1.876 cm from the feeding edge, respectively, where is calculated heuristically from [27]. As the first step, the implementation of the algorithm requires meshing the horizontal and vertical conductors in the geometry: the square patch is meshed into 15 15 cells, employing 420 basis functions for the current densities on the patch and together, 18 basis functions for the feeding line, and two basis functions for each vertical strip. Once the algorithm is applied to the geometry depicted in Fig. 4, with the necessary information as provided above, is obtained from the current density over a band of frequency. To observe the effect of the shorting strips, the geometry is first analyzed with zero, one, and three vertical strips, and then strips with numbers 5 and 7 are added consecutively, where the numbers appear just next to the strips in Fig. 4. The magnitudes of are provided in Fig. 5, and the resonant frequencies of the patch with no vertical strip and with seven vertical strips are observed to be approximately 2.42 and 2.65 GHz, which are in good agreement with the above predicted resonant frequencies. Since the main objective here is to demonstrate the efficiency of the method rather than characterization of different structures, the matrix-fill time for the entries corresponding to each additional vertical strip is studied and provided in Table II. Note that using the procedure developed in this paper requires almost

3744

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE II MATRIX FILL TIMES FOR EACH ADDITIONAL VERTICAL STRIP FOR THE GEOMETRY IN FIG. 4, = 438

N

Fig. 7. Input impedance of the antenna shown in Fig. 6.

TABLE III MATRIX FILL TIMES FOR EACH ADDITIONAL VERTICAL STRIP FOR THE GEOMETRY IN FIG. 6, = 565

N

Fig. 6. Single layered patch antenna. (a) Side view. (b) Top view.

half a minute for the first vertical strip and even less for the additional vertical strips when no symmetry argument of the geometry is used to further reduce the time. It is clear that the computational cost of an additional vertical strip, in the case of a uniformly meshed patch antenna using the symmetry of the geometry, would be on the order of a few seconds. However, for a general-purpose algorithm that employs nonuniform meshing, the algorithm proposed in this paper reduces the cost of computation significantly, an order of magnitude, rendering the algorithm extremely suitable for optimization purposes. As a final example, a planar inverted “F” antenna (PIFA), which is a rectangular patch antenna with one side short circuited to ground using multiple vertical strips, is studied. This geometry is a modified version of a PIFA studied in [20] using a whole wide shorting plate instead of nontouching vertical strips, as shown in Fig. 6. First, the input impedance is obtained for 15 nontouching narrow vertical strips over a band of frequency, and the results are compared to those provided in [20] for the same geometry, except for the implementation of the shorting plate. Although the loci of the input impedance curves match quite well, the input impedances for each frequency differ, as this would be expected due to a different kind of loading at the left-edge of the patch antenna (Fig. 7). In addition to mimicking a shorting plate in a PIFA, using nontouching vertical strips may provide an additional degree of freedom in the design of such antennas with different specifications when combined with an efficient CAD tool, as proposed in this paper. For the sake of completeness, the matrix-fill time for the entries corresponding to each additional vertical strip is provided in Table III, where it is clearly observed that using the algorithm proposed in this

paper significantly improves the computation time when compared to straightforward use of the combination of the MoM and the discrete complex image method. IV. CONCLUSIONS In this study, a computationally efficient algorithm, based on the combination of the MoM and the discrete complex image method, has been proposed and demonstrated for the analysis of printed geometries with multiple vertical conductors. The main contribution is that the proposed algorithm can handle multiple vertical conductors extremely efficiently, i.e., the computational cost of additional vertical conductors is improved almost an order of magnitude when compared to a straightforward implementation of the very same method. Although the method is presented for single-layer geometries, it can handle vertical conductors extending over multiple layers in a multilayer environment with some modifications, whose detail can be found in [23], and will be submitted for future publication soon. In conclusion, the algorithm proposed and demonstrated in this paper is extremely efficient and may be one of the best candidates for an efficient CAD simulation software that can combine any optimization method for the design of microwave circuits and microstrip antennas involving multiple vertical conductors.

ONAL et al.: EFFICIENT FULL-WAVE SIMULATION ALGORITHM FOR MULTIPLE VERTICAL CONDUCTORS IN PRINTED CIRCUITS

REFERENCES [1] J. R. James and G. Andrasic, “Multifunction printed antennas,” in Advances in Microstrip and Printed Antennas, K. F. Lee and W. Chen, Eds. New York: Wiley, 1997. [2] S. Shahparnia and O. M. Ramahi, “Electromagnetic interference (EMI) reduction from printed circuit boards (PCB) using electromagnetic bandgap structures,” IEEE Trans. Electromagn. Compat., vol. 46, no. 4, pp. 580–587, Nov. 2004. [3] C. Wang, M. Leone, J. L. Leone, A. L. Drewniak, and A. Orlandi, “Coupling between differential signals and the DC power-bus in multilayer PCBs,” IEEE Trans. Adv. Packag., vol. 28, no. 2, pp. 337–345, May 2005. [4] N. Herscovici, “New considerations in the design of microstrip antennas,” IEEE Trans. Antennas Propag., vol. 46, no. 6, pp. 807–812, Jun. 1998. [5] M. J. Tsai, F. D. Flavis, O. Fordharn, and N. G. Alexopoulos, “Modeling planar arbitrarily shaped microstrip elements in multilayered media,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 3, pp. 330–337, Mar. 1997. [6] M. R. Abdul-Gaffoor, H. K. Smith, A. A. Kishk, and A. W. Glisson, “Simple and efficient full-wave modeling of electromagnetic coupling in realistic RF multilayer PCB layouts,” IEEE Trans. Antennas Propag., vol. 50, no. 6, pp. 1445–1457, Jun. 2002. [7] J. R. Mosig, “Arbitrarily shaped microstrip structures and their analysis with a mixed potential integral equation,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 314–323, Feb. 1988. [8] K. A. Michalski and D. Zheng, “Electromagnetic scattering and radiation by surfaces of arbitrary shape in layered media, part 1: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [9] D. G. Fang, J. J. Yang, and G. Y. Delisle, “Discrete image theory for horizontal electric dipoles in a multilayered medium,” Proc. Inst. Elect. Eng., vol. 135, no. 5, pt. H, pp. 297–303, Oct. 1988. [10] Y. L. Chow, J. J. Yang, D. G. Fang, and G. E. Howard, “A closed-form spatial Green’s function for the thick microstrip substrate,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 588–592, Mar. 1991. [11] M. I. Aksun, “A robust approach for the derivation of closed-form Green’s functions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 651–658, May 1996. [12] L. Alatan, M. I. Aksun, K. Mahadevan, and T. Birand, “Analytical evaluation of the MoM matrix elements,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 4, pp. 519–525, Apr. 1996. [13] K. Noyan and M. I. Aksun, EMPLAN: Electromagnetic Analysis of Printed Structures in Planarly Layered Media. Norwood, MA: Artech House, 2000. [14] M. I. Aksun and R. Mittra, “Efficient use of closed-form Green’s functions for three-dimensional problems involving multilayered media,” in IEEE AP-S Int. Symp. Dig., Jun. 1994, vol. 2, pp. 1354–1357. [15] K. A. Michalski and J. R. Mosig, “Discrete complex image MPIE analysis of coax-fed coupled vertical monopoles in grounded dielectric substrate: Two formulations,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 142, no. 3, pp. 269–274, Jun. 1995. [16] ——, “Discrete complex image mixed-potential integral equation analysis of microstrip patch antennas with vertical probe feeds,” Electromagnetics, vol. 15, pp. 377–392, Jul./Aug. 1995. [17] N. Kinayman and M. I. Aksun, “Efficient use of closed-form Green’s functions for the analysis of planar geometries with vertical connections,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 5, pp. 593–603, May 1997. [18] Y. Liu, L.-W. Li, T.-S. Yeo, and M.-S. Leong, “Application of DCIM to MPIE–MoM analysis of 3-D PEC objects in multilayered media,” IEEE Trans. Antennas Propag., vol. 50, no. 2, pp. 157–162, Feb. 2002. [19] F. Ling, J. Liu, and J.-M. Jin, “Efficient electromagnetic modeling of three-dimensional multilayer microstrip antennas and circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1628–1635, Jun. 2002. [20] T. M. Grzegorczyk and J. R. Mosig, “Full-wave analysis of antennas containing horizontal and vertical metallizations embedded in planar multilayered media,” IEEE Trans. Antennas Propag., vol. 51, no. 11, pp. 3047–3054, Nov. 2003. [21] M. Vrancken and G. A. E. Vandenbosch, “Hybrid dyadic-mixed-potential and combined spectral-space domain integral-equation analysis of quasi-3-D structures in stratified media,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 216–224, Jan. 2003.

3745

[22] K. Naishadham and P. Misra, “Order recursive Gaussian elimination and efficient CAD of microwave circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., Orlando, FL, May 16–20, 1995, vol. 3, pp. 1435–1438. [23] T. Onal, “Development of a rigorous and efficient electromagnetic simulation algorithm for 3-D printed structures in multilayer environment,” M.S. thesis, Dept. Elect. Comput. Eng., Koç Univ., Istanbul, Turkey, 2005. [24] G. Dural and M. I. Aksun, “Closed-form Green’s functions for general sources and stratified media,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1545–1552, Jul. 1995. [25] H. Yingbo and T. K. Sarkar, “Generalized pencil-of-function method for extracting poles of an EM system from its transient response,” IEEE Trans. Antennas Propag., vol. 37, no. 2, pp. 229–234, Feb. 1989. [26] E. D. Doncker and I. Robinson, “TRIEX: Integration over a triangle using nonlinear extrapolation,” ACM Trans. Math. Softw., vol. 10, pp. 17–22, Mar. 1984. [27] K. P. Ray and G. Kumar, “Determination of the resonant frequency of microstrip antennas,” Microw. Opt. Technol. Lett., vol. 23, pp. 114–117, Oct. 1999.

Tayyar Onal was born in Konya, Turkey, in 1982. He received the B.S. degree in electrical and electronics engineering from Bilkent University, Ankara, Turkey, in 2003, the M.S. degree in electrical and electronics engineering from Koç University, Istanbul, Turkey, in 2005, and is currently working toward the Ph.D. degree in electrical and electronics engineering at Koç University. His research interests includes numerical analysis of printed structures, microwave and millimeter-wave circuits, and microstrip antennas.

M. I. Aksun (M’92–SM’99) received the B.S. and M.S. degrees in electrical and electronics engineering from Middle East Technical University, Ankara, Turkey, in 1981 and 1983, respectively, and the Ph.D. degree in electrical and computer engineering from the University of Illinois at Urbana-Champaign, in 1990. From 1990 to 1992, he was a Post-Doctoral Fellow with the Electromagnetic Communication Laboratory, University of Illinois at Urbana-Champaign. From 1992 to 2001, he was a member of the faculty of the Department of Electrical and Electronics Engineering, Bilkent University, Ankara, Turkey, and became a Professor in 1999. In 2001, he has joined the Department of Electrical and Electronics Engineering, Koç University, Istanbul, Turkey, as a Professor. In May 2004, he became the Dean of the Engineering College, Koç University. His research interests include numerical methods for electromagnetics and optics, printed circuits and antennas, and indoor and outdoor propagation models.

Noyan Kinayman (S’94–M’96) received the B.S. and M.S. degrees from Middle East Technical University, Ankara, Turkey, in 1990 and 1993, respectively, and the Ph.D. degree from Bilkent University, Ankara, Turkey, in 1997, all in electrical engineering. Upon completion of his doctoral studies, he joined the Corporate Research and Development Department, M/A-COM, Lowell, MA, as Senior Electrical Engineer. He is currently with the same department as a Principal Electrical Engineer. His main responsibilities are electromagnetic analysis, modeling, and microwave circuit design. He has developed commercially available full-wave electromagnetic simulation software to simulate planar microstrip circuits. His main professional interests are electromagnetic theory, numerical solution of electromagnetic problems, and model extraction of passive microwave printed circuits.

3746

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Novel Broadside-Coupled Bandpass Filters Using Both Microstrip and Coplanar-Waveguide Resonators Pu-Hua Deng, Chi-Hsueh Wang, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Novel quasi-elliptic coupled-resonator bandpass filters with wider fractional bandwidth are proposed. By using the broadside-coupled mechanism to couple the half-wavelength microstrip resonators and the quarter-wavelength coplanar-waveguide (CPW) resonators together with introducing two CPW shorted stubs, the required mixed and magnetic couplings associated with the resonators may be enhanced so that a wider bandwidth cross-coupled filter may be realized. Specifically, a fourth-order quasi-elliptic broadside-coupled bandpass filter with a center frequency at 0 = 1 48 GHz, a minimum insertion loss of 0.68 dB, and a wider 3-dB fractional bandwidth of 34.6% is implemented, and its stopband is extended up to 6 GHz (4 0 ) with a rejection better than 20 dB. Index Terms—Bandpass filter, bandwidth widening, broadside coupled, coplanar waveguide (CPW), microstrip, stopband extension.

I. INTRODUCTION N MICROWAVE communication systems, filters with good selectivity and stopband rejection are required to enhance the system performance. Recently, several cross-coupled filter structures with improved selectivity using half-wavelength resonators were reported in [1]–[10]. To reduce the circuit size, the cross-coupled filters using quarter-wavelength or quasi-quarter-wave resonators were proposed in [11]–[15]. In order to avoid the via-holes that may degrade the filter performance, the coplanar quasi-elliptic filters without bond-wire bridges were proposed in [14]. These filters are compact in size and possess multiple transmission zeros such that better selectivity may be achieved. However, the fractional bandwidths of these cross-coupled filters using edge couplings are usually limited due to the constraint in the fabrication process. Only a few papers about cross-coupled filters were reported to relax this constraint. For example, two possible configurations to increase the 3-dB fractional bandwidth were proposed in [7] by using similarity transformation of the coupling matrix. However, the increase in 3-dB fractional bandwidth is still limited due to the constraint of edge coupling. In this paper, a new class of quasi-elliptic coupled-resonator bandpass filters with wider fractional bandwidth will be pro-

I

Manuscript received November 25, 2005; revised March 7, 2006. This work was supported by the National Science Council of Taiwan under Grant NSC 94-2213-E-002-055, Grant NSC 94-2219-E-002-008, and Grant NSC 94-2752-E-002-001-PAE. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.881619

Fig. 1. Layout of the fourth-order cross-coupled filter using both =2 and =4 microstrip resonators.

posed using both and resonators, as recently suggested by [16]. To enhance the mixed coupling between the resonator structures, the microstrip resonators in the top layer are coupled to the coplanar waveguide (CPW) resonators in the bottom layer through the broadside-coupled mechanism. To increase the magnetic coupling, two shorted stubs are introduced to associate with the CPW resonators so that the required coupling may be adjusted and enhanced. By combining the above two mechanisms to increase both the mixed and magnetic couplings among the resonators, a quasi-elliptic wider bandwidth bandpass filter may be realized using the coupledresonator configuration. The use of CPW resonators is essential in implementing two shorted CPW stubs, which not only increase the required magnetic coupling for widening the bandwidth, but also avoid the fabrication of bond-wire bridges. In this study, two fourth-order quasi-elliptic broadsidecoupled bandpass filters with wider fractional bandwidth are implemented and carefully examined. To extend the stopband of the proposed broadside-coupled filter, the technique of using dissimilar resonators for spurious suppression [10], [15] is also adopted in the filter design. Specifically, a bandpass filter centered at GHz, a minimum insertion loss of 0.68 dB in the passband, and a wider 3-dB fractional bandwidth of 34.6% is implemented with its stopband extended up to 6 GHz . II. BROADSIDE-COUPLED FILTER The bandwidth of the conventional cross-coupled filter using open-loop microstrip resonators is restricted due to the limitation in mixed and magnetic couplings associated with the coupled resonators. A possible way of widening the bandwidth may be achieved by adopting the filter structure composed of both and microstrip resonators, as suggested by [16] and shown in Fig. 1. Here, resonators 1 and 4 are consisted of identical uniform-impedance resonators, and resonators 2 and 3 are made of the identical uniform-impedance resonators

0018-9480/$20.00 © 2006 IEEE

DENG et al.: NOVEL BROADSIDE-COUPLED BANDPASS FILTERS USING BOTH MICROSTRIP AND CPW RESONATORS

Fig. 2. Proposed fourth-order broadside-coupled filter composed of =2 microstrip and =4 CPW resonators. (a) Side view. (b) Top-/bottom-layer circuit layouts to show the relative location between top microstrip layer and bottom CPW layer.

in which a shorted circuit is introduced and implemented by the grounding via. In Fig. 1, cross electric coupling is obtained across the gap between the ends of open-loop resonators 1 and 4, while the metallic via connecting to ground shared by resonators 2 and 3 produces the magnetic coupling required between these two resonators. In addition, the coupling between resonators 1 and 2 is of mixed form. Basically, stronger magnetic and mixed couplings are required for widening the 3-dB fractional bandwidth. A stronger magnetic coupling may be achieved by increasing the length of the shorted stub between resonators 2 and 3 (Fig. 1). However, the level of the mixed couplings between resonators 1 and 2, as well as 3 and 4, is limited by the spacing associated with the edge coupling, thereby becoming the bottleneck of the design. For example, the fourth-order quasi-elliptic microstrip filter shown in Fig. 1 and designed on an RO4003C substrate needs a small spacing of 0.05 mm to produce the required mixed coupling for a 3-dB fractional bandwidth of 16% with a center frequency at 1.98 GHz. This spacing is too small to be implemented by the usual fabrication process. To widen the bandwidth, a novel broadside-coupled bandpass filter structure shown in Fig. 2 is proposed. Here, the microstrip uniform-impedance resonators in the top layer are coupled, in the broadside mechanism, to the CPW stepped-impedance resonators in the bottom layer so that the required mixed couplings between resonators 1 and 2, as well as 3 and 4, may be enhanced. The relative location between the top microstrip layer (two microstrip uniformimpedance resonators) and the bottom CPW layer (two CPW stepped-impedance resonators) is shown in Fig. 2(b). In order to achieve a filter with wider 3-dB fractional bandwidth in

3747

the passband, stronger mixed and magnetic couplings should be realized. The bottom CPW layer has two stepped-impedance resonators in which two shorted stubs connecting to the ground planes are implemented to produce the required magnetic coupling. This magnetic coupling may be enhanced by increasing the lengths of two shorted stubs. The via-hole in Fig. 1 is now replaced by the shorted stubs without bond-wire bridges in Fig. 2. In addition, the design also avoids the bond-wire bridges associated with the CPW structures. Note that the effective inductances of the shorted stubs may be extracted by constructing a single CPW resonator made of such stubs and then measuring its loaded quality factor, as detailed in [17]. The design procedures for the proposed filter in Fig. 2 may be described in [1]. To facilitate the design, the three basic coupling structures, associated with the filter in Fig. 2 and shown in the inset of Fig. 3, need to be characterized. Fig. 3(a) shows the electric coupling structure and the corresponding design curve for the coupling coefficient between microstrip resonators 1 and 4. The magnetic coupling structure, mainly consisting of inductive shorted stubs connected to CPW ground planes between resonators 2 and 3, is shown in the inset of Fig. 3(b). The design curve for this magnetic coupling coefficient is depicted in Fig. 3(b), indicating that the magnetic coupling coefficient ranges from 0.246 to 0.0734 when the distance changes from 0.05 to 4 mm. The coupling structure in Fig. 3(c) provides the required mixed coupling. To obtain larger mixed coupling, the broadside-coupled mechanism is introduced between the top microstrip resonator 1 and the bottom CPW resonator 2. The design curve for the mixed-coupling coefficient is also shown in Fig. 3(c), which implies that the strength of the coupling coefficient is enhanced when the overlap area between the microstrip and CPW resonators is increased. All the design curves in Fig. 3 may be obtained by an electromagnetic simulation of the coupling structures shown in the insets of Fig. 3. In this study, all the circuits are fabricated on a Rogers RO4003C substrate ( , , and thickness mm). The proposed broadside-coupled filter structure (Fig. 2) has a wider 3-dB fractional bandwidth than the one in Fig. 1 with the larger mixed couplings realized by the broadside-coupled structure. The proposed filter is designed according to the fourth-order quasi-elliptic response with a center frequency of 1.5 GHz and a 3-dB bandwidth of 24.6%. The design parameters associated with the above specifications are given as follows:

Here, ’s are the coupling coefficients between resonators and and are the quality factors at the input and output [1]. The dimensions of each part in Fig. 2(b) are given in Table I for further reference. The implemented filter has a size of (47.2 mm 34.7 mm), where is the guided wavelength of the microstrip structure at the center frequency.

3748

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 4. Measured and simulated results of the proposed fourth-order broadside-coupled filter (Fig. 2) using both =2 microstrip and =4 CPW resonators. (a) Narrowband and (b) wideband frequency responses.

Fig. 3. Coupling structures and design curves for: (a) electric coupling, (b) magnetic coupling, and (c) mixed coupling.

TABLE I DIMENSIONS (IN MILLIMETERS) OF EACH PART IN FIG. 2(b)

Note that the proposed filter (Fig. 2) is designed based on the formulas in [1], which are suitable for narrowband filters. By designing the proposed filter with a fractional bandwidth of 24.6%, for instance, according to the procedure in [1], it may end up with a developed filter, which, after full-wave simulation, would possess a bandwidth of 22% only, a consequence of using the narrowband formulas. Therefore, after the first design phase, the developed filter should be fine tuned so as to bring the fractional bandwidth back to the specification of 24.6%. The measured and simulated results of the implemented broadside-coupled filter (Fig. 2) are shown in Fig. 4. The measured center frequency is at 1.47 GHz, the minimum insertion loss is 1.6 dB, and the 3-dB bandwidth is 22.4%. The deviation of the measured bandwidth from the specified value for the design may be resulted from the misalignment between the top microstrip and bottom CPW layers during the filter implementation. III. STOPBAND-EXTENDED BROADSIDE-COUPLED FILTER The filter structure shown in Fig. 2 has its bandwidth widened due to the use of a broadside-coupled structure between microstrip and CPW resonators. However, the filter shows several spurious passbands around , which are created by the higher order resonances of the resonators associated with the proposed filter. These spurious passbands

DENG et al.: NOVEL BROADSIDE-COUPLED BANDPASS FILTERS USING BOTH MICROSTRIP AND CPW RESONATORS

3749

TABLE II DIMENSIONS (IN MILLIMETERS) OF EACH PART IN FIG. 5

Fig. 5. Top-/bottom-layer circuit layouts of the proposed stopband-extended wider bandwidth broadside-coupled filter composed of =2 microstrip and =4 CPW resonators.

may be suppressed by properly designing the resonators so as to possess different impedance ratios, as suggested by [10] and [15]. In this study, a stopband-extended bandpass filter (Fig. 5) modified from the wider bandwidth broadside-coupled structure (Fig. 2) is proposed by applying the technique of dissimilar resonators for stopband extension [10], [15]. Specifically, different types of stepped-impedance resonators are adopted for the microstrip and CPW resonators. In this design, four resonators are made completely dissimilar and their higher order resonance frequencies are separated so that the spurious suppression may be achieved for stopband extension. The proposed fourth-order stopband-extended broadsidecoupled filter structure (Fig. 5) has a wider passband bandwidth and better stopband rejection than the one in Fig. 2. The filter is designed according to the fourth-order quasi-elliptic response with a center frequency of 1.5 GHz and a 3-dB bandwidth of 34.6%, and the corresponding parameters are given by

The implemented filter has a size of (52.1 mm 31.75 mm). The dimensions of each part in Fig. 5 are also given in Table II. The measured and simulated results of the stopband-extended filter (Fig. 5) are shown in Fig. 6. The measured center frequency is at 1.48 GHz, the minimum insertion loss is 0.68 dB, and the 3-dB bandwidth is 34.6%. The shift in the center frequency is less than 2%. For the filter in Fig. 5, the spurious passband especially around is suppressed, and its stopband is extended up to 6 GHz with a rejection better than 20 dB. By using different impedance ratios for the four stepped-impedance resonators, their higher order resonance frequencies are separated and also made different from the frequency . Therefore, the signals go through the main path (from resonators 1 to 2

Fig. 6. Measured and simulated results of the stopband-extended wider bandwidth broadside-coupled filter shown in Fig. 5. (a) Narrowband and (b) wideband frequency responses.

to 3 to 4) and the cross-coupled path (from resonators 1 to 4) are largely suppressed due to the mutual cancellation effects among the resonators [10], [15]. This explains why the spurious response around is effectively suppressed. The measured frequency response for the filter in Fig. 5 is also compared with that for the filter in Fig. 2, as shown in Fig. 7. With completely different impedance ratios for the four steppedimpedance resonators, the filter in Fig. 5 has much better rejection around when compared with the one in Fig. 2.

3750

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 7. Comparison of the measured responses for the filters in Figs. 2 and 5.

IV. CONCLUSION In this paper, novel quasi-elliptic broadside-coupled bandpass filters with wider fractional bandwidth have been proposed. By using the broadside-coupled mechanism to couple the microstrip resonators and the CPW resonators together with introducing two CPW shorted stubs, the required mixed and magnetic couplings associated with the resonators may be enhanced so that the wider bandwidth cross-coupled filters may be realized. The use of CPW resonators is essential in implementing the two shorted CPW stubs for increasing the magnetic coupling for widening the bandwidth. The technique of using dissimilar resonators for spurious suppression has also been utilized for stopband extension. Specifically, a fourth-order bandpass filter centered at GHz with a wider 3-dB bandwidth of 34.6% has been implemented, and its stopband has been extended up to 6 GHz with a rejection better than 20 dB. REFERENCES [1] J. S. Hong and J. Lancaster, “Couplings of microstrip square open-loop resonators for cross-coupled planar microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 11, pp. 2099–2109, Nov. 1996. [2] J. S. Hong and M. J. Lancaster, “Theory and experiment of novel microstrip slow-wave open-loop resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2358–2365, Dec. 1997. [3] ——, “Cross-coupled microstrip hairpin-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 1, pp. 118–122, Jan. 1998. [4] S. Y. Lee and C. M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [5] K. S. K. Yeo, M. J. Lancaster, and J. S. Hong, “The design of microstrip six-pole quasi-elliptic filter with linear phase response using extractedpole technique,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 321–327, Feb. 2001. [6] C. M. Tsai, S. Y. Lee, and C. C. Tsai, “Performance of a planar filter using a 0 feed structure,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 10, pp. 2362–2367, Oct. 2002. [7] C. S. Ahn, J. Lee, and Y. S. Kim, “Design flexibility of an open-loop resonator filter using similarity transformation of coupling matrix,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 4, pp. 262–264, Apr. 2005. [8] C. K. Liao and C. Y. Chang, “Design of microstrip quadruplet filters with source–load coupling,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2302–2308, Jul. 2005. [9] C. F. Chen, T. Y. Huang, C. H. Tseng, R. B. Wu, and T. W. Chen, “A miniaturized multilayer quasi-elliptic bandpass filter with aperturecoupled microstrip resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2688–2692, Sep. 2005. [10] P. H. Deng, S. C. Lin, Y. S. Lin, C. H. Wang, and C. H. Chen, “Microstrip bandpass filters with dissimilar resonators for suppression of spurious responses,” in Proc. 35th Eur. Microw. Conf., 2005, pp. 1263–1266.

[11] C. C. Chen, Y. R. Chen, and C. Y. Chang, “Miniaturized microstrip cross-coupled filters using quarter-wave or quasi-quarter-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 120–131, Jan. 2003. [12] C. Y. Chang and C. C. Chen, “A novel coupling structure suitable for cross-coupled filters with folded quarter-wave resonators,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 12, pp. 517–519, Dec. 2003. [13] S. C. Lin, Y. S. Lin, and C. H. Chen, “Compact microstrip bandpass filters with quarter-wavelength stepped-impedance resonators,” in Proc. 35th Eur. Microw. Conf., 2005, pp. 931–934. [14] J. M. Zhou, J. Lancaster, and F. Huang, “Coplanar quarter-wavelength quasi-elliptic filters without bond-wire bridges,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1150–1156, Apr. 2004. [15] S. C. Lin, P. H. Deng, Y. S. Lin, C. H. Wang, and C. H. Chen, “Wide-stopband microstrip bandpass filters using dissimilar quarter-wavelength stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1011–1018, Mar. 2006. [16] S. C. Lin, Y. S. Lin, and C. H. Chen, “Extended-stopband bandpass filters using both half- and quarter- wavelength resonators,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 43–45, Jan. 2006. [17] J. K. A. Everard and K. K. M. Cheng, “High performance direct coupled bandpass filters on coplanar waveguide,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 9, pp. 1568–1573, Sep. 1993.

Pu-Hua Deng was born in Kaohsiung, Taiwan, R.O.C., in 1978. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2002, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2004, and is currently working toward the Ph.D. degree at National Taiwan University. His research interests include the design and analysis of microwave filter circuits.

Chi-Hsueh Wang was born in Kaohsiung, Taiwan, R.O.C., in 1976. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, R.O.C., in 1997, and the Ph.D. degree from National Taiwan University, Taipei, Taiwan, R.O.C. in 2003. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests include the design and analysis of microwave and millimeter-wave circuits and computational electromagnetics.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuit analysis and computational electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3751

Systematic Method for the Exact Synthesis of Ultra-Wideband Filtering Responses Using High-Pass and Low-Pass Sections Roberto Gómez-García, Member, IEEE, and José I. Alonso, Member, IEEE

Abstract—A systematic consistent and analytical method for the exact synthesis of ultra-wideband bandpass filtering responses using the isolated cascade connection of high- and low-pass sections is presented in this paper. Through the described synthesis technique, the optimum values for the design parameters of the high- and low-pass sections are computed from the initial specifications of the intended bandpass filtering profile. The theoretical results are validated with a synthesis example for both the Butterworth and Chebyshev cases. Furthermore, to prove the practical viability of the ultra-wideband filter topology based on high- and low-pass sections, a prototype at 4 GHz is designed, constructed in hybrid technology, and characterized. The main advantages of this wideband microwave bandpass filter solution are also highlighted. Index Terms—Bandpass filters, Butterworth filters, cascade networks, Chebyshev filters, filter synthesis, high-pass filters, low-pass filters, microwave filters, ultra-wideband.

I. INTRODUCTION LTRA-WIDEBAND technology plays a primary role in the development of modern radar and high data-rate communications systems [1], [2]. Wideband solutions for microwave filters, performing very efficient signal selections by properly suppressing out-of-band additive noise, external (out-of-system) and internal (inter-channel) interferences, and nonlinear-distortion perturbations, are required in RF front-ends supporting these applications. Over the years, classic microwave bandpass filter theory has become well established in designing narrow and reasonably moderate bandwidth filters. However, it is not suitable for filters with a large passband width. One example is parallel-coupled transmission-line resonator filters [3]. Since the developed synthesis methods for these structures are based on equivalent-circuit models of the coupled-line section only exact at the center frequency, they are not useful in wideband situations. Recently, more accurate design formulas have been proposed,

U

Manuscript received December 15, 2005; revised March 28, 2006. This work was supported in part by the Spanish Ministry of Science and Technology under Project TIC2002-04569-C02-01, Project TIC2002-02657, and Project TEC2005-07010-C02-01/TCM and in part by the Spanish Ministry of Education and Science under a doctoral scholarship. R. Gómez-García is with the Department of Signal Theory and Communications, University of Alcalá, Alcalá de Henares, 28871 Madrid, Spain (e-mail: [email protected]). J. I. Alonso is with the Microwave and Radar Group, Department of Signals, Systems, and Radiocommunications, Polytechnic University of Madrid, 28040 Madrid, Spain (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882883

but restricted to both the filter order and the type of transfer function to be synthesized [4], [5]. Moreover, these filters have as strict a limit on the maximum feasible bandwidth as that driven by the minimum space separation between coupled lines achievable through the circuit manufacturing process. As a result of the aforementioned difficulty, a huge effort has been made in the design of novel wideband microwave bandpass filter topologies. Thus, a great variety of compact filter solutions with a large passband width implemented in different technologies (e.g., microstrip or uniplanar strip) have been proposed [6]–[9]. These filters have also demonstrated high-rejection stopbands, but with a spectral width narrower than that of the main passband. This makes them useless in a number of applications, such as wideband multichannel and full-duplex communications. Here, signal-interference techniques have emerged as an alternative to designing filters exhibiting a broad spectral width in both the passband and attenuated bands [10], [11]. Nevertheless, the periodic frequency behavior of the transmission-line segments making up these filters limits their suitability to bandwidths no higher than 50%. The research into circuits capable of handling extra-large bandwidth filtering actions ( 100%) is also a current hot topic. This has been mainly motivated by the authorization of the U.S. Federal Communication Commission in February 2002 for the unlicensed exploitation of ultra-wideband communications services within the 3.1–10.6-GHz band [12]. To the authors’ knowledge, only seven types of bandpass filters with a bandwidth capable of covering this frequency range have been reported [13]–[19]. These configurations have consisted of original arrangements designed in traditional planar technologies or nonconventional structures inherently demonstrating this broadband filtering nature, such as microstrip-to-coplanar-waveguide transitions or microstrip lines mounted on lossy composite substrates with high-frequency signal-rejection capabilities. Among them, the one described in [17] has become the best compromise solution in terms of insertion loss, group delay flatness, and selectivity. A simple way to approach the implementation of ultra-wideband microwave bandpass filters is to use the matched cascade of high- and low-pass sections [20]. Furthermore, this alternative allows the achievement of wideband filtering profiles with asymmetrical selectivity to be easily circumvented; it only requires different-order high- and low-pass sections. This bandpass filter structure also has an application in the design of wideband tunable filters. Indeed, by means of highand low-pass sections with controllable cutoff frequencies, a

0018-9480/$20.00 © 2006 IEEE

3752

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 1. Block diagram of the proposed ultra-wideband bandpass filter topology.

composite bandpass transfer function, independently tunable in center frequency and bandwidth, is obtained [21], [22]. On the other hand, since the high- and low-pass sections can be placed separately at different blocks of the transmitter and receiver paths depending on the system architecture, an advantage in terms of design flexibility regarding the use of conventional bandpass filter solutions is also achieved. To date, a major drawback concerning the common use of wideband bandpass filters made up of high- and low-pass sections is the lack of systematic design methods. This is overcome here. In this paper, for the first time, an optimum method for the analytical synthesis of ultra-wideband bandpass filtering responses through the isolated cascade of high- and low-pass sections is proposed. This paper is organized as follows. A rigorous theoretical analysis of the ultra-wideband bandpass filter consisting of the isolated cascade connection of high- and low-pass sections is first carried out. This is done in Sections II and III for the Butterworth and Chebyshev cases, respectively. In Section IV, from the obtained analytical results, a systematic technique for the exact synthesis of this class of filter topology is proposed. The synthesis method described is validated through a design example shown in Section V. Moreover, to prove the experimental usefulness of this ultra-wideband bandpass filter solution, a prototype at 4 GHz is also constructed in hybrid technology and measured. Finally, the most relevant conclusions of this study are set out in Section VI. II. THEORETICAL ANALYSIS USING BUTTERWORTH-TYPE HIGH- AND LOW-PASS SECTIONS The block diagram of the proposed ultra-wideband bandpass filter topology is detailed in Fig. 1. As shown, the overall filter is made up of the cascade connection of a high- and low-pass filtering section by means of an isolation stage. The scattering parameters of the high- and low-pass sections are written as and . The scattering parameters of the isolation stage are referred to as . When Butterworth-type transfer functions are used, the power transmission responses of the high- and low-pass filtering sections normalized to a impedance value, and , are

(1)

, and , denote the where 3-dB cutoff frequencies and the orders of the high- and low-pass sections, respectively, and is the absolute value of a complex number. Thus, by assuming an ideal isolation stage with a power transmission gain of one relative to the reference impedance (i.e., , , and , where is the phase of a complex number),1 the expression for the power transmission response of the overall bandpass filter is

(2)

The theoretical analysis of the ultra-wideband bandpass filter topology shown in Fig. 1 is presented here. The aim is to obtain , a set of analytical formulas relating the design parameters , , and to the initial specifications of the ultra-wideband filtering response to be synthesized. These specifications, although well known, are described below. • In-band attenuation specification, defined as the frequency interval within which the maximum variation of the power transmission attenuation must be no higher than dB. These passband requisites can alternatively be expressed by means of the center frequency and the -dB relative bandwidth specifications so that (3) or inversely (4) • Out-of-band rejection specification, through which the power transmission attenuation normalized to the in-band power transmission maximum at lower and higher than passband frequencies whose separation from is more than and , respectively, must be no lower than dB. Note that by allowing , different selectivity above and below the center frequency can be achieved. A. Center Frequency Specification The center frequency of the resulting bandpass filtering response when Butterworth-type high- and low-pass sections are used corresponds to the frequency value at which the power transmission is maximum. By imposing this condition on 1This means an isolation stage satisfying, for the reference impedance Z and the entire positive frequency axis, the following: 1) perfect input and output power matching, 2) perfect reverse (output-to-input) power isolation, 3) constant direct (input-to-output) power transmission gain equal to one (i.e., no amplitude distortion and signal weighting), and 4) constant group delay equal to zero (i.e., no phase distortion and signal delay).

GÓMEZ-GARCÍA AND ALONSO: SYSTEMATIC METHOD FOR EXACT SYNTHESIS OF ULTRA-WIDEBAND FILTERING RESPONSES

3753

the expression for the bandpass power transmission response provided in (2), the following is obtained:

(5) In general, the magnitude of the first term of the numerator in the above equation is much lower than those of the other terms for and, therefore, can be ignored. Hence, (6) As shown, the center frequency depends not only on the cutoff frequencies and , but also on the orders and . In the same-order case (i.e., and ), (6) leads exactly to . From this, the following property satisfied by the overall bandpass power transmission response is derived:

(7) The proof of (7) is easily obtained by evaluating (2) in and . Under the assumption that (6) was approximated from (5), the aforementioned result can be generalized to the different-order case as follows:

Fig. 2. Dependence of the power insertion loss at the center frequency f , L(f ) (dB) on the quotient f =f and the orders N and N .

As can be proven, this expression turns out to be the same when the indices and are interchanged. From (9), it is also demonstrated that the overall power transmission loss is minimized by selecting and as the cutoff frequencies for the high- and low-pass filtering sections instead of the opposite. Some curves corresponding to dB as a function of the quotient for different values of the orders and are shown in Fig. 2. As can be seen, smaller insertion loss values are obtained as the quotient is decreased. Furthermore, in ultra-wideband situations, this loss is reduced as higher values for the orders and are chosen. Thus, as a conclusion from a theoretical point-of-view, the insertion-loss performance will be less critical in the synthesis of bandpass filtering profiles with a large bandwidth and a high selectivity. B. Relative Bandwidth Specification The relative bandwidth specification lowing condition is fulfilled:

is assured if the fol-

(8) Note that for the benefit of the synthesis of ultra-wideband responses, (6) and (8) become more exact as the quotient gets smaller. The power insertion loss of the overall bandpass filter at the center frequency , dB has also been researched. The analytical equation representing dB is given by

(10) and are defined in (4). where Here, the following relationship satisfied by the frequency values and can be demonstrated:

dB

(11) (9)

The proof of (11) is directly obtained by substituting (4) in the first inequality of the above expression.

3754

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Thus, by applying the property provided in (8) on (11), the following is derived:

(12) The previous result allows the relative bandwidth specification condition given in (10) to be simplified as follows:

K

Fig. 3. Dependence of the minimum -dB relative bandwidth and and the design parameter . orders

N

(13) where . Furthermore, note that an optimum out-of-band rejection performance for fixed values of the orders and is imposed in (13) by allowing a maximum in-band power transmission attenuation variation equal to dB. Using the relationship between the cutoff frequencies and provided in (6), the above expression can be rewritten as follows as a second-order polynomial equation for the variable :

N

k

1

on the

The condition , is fulfilled if the discriminant of the quadratic equation (14) is forced to be nonnegative, i.e., . For the same-order case, this inequality can easily be solved. Thus, if the equality is taken in the solution and (4) is used, the following expression on the minimum -dB relative bandwidth that can be achieved for fixed values of the design parameters and is derived:

(16) The above equation imposes a lower limit on the orders of the high- and low-pass filtering sections allowing the specification to be satisfied as follows: (17)

(14) By solving this equation, the following is obtained:

For the different-order case, it is generally not possible to obtain an analytical formula for as a function of the variables , , and . The dependence of the minimum -dB relative bandwidth on the design parameters , , and is shown in Fig. 3. The curves corresponding to the different-order case have been obtained numerically. As can be seen, for fixed values of the parameters and , a lower value is obtained when a higher value for the order is chosen. Note also that although only the case is analyzed in Fig. 3, the same trend in the behavior of the parameter is verified as higher values are selected for . Finally, the distinction between the specification and the parameter defined as follows must be commented on: (18)

(15) where

and

must be positive real numbers.

, are the frequency values at where which the relative power transmission attenuation of the overall bandpass filtering response normalized to the in-band power transmission maximum is equal to dB.

GÓMEZ-GARCÍA AND ALONSO: SYSTEMATIC METHOD FOR EXACT SYNTHESIS OF ULTRA-WIDEBAND FILTERING RESPONSES

From the previous theoretical analysis, the following results are deduced. • For ,

3755

C. Out-of-Band Rejection Specification The out-of-band rejection specification is achieved if the following condition is met:

(19) Thus, . Specifically, the following is derived from (8) and (18):

(20)

(25) Here, the following result can be demonstrated:

(21)

• For

, (26) (22)

Therefore,

. In particular,

The proof of (26) is the same as that of (12) by substituting and , as defined in (25), in place of , , respectively. Thus, the out-of-band rejection specification condition given in (25) can be simplified as (27)

In conclusion,

is always satisfied.

(23)

is defined in (28), shown at the bottom of this where page, for the Butterworth case.

(24)

III. THEORETICAL ANALYSIS USING CHEBYSHEV-TYPE HIGH- AND LOW-PASS SECTIONS When Chebyshev-type transfer functions are used, the expressions for the power transmission responses of the high- and

dB

(28)

3756

low-pass filtering sections referenced to a and are as follows:

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

impedance value

(29) where and are the cutoff frequencies of the high- and low-pass sections at and dB ripple, respectively, and and are the corresponding orders. Thus, the power transmission response of the overall filter consisting of the ideal isolated cascade connection of the high- and low-pass filtering sections (Fig. 1) is

Note also from (34) that by limiting the maximum in-band attenuation variation to the specified dB, the out-of-band rejection is maximized for fixed values of the orders and . Finally, the following results satisfied for the Chebyshev case differing from those of the Butterworth case must be commented on. • The power transmission of the overall bandpass filtering response is, in general, not maximum at the center frequency , as defined in (3). Furthermore, as deduced from (33) and (34), the power transmission loss at is no higher than dB. • There is no lower limit on a minimum -dB relative bandwidth that can be achieved for fixed values of the design parameters , , and . This is a direct consequence of (32) and (34) from which the following is derived: (35) and denote the -dB where cutoff frequencies of the overall bandpass filtering response normalized to the in-band power transmission maximum. Therefore, the condition is always satisfied, the parameter being defined in (18). B. Out-of-Band Rejection Specification

(30) The theoretical analysis developed in Section II is now carried out for the Chebyshev case. The results are presented below.

The out-of-band rejection specification can be expressed as

(36)

A. In-Band Attenuation Specification The in-band attenuation specification is assured by fulfilling the following condition:

and are defined in (25). where This requirement is assured by fulfilling the following: (37)

(31) In the Chebyshev case, both the cutoff frequencies and and the ripple factors and are design variables to achieve these passband requirements. Specifically, for convenience, the cutoff frequencies and are particularized here as follows:

where and are provided in (39) and (40), respectively. Note that to achieve (36) in any situation, the most critical case has been considered in these definitions of the out-of-band rejection levels. This has been done by setting the values and to one and normalizing the attenuation levels just to dB, as a result of

(32) From the above equation and (29), the following relationship is then derived:

(38) Specifically, from the following:

(33)

dB

Hence, the in-band requirements are assured by imposing (32) on the cutoff frequencies and and the following equation on the ripple factors and : (39) (34)

GÓMEZ-GARCÍA AND ALONSO: SYSTEMATIC METHOD FOR EXACT SYNTHESIS OF ULTRA-WIDEBAND FILTERING RESPONSES

3757

dB

(40) the following bounds hold: dB dB

(41) (42)

where and are the exact rejection levels of the and , as Chebyshev-type composite bandpass filter at detailed in (43) as follows: dB (43) Furthermore, in order to minimize the addition of the filter orders , allowing the out-of-band rejection specification to be met, the optimum ripple factors and must be used. These ripple factors are those simultaneously maximizing the rejection levels and for fixed and values as follows: Fig. 4. Flowchart of the proposed synthesis method for the Butterworth case.

(44) Analytical formulas for and can be derived from solving (44). Taking into account (39) and (40), the above equation means that the following relationship is satisfied:

Chebyshev cases are developed here. These synthesis procedures, based on iterative algorithms, are optimum in the sense of providing the values for the high- and low-pass filter orders and simultaneously allowing the initial specifications to be satisfied and minimizing .

(45) or equivalently,

(46)

Thus, from the system of equations made up of (34) and (36), the following is obtained:

(47) (48) IV. SYSTEMATIC SYNTHESIS METHODS USING HIGH- AND LOW-PASS SECTIONS From the previous theoretical analysis, systematic methods for synthesizing ultra-wideband bandpass filtering responses by using high- and low-pass sections for both the Butterworth and

A. Butterworth Case The flowchart of the proposed synthesis method for the Butterworth case is detailed in Fig. 4. It corresponds to the following step-by-step algorithm. 1) Initialization: , (16), (17). 2) Computation of the high- and low-pass cutoff frequencies , (13)–(15). and the 3) Computation of the out-of-band frequency (28). rejection level at this frequency, 4) Checking of : • If true, termination of the algorithm. • If false, checking of : — If true, update of the high- and low-pass filter orders: , . — If false, update of the high- and low-pass filter orders: , . Return to 2) (beginning of the th iteration). The robustness of the above synthesis algorithm in the sense of computing positive real-valued cutoff frequencies for all the iterations must be highlighted. From the results provided in

3758

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I INITIAL SPECIFICATIONS OF THE ULTRA-WIDEBAND BANDPASS FILTERING RESPONSE TO BE SYNTHESIZED

TABLE II DESIGN PARAMETERS OF THE SYNTHESIZED ULTRA-WIDEBAND BANDPASS FILTERING RESPONSES USING HIGH- AND LOW-PASS SECTIONS

Note that through the evaluation of the following condition: Fig. 5. Flowchart of the proposed synthesis method for the Chebyshev case.

Section II-B and the definition of this synthesis algorithm, the following is satisfied for its th iteration:

(49) Hence, the condition

and

is always fulfilled.

B. Chebyshev Case The flowchart of the proposed synthesis method for the Chebyshev case is shown in Fig. 5. The detailed step-by-step algorithm is described as follows. 1) Initialization: , and , , as detailed in (32) remaining constant for the entire algorithm. 2) Computation of the high- and low-pass optimum ripple factors , (46)–(48). 3) Computation of the rejection level (39), (40), (45). 4) Checking of : • If true, termination of the algorithm. • If false, checking of (50): — If true, update of the high- and low-pass filter orders: , . — If false, update of the high- and low-pass filter orders: , . Return to 2) (beginning of the th iteration).

(50) and to be updated so that the order parameter between the smallest increment in the rejection level is produced for the next iteration is found. Thus, the derivation at the end of the algorithm of the overall filtering response closest to the attenuation mask and, hence, corresponding to the minimum-order high- and low-pass sections (in the sense of minimizing ) is assured. V. EXPERIMENTAL RESULTS The systematic synthesis methods described in Section IV are validated here with the design of a specific ultra-wideband bandpass filtering response. A circuit prototype is also constructed in hybrid technology and characterized for proving the experimental usefulness of the ultra-wideband bandpass filter topology based on high- and low-pass sections. A. Design Example The initial specifications of the ultra-wideband bandpass filtering response to be synthesized are listed in Table I. The final values for the design parameters obtained from applying the proposed synthesis methods are detailed in Table II. Here, a total of 28 and 13 iterations of the algorithms have been needed for the Butterworth and Chebyshev cases, respectively. These algorithms have been programmed in MATLAB.

GÓMEZ-GARCÍA AND ALONSO: SYSTEMATIC METHOD FOR EXACT SYNTHESIS OF ULTRA-WIDEBAND FILTERING RESPONSES

Fig. 6. Normalized power transmission and group-delay curves of the synthesized ultra-wideband bandpass filtering response using Butterworth-type highand low-pass sections. The attenuation mask and the curves corresponding to the synthesized optimum conventional Butterworth-type ultra-wideband bandpass filtering response are also shown. (a) Normalized power transmission response: wideband response. (b) Normalized power transmission response: passband detail. (c) Group delay: passband detail.

Their CPU computation times for this synthesis example, measured using an Intel Pentium IV 2-GHz computer with 512 MB of RAM running on Windows, were lower than 10 ms. The synthesized ultra-wideband bandpass filtering responses using Butterworth- and Chebyshev-type high- and low-pass sections are detailed in Figs. 6 and 7. Specifically, the normalized power transmission and group-delay curves are drawn. The curves corresponding to the synthesized optimum conventional ultra-wideband bandpass filtering responses satisfying the intended specifications have also been plotted for comparison. The values for the design parameters of these responses are provided in Table III, and have been computed as detailed in

3759

Fig. 7. Normalized power transmission and group-delay curves of the synthesized ultra-wideband bandpass filtering response using Chebyshev-type highand low-pass sections. The attenuation mask and the curves corresponding to the synthesized optimum conventional Chebyshev-type ultra-wideband bandpass filtering response are also shown. (a) Normalized power transmission response: wideband response. (b) Normalized power transmission response: passband detail. (c) Group delay: passband detail.

the Appendix. The group-delay curves have been represented from their analytical expressions derived from the formulas of the complex rational transfer functions for the Butterworth and Chebyshev filters with appropriate frequency transformations [24]. Note for both the Butterworth and Chebyshev cases, the increase in the number of elements in the ideal lumped-element network associated to the filtering response with high- and low-pass sections compared to that corresponding to the optimum conventional bandpass response . An advantage in terms of phase distortion of the high-pass low-pass solution is the achievement of an in-band group-delay maximum variation lower than that of the optimum conventional bandpass one.

3760

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE III DESIGN PARAMETERS OF THE SYNTHESIZED OPTIMUM CONVENTIONAL ULTRA-WIDEBAND BANDPASS FILTERING RESPONSES

TABLE IV PERFORMANCES OF THE SYNTHESIZED ULTRA-WIDEBAND BANDPASS FILTERING RESPONSES (BUTTERWORTH)

Fig. 8. Circuit diagram of the microstrip high- and low-pass sections. (a) Highpass section. (b) Low-pass section.

TABLE V PERFORMANCES OF THE SYNTHESIZED ULTRA-WIDEBAND BANDPASS FILTERING RESPONSES (CHEBYSHEV)

The main performances of the synthesized ultra-wideband bandpass filtering responses are summarized in Tables IV and V. B. Construction and Characterization of a Filter Prototype The design, construction in hybrid technology, and characterization of an ultra-wideband bandpass filter prototype based on high- and low-pass sections referenced to a 50- impedance is described below. Specifically, the bandpass filtering response to be implemented through this prototype is that synthesized for the Chebyshev case in the previous design example.

With regard to this, the following design issues are highlighted. • The high-pass section is made through the optimum distributed microwave high-pass filter structure reported in [25] shaped by the cascade of shunt short-circuited stubs with the same electrical length by means of connecting lines with an electrical length double that of the stubs [see Fig. 8(a)]. The synthesis process of this type of high-pass filter topology is described in [26] and [27]. • The low-pass section is designed as a classic steppedimpedance microwave low-pass filter structure [28] based on the cascade connection of high-impedance (inductive) and low-impedance (capacitive) stages [see Fig. 8(b)]. • The isolation stage is implemented by using an amplifier section with very high reverse isolation and -type resistive attenuators matched to 50 connected to both the input and output ports. Thus, power transmission gain in the desired overall filter passband is also provided. Taking into account the above considerations, the filter has been designed as follows. 1) Computation of the normalized low-pass prototype element values corresponding to the synthesized high- and low-pass filtering sections from the values obtained in Section V-A for the design variables , , , , , and , respectively [29]. 2) Ideal design of the high- and low-pass sections in the selected microwave filter topologies [26]–[28]. 3) Simulation of the overall filter in the desired technology by making use of computer-aided design (CAD) programs. 4) Inclusion of parasitic effects such as the inductive-resistive behavior of the connection to ground of the shunt shortcircuited stubs in the high-pass section. 5) Optimization of the overall filter. A photograph of the constructed filter prototype is shown in Fig. 9. The parameters of the selected Cu-clad microstrip substrate are a relative dielectric constant , dielectric thickness m, and metal thickness m. The dimen-

GÓMEZ-GARCÍA AND ALONSO: SYSTEMATIC METHOD FOR EXACT SYNTHESIS OF ULTRA-WIDEBAND FILTERING RESPONSES

3761

Fig. 9. Constructed filter prototype.

TABLE VI DIMENSIONS OF THE MICROSTRIP HIGH-PASS SECTION

TABLE VII DIMENSIONS OF THE MICROSTRIP LOW-PASS SECTION

sions of the transmission-line elements making up the high- and low-pass filtering sections are listed in Tables VI and VII, respectively, and have been computed by means of the line calculator LineCalc. The amplifier chip NBB-300 GaAs monolithic microwave integrated circuit (MMIC) of RF Micro-Devices, Greensboro, NC, has been used as the active element of the isolation stage.2 Furthermore, in the design of the high-impedance line segments of both the high- and low-pass sections, the limit on the minimum line width achievable through the circuit manufacturing process m has been considered. The simulated and measured power reflection and transmission responses of the single constructed high- and low-pass sections are detailed in Fig. 10(a) and (b), respectively. The results corresponding to the single active isolation stage are shown in 2[Online].

Available: http://www.rfmd.com/DataBooks/db97/NBB-300.pdf

Fig. 10. Simulated and measured power reflection and transmission responses of the single constructed high- and low-pass sections. (a) High-pass section. (b) Low-pass section.

Fig. 11. The simulations have been carried out using the commercial program HP-EEsof Libra. The measurements have been obtained by making use of an HP-8510C network analyzer. The simulated and measured power reflection and transmission responses of the overall filter prototype are compared in Fig. 12. The results corresponding to the group delay are also provided. The main performances of the measured filter passband are a center frequency of 4.05 GHz and a 3-dB relative bandwidth equal to 52.7%. The maximum power transmission gain is 2.17 dB and the in-band input power matching is greater than 14.98 dB. The power rejection levels measured at 2.25 and 6.5 GHz are 31.04 and 24.46 dB, respectively. The maximum variation of the measured group delay within the 3-dB filter passband is 0.7 ns. The constructed filter has also been characterized in terms of linearity and noise performances. Specifically, a 1-dB compression point of 8.8 dBm and a third-order intermodulation product level equal to 22.9 dBm referred at the output have been measured at 4.05 GHz. The third-order mixing product has been generated from two tones 500 kHz apart within the filter passband, with equal power levels and frequencies selected so that the third-order product is located at 4.05 GHz. The measured

3762

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 11. Simulated and measured power reflection, transmission, and reversetransmission responses of the single constructed active isolation stage.

Fig. 13. Simulated and measured power transmission responses of the constructed filter prototype for a very broad frequency range.

result of the limited operation frequency range of the amplifier chip embodied in the active isolation stage. VI. CONCLUSIONS

Fig. 12. Characterization of the constructed filter prototype. (a) Simulated and measured power reflection and transmission responses. (b) Simulated and measured group delay.

overall noise figure at this frequency is 9.6 dB, their main contributors being the amplifier chip (5.1-dB noise figure) and the -type resistive attenuator (4-dB attenuation factor) inserted at the input of the active isolation stage. Finally, the simulated and measured power transmission responses of the constructed filter prototype are drawn for a very broad frequency range in Fig. 13. Here, the suppression of the spurious band (35.25 dB) caused by the joint action of the highand low-pass filtering sections must be highlighted. This is a

A systematic and robust analytical technique to synthesize ultra-wideband bandpass filtering responses by means of the isolated cascade of high- and low-pass sections has been reported in this paper. The developed synthesis method, based on an iterative algorithm for both the Butterworth and Chebyshev cases, is optimum in the sense of minimizing the addition of the high- and low-pass filter orders allowing the intended specifications to be met. Hence, the derivation of the overall filtering bandpass transfer function closest to the attenuation mask to be fulfilled is assured. The proposed synthesis technique has been successfully verified through the design of a specific ultra-wideband bandpass filtering response with different selectivity requirements for each attenuated band. A filter prototype at 4 GHz has also been constructed in hybrid technology and characterized. The agreement obtained between the measurements and the simulations has been fairly close, thus proving its practical viability. Note the advantages of the aforementioned wideband bandpass filter structure demonstrated through the experimental results: an in-band group delay maximum variation lower than that of the optimum conventional bandpass filter solution and its spurious-free response. Further research work is the extension of this synthesis method to high- and low-pass filtering sections with power transmission zeros such as those of generalized Butterworth and Chebyshev type or elliptic type. APPENDIX The expressions for the Butterworth-type and Chebyshevtype conventional bandpass filtering power transmission responses referenced to an impedance are

(51)

GÓMEZ-GARCÍA AND ALONSO: SYSTEMATIC METHOD FOR EXACT SYNTHESIS OF ULTRA-WIDEBAND FILTERING RESPONSES

where is the center frequency, is the relative bandwidth normalized to at the dB attenuation level, and is the order. The function was defined in (29). Here, analytical equations for these design parameters allowing the initial specifications of the intended bandpass filtering response, as detailed in Section II, to be optimally satisfied are provided.

3763

for the Butterworth and Chebyshev cases expressions of derived from the previous theoretical results are

(57)

A. In-Band Attenuation Specification (58) The in-band attenuation specification is accomplished through the following. • The cutoff frequencies and of the bandpass response at the dB attenuation level must be equal to and , respectively, given in (4). Thus, the system of equations made up of (52) and (53) is obtained as follows:

(52)

where

is the rounded-up integer value of a positive number.

ACKNOWLEDGMENT The authors would like to thank J. Mellado and J. M. Montero, both with the Universidad Politécnica de Madrid, Madrid, Spain, for helping in the manufacturing process of the filter prototype.

(53) REFERENCES From solving (52) and (53) in is derived:

and

, the following

(54)

• A maximum attenuation variation within the frequency interval equal to dB is forced. This implies the following to be satisfied: (55)

B. Out-of-Band Rejection Specification The out-of-band rejection specification is fulfilled by selecting , where is the minimum order value allowing this requirement to be met as follows:

(56)

and are defined in (25). Note that condition (56) where has been obtained from (36) and the frequency transformation detailed in (51) particularized with (54). The analytical

[1] M. Skolnik, G. Andrews, and J. P. Hansen, “Ultrawideband microwave-radar conceptual design,” IEEE Trans. Aerosp. Electron. Syst., vol. 10, no. 10, pp. 25–30, Oct. 1995. [2] G. R. Aiello and G. D. Rogerson, “Ultra-wideband wireless systems,” IEEE Micro, vol. 4, no. 2, pp. 36–47, Jun. 2003. [3] S. B. Cohn, “Parallel-coupled transmission-line-resonator filters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 223–231, Apr. 1958. [4] K. S. Chin, L. Y. Lin, and J. T. Kuo, “New formulas for synthesizing microstrip bandpass filters with relatively wide bandwidths,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 231–233, May 2004. [5] K. S. Chin and J. T. Kuo, “Insertion loss function synthesis of maximally flat parallel-coupled line bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3161–3168, Oct. 2005. [6] J.-T. Kuo and E. Shih, “Wideband bandpass filter design with threeline microstrip structures,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 149, no. 5/6, pp. 243–247, Oct./Dec. 2002. [7] L. H. Hsieh and K. Chang, “Compact, low insertion loss, shape-rejection and wideband microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [8] T.-W. Soong, J.-C. Liu, C.-H. Shie, and C.-Y. Wu, “Modified dual-mode double-ring resonators for wide bandpass filter design,” Proc. Inst. Elect. Eng.—Microw. Antennas Propag., vol. 152, no. 4, pp. 245–250, Aug. 2005. [9] A. Görür and C. Karpuz, “Uniplanar compact bandstop filter,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 3, pp. 114–116, Mar. 2003. [10] R. Gómez-García and J. I. Alonso, “Design of sharp-rejection and low-loss wideband planar filters using signal-interference techniques,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 8, pp. 530–532, Aug. 2005. [11] R. Gomez´ -García, J. I. Alonso, and D. Amor-Martín, “Using the branch-line directional coupler in the design of microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3221–3229, Oct. 2005. [12] “Revision of part 15 of the Commission’s rules regarding ultra-wideband transmission systems,” FCC, Washington, DC, Tech. Rep. ET-Docket 98-153, FCC02-48, Apr. 2002. [13] H. Ishida and K. Araki, “Design and analysis of UWB bandpass filter with ring filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2004, pp. 1307–1310.

3764

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

[14] M. K. Mandal and S. Sanyal, “Compact wideband bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 1, pp. 46–48, Jan. 2006. [15] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [16] J. Gao, L. Zhu, W. Menzel, and F. Bogelsack, “Short-circuited CPW multiple-mode resonator for ultra-wideband (UWB) bandpass filter,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 104–106, Mar. 2006. [17] K. Li, D. Kurita, and T. Matsui, “An ultra-wideband bandpass filter using broadside-coupled microstrip-coplanar waveguide structure,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2005, pp. 675–678. [18] H. Wang, L. Zhu, and W. Menzel, “Ultra-wideband bandpass filter with hybrid microstrip/CPW structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 844–846, Dec. 2005. [19] A. Saito, H. Harada, and A. Nishikata, “Development of bandpass filter for ultra wideband (UWB) communication,” in Proc. IEEE Ultra-Wideband Syst. Technol. Conf., 2003, pp. 76–80. [20] C.-L. Hsu, F.-C. Hsu, and J.-T. Kuo, “Microstrip bandpass filters for ultra-wideband (UWB) wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2005, pp. 679–682. [21] B. Pillans, A. Malczewski, R. Allison, and J. Brank, “6–15 GHz RF MEMS tunable filters,” in IEEE MTT-S Int. Microwave Symp. Dig., Jul. 2005, pp. 919–922. [22] R. Gómez-García, C. Briso-Rodríguez, M. Mahfoudi, and J. I. Alonso, “MMIC tunable transversal bandpass active filter at 9–12 GHz,” in Proc. 11th Eur. Gallium Arsenide and Other Compound Semicond. Applicat. Symp., Oct. 2003, pp. 149–152. [23] R. Gómez-García and J. I. Alonso, “Exact synthesis of bandpass responses using an isolated cascade connection of same order lowpass and highpass filters,” in Proc. IEEE Int. Circuits Syst. Symp., Vancouver, BC, Canada, May 2004, pp. 153–156. [24] J. D. Rhodes, Theory of Electrical Filters. New York: Wiley, 1976. [25] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [26] R. Levy, “A new class of distributed prototype filters with applications to mixed lumped/distributed component design,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1064–1071, Dec. 1970. [27] R. Levy and L. F. Lind, “Synthesis of symmetrical branch-guide directional couplers,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 2, pp. 80–89, Feb. 1968. [28] G. Matthaei et al., Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [29] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998.

Roberto Gómez-García (S’02–M’06) was born in Madrid, Spain, in 1977. He received the Telecommunication Engineer and Ph.D. degrees from the Polytechnic University of Madrid, Madrid, Spain, in 2001 and 2006, respectively. His Telecommunication Engineer thesis concerned the design of microwave channelized active bandpass filters. His doctoral dissertation concerned the analysis, design, and development of high-selective and tunable microwave bandpass filters based on signal-interference techniques. During Fall 2004, he was with the C2S2 Department, XLIM Research Institute (formerly IRCOM), University of Limoges, Limoges, France. Since April 2006, he has been an Associate Professor with the Department of Signal Theory and Communications, University of Alcalá, Alcalá de Henares, Madrid, Spain. His current research interests are the pursuit of new concepts to design both fixed and tunable advanced high-frequency filters. He is a reviewer for Electronic Letters in the field of microwave filters. Dr. Gómez-García is a reviewer for the IEEE MICROWAVE AND WIRELESS LETTERS.

José I. Alonso (M’04) was born in Villacañas (Toledo), Spain. He received the Telecommunication Engineer and Ph.D. degrees from the Polytechnic University of Madrid (UPM), Madrid, Spain, in 1982 and 1989, respectively. From 1982 to 1985 he was a Microwave Design Engineer with Telettra España S.A. (now Alcatel Standard S.A.). In 1985, he joined the Department of Signals, Systems, and Radiocommunications, UPM, where he is currently a Full Professor. He has taught courses in microwave circuit design, electrical networks and filter theory, and test and measurements of microwave circuits and laboratories related to analog and digital communication systems. He has developed his research with the Microwaves and Radar Group in the areas of the analysis and simulation of high-speed/high-frequency integrated circuits and their interconnections, the computed-aided design and measurements of hybrid and GaAs monolithic microwave integrated circuits (MMICs), and their applications in the implementation of mobile, satellite, optical-fiber communication, and adaptive antenna systems. He is also involved in the development of circuits and subsystems and radio planning for the local multipoint distribution system (LMDS) and wireless local-area networks (WLANs).

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3765

Analytical Diagnosis and Tuning of Narrowband Multicoupled Resonator Filters Wei Meng and Ke-Li Wu, Senior Member, IEEE

Abstract—A novel analytical filter diagnosis technique based on the partial fraction expansions of admittance matrix is proposed in this paper. The technique is applicable to computer-aided filter tuning of a general Chebyshev filter. The key issue in the proposed technique is the extension of the realizability conditions to the asynchronously tuned filters for removing the phase-loading effect. Using this analytical diagnosis technique, the inherent dispersion effects of the resonators and coupling elements are compensated by removable stray couplings in the diagnosed filter models. The filter topology that can be handled by this technique is only limited by the availability of the exact filter synthesis technique with which the filter to be diagnosed is designed. Index Terms—Computer-aided tuning, filter diagnosis, filters, filter synthesis.

I. INTRODUCTION ICROWAVE filters incorporating the generalized Chebyshev class of filtering functions have found wide applications in both satellite and terrestrial communication systems. A great deal of effort has been made over the past three decades in analytically synthesizing the filter coupling matrix according to an adequate topology with an optimal cost model. The most recent representative work in this subject would be Cameron’s papers [1], [2]. For a given coupling matrix and filter topology, physical realization of a filter would largely depend on a costly and experience-based tuning. The core task in filter tuning is a diagnosis of the filter coupling status that corresponds to the current filter response. By comparing the designed circuit model and parameters (i.e., coupling matrix) against the diagnosed ones, the tuning direction and magnitude can be easily decided. Note that the diagnosed parameters must have relevance with those of the designed. The computer-aided diagnosis and tuning of coupled resonator filters have been an active topic in the filter society for over ten years. The main driving force to the activities is the continuous demand on reducing the manufacturing cost and development cycle for various filter markets. The existing computer diagnosis techniques are mainly based on nonlinear optimization [3]–[5] in which different optimization strategies and schemes for parameter extraction are explored. In addition, analytical models based on the locations of system zeros and

M

Manuscript received December 19, 2005. The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]). Color versions of Figs. 3–6, 9, 11, and Table V are available online at http:// ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.881623

poles [6]–[8] and artificial knowledge-based techniques [9] have also been investigated. The existing analytical models provide a recursive procedure to determine individual resonant frequencies and, consequently, inter-resonator couplings for highly restricted filter topologies [6], [7]. The existing techniques based on nonlinear optimization and artificial knowledge are of the nature of curve fitting. Due to the discrepancy in dispersion models between the theoretic and practical filters, if the coupled resonator circuit model is used in filter design and diagnosis, people should not be able to find an “exact” match between the extracted/diagnosed filter model and the designed filter model by a way of curve fitting. In this paper, a systematic technique is proposed to approximately diagnose filter parameters based on a given filer topology and the measured scattering parameters . No extra calibrations are needed to determine the loading effect. The filter topology that can be handled by this technique is only limited by the availability of the exact filter synthesis technique with which the filter to be diagnosed is designed. The “approximation” introduced in the technique mainly comes from the forfeiture of the dispersion information and dissipation loss when converting a practical filter response to an ideal circuit model response. This loss of information is due to the imperfectness of the theoretic circuit model and is indispensable in a diagnosis. Being aware of the fact, an accurate determination of current coupling status would be a matter of tradeoff. The validation of the proposed technique is guaranteed by evaluating the poles and residues of the partial fraction expansions of the admittance matrix according to the multicoupled resonator filter network with the extended realizability conditions. Similar to any other diagnosis techniques, the phase loading at the filter ports must be assessed before a correct model can be extracted. In this paper, the newly extended realizability conditions and the basic theory for removing the phase loading and diagnosing a filter response are discussed in Section II. Two examples are demonstrated in Section III showing the validation of the proposed technique. An application of this diagnosis technique of tuning a six-pole circular waveguide dual-mode filter is given in Section IV. Conclusions are then presented in Section V. II. BASIC THEORY The basic idea of the proposed diagnosis technique is very simple. By knowing the filter response in terms of scattering parameters and, consequently, admittance parameters , the partial fraction expansions of the admittance matrix can be determined by finding the poles that are common to all elements of the admittance matrix, and evaluating

0018-9480/$20.00 © 2006 IEEE

3766

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 1. Multicoupled resonator network with phase-loading effect.

all the residues corresponding to every pole. The admittance matrix can now be expressed as

(1) Fig. 2. Inner network with transformers.

where is the complex frequency variable. Theoretically, having obtained the admittance matrix from measured data, the coupling matrix corresponding to the filter response and the filter coupling topology can be recovered by the well-known transversal coupling matrix construction and a series of rotations to the coupling matrix. The resultant coupling matrix would comply with the given filter coupling topology [1], [2]. However, before the measured data is used in the diagnosis process, the phase-loading effect must be removed from the data so that all the system poles will appear on the real frequency axis and at the correct positions. Fig. 1 shows a general two-port multicoupled resonator network with a phase-loading effect. The phase-loading effect refers to the discrepancy of the reference phase measured from an available reference plane at a filter port in measurement and the reference phase at a port of an ideal coupled resonator filter model. The phase loading may comprise several parts, which are: 1) the discrepancy of the reference phase plane between an ideal circuit model and a practical network; 2) the dispersion effect of the input/output coupling element; and 3) an extra length of transmission line introduced in measurement. Therefore, this loading effect must be assessed by the realizability conditions of the multicoupled resonator filter model [10], which is the fundamental model in the synthesis of this class of filters. In [10], the realizability conditions of a synchronously tuned filter states that for an admittance matrix to be expressed by the partial fraction expansions as (1), the following homogeneous conditions are necessary and sufficient:

It can be shown that the realizability conditions can be extended to an asynchronously tuned case in which the poles are still simple and purely imaginary. However, the right-hand-side conditions in (2a) and (2b) are no longer zero, but a small real number. The extended realizability conditions serve a critical role in gauging the phase-loading effect in the proposed diagnosis technique. The admittance parameters of the inner network, as shown in Fig. 2, can be derived as [10] (3a) (3b) is an reciprocal coupling matrix and is where the identity matrix. Since is real and symmetric about its principal diagonal, all of its eigenvalues are real. Thus, it can be decomposed as (4a) where (4b) (4c) is a real orthogonal matrix with rows of orthogonal unit and vectors. Consequently,

(5) (2a)

Relating (3) and (5) yields

(2b)

(6a)

(2c)

(6b)

MENG AND WU: ANALYTICAL DIAGNOSIS AND TUNING OF NARROWBAND MULTICOUPLED RESONATOR FILTERS

3767

By the formula given in Fig. 2, the admittance parameters of a network with transformers can be derived as (7a)

(7b)

Fig. 3. Four-pole circular waveguide dual-mode filter.

Now the conditions given in (2) for synchronously tuned case can be extended to asynchronously tuned case. For condition (2a), the trace of coupling matrix is the sum of its eigenvalues. While, in general, the trace of in the asynchronously tuned case is not zero as follows:

trace

(8a)

in (1) and (7b), the product sum of the eigenvalue Equating and residue in (2b) can be deduced as Fig. 4. Product sum of eigenvalue and residue versus loading phase diagram for the dual-mode filter.

(8b) Note here that the coupling matrix is defined in (4a). Since is an orthogonal matrix, the sum of the residues in (2c) can be deduced as

the coupling matrix corresponding to the current filter response conformed in a certain topology can be recovered. Actually, filter tuning is an iterative process of filter diagnosis. Each of the diagnosis recovers the current filter coupling status of a physical filter structure in a tuning process. By comparing the designed coupling matrix against the diagnosed one, the tuning direction and magnitude can be easily determined.

(8c) In this proposed diagnosis technique, the amount of phase loading is gauged by evaluating the above extended realizability conditions. Having had the phase-loading effect removed, the locations of the poles can be identified along the real frequency axis. According to (1), we can have a set of over sampled linear equations from the measured data in a matrix form as follows:

(9) The residue vector can be solved in the least square sense (10) and residues and can be deterThus far, all poles mined by the above-mentioned equations. By the well-known coupling matrix construction and a series of matrix rotation [1],

III. EXAMPLES OF FILTER DIAGNOSIS As the first example, a fourth-order circular waveguide dualmode filter is simulated using a full-wave electromagnetic (EM) modal analysis program, which has been fully verified by experiments. The filter consists of two circular cavities that are separated by a cross iris. As shown in Fig. 3, a tuning tooth is stuck out at the middle of each cavity; one is at 45 and the other is at 45 . In this modal analysis, a certain surface impedance is considered S/m to emulate a cavity of . The reference planes in the EM simulation are defined at the outer surfaces of the input and the output irises. Before the filter is diagnosed, an appropriate phase loading must be removed from the “measured” -parameters. As discussed in Section II, the value of the product sum of eigenvalue and residue is used as a criteria to determine the phase loading . For this example, the function of versus loading phase is plotted in Fig. 4 from which an appropriate phase-loading angle (rad) can be found. It is worth mentioning that an appropriate phase-loading angle is not very sensitive to the final result. A variation of few degrees will not change the result significantly. In fact, the influence of the phase loading can also be reflected in the responses of the admittance from which the poles’ locations and the residues of the partial fraction expansions are

3768

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 5. Imaginary part of

y

before the phase loading is removed.

Fig. 6. Imaginary part of

y

after the phase loading is removed.

Fig. 7. Responses of the dual model filter: EM simulated and recovered with the diagnosed coupling matrix including stray coupling elements.

Fig. 8. Responses of the dual model filter: EM simulated and recovered with the diagnosed coupling matrix excluding stray coupling elements.

TABLE I DIAGNOSED COUPLING MATRIX OF THE DUAL MODEL FILTER WITH STRAY COUPLINGS

Fig. 9. Six-pole

determined. The plots of the imaginary part of the before and after removing the phase loading are illustrated in Figs. 5 and 6, respectively. Of course, the real part of -parameters also exists due to the finite conductor loss. With the eigenvalues and residues determined, the diagnosed coupling matrix can be determined using the same procedure by which the filter can be synthesized through a series of matrix rotations. The directly diagnosed coupling matrix of the dual-mode filter is listed in Table I, where the center frequency is GHz and the bandwidth is MHz. The filter response from the diagnosed couplings and the original EM simulated response are superposed in Fig. 7. Good correlation can be observed. Nevertheless, by examining Table I, two interesting phenomena that deviate from common sense can be noticed, which are: 1) the existence of weak stray couplings ( and ) and 2) a small difference between the input coupling and output coupling . Being aware that a physical waveguide filter will not behave in the same way as

H -plane waveguide Chebyshev filter.

an ideal coupled resonator filter, all the coupling elements and resonators have dispersion. The dispersion is compensated by those removable stray couplings and the nonideal symmetry of the coupling matrix in the diagnosis. If the stray couplings are removed, as shown in Fig. 8, a reasonably good matching between the “measured” response and the diagnosed response can still be obtained. The second example is a six-pole -plane waveguide Chebyshev filter with waveguide dimensions of 0.75 in 0.375 in. As shown in Fig. 9, six waveguide cavities are separated by seven rectangular irises. The reference planes are defined at the outer surfaces of the input and output irises. Again, the full-wave modal analysis program is used to simulate the filter response with surface conductivity of S/m that is equivalent to the filter cavities with . The similar procedure is applied to the -plane filter. The diagnosed coupling matrix is compared with the original designed coupling matrix in Table II, where GHz and MHz. It can be found that the largest error between

MENG AND WU: ANALYTICAL DIAGNOSIS AND TUNING OF NARROWBAND MULTICOUPLED RESONATOR FILTERS

TABLE II COMPARISON OF THE COUPLING COEFFICIENTS OF THE SIX-POLE -PLANE WAVEGUIDE FILTER

H

3769

TABLE III SYNTHESIZED COUPLING MATRIX FOR SIXTH-ORDER DUAL-MODE FILTER IN FOLDED STRUCTURE

TABLE IV SYNTHESIZED COUPLING MATRIX FOR SIXTH-ORDER DUAL-MODE FILTER IN DUAL-MODE STRUCTURE

Fig. 10. Responses of the six-pole and recovered.

H -plane waveguide filter: EM simulated Fig. 12. Responses of the six-pole circular waveguide dual-mode filter (first trial): EM simulated and recovered.

couplings are removed, is compared with the original EM “measured” response in Fig. 10. Good correlation is observed. IV. EXAMPLES OF FILTER TUNING Fig. 11. Six-pole circular waveguide dual-mode filter.

the designed coupling elements and the diagnosed coupling elements is approximately 8%. The error is mainly caused by the inaccuracy of the pole location due to the presence of the conductor loss and dispersion effects. The most obvious dispersion effect in this example is the deviation in the rolloff slops. Due to the existence of the dispersion, the original diagnosed coupling matrix will come with some stray cross couplings. These unexpected cross couplings create some unwanted transmission zeros. Since there should not be any cross couplings for an -plane waveguide filter, the stray couplings must be discarded. The response of the diagnosed filter, after the stray

Here, a process of diagnosing and tuning a six-pole dualmode filter is demonstrated with the aid of the proposed diagnosis technique. The designed six-order dual-mode filter is physically realized in a circular waveguide and with two transmission zeros. The filter consists of three circular waveguide cavities that are separated by a cross iris and a rectangular iris, as shown in Fig. 11. Three tuning teeth are stuck out at the middle of each cavity, respectively. Due to the polarization of modes in circular waveguide, the orientation of input and output irises are different. The dimensions of input and output waveguide are 0.75 in 0.375 in and the radius of the circular waveguide is 0.535 in. The same full-wave modal analysis program is used and surface conductivity of S/m is introduced to emulate a cavity of .

3770

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE V DIAGNOSED COUPLING MATRIX AFTER EACH TRIAL

Two synthesis steps are necessary to obtain the required coupling matrix for the dual-mode circular waveguide filter. The coupling matrix of a folded structure for the required filter characteristic is synthesized first by a standard technique [1] and is given in Table III. A further rotation is applied on the folded coupling matrix to derive the final coupling matrix, which is listed in Table IV, for the dual-mode realization [11]. According to the elements in the coupling matrix of dual-mode realization, the initial dimensions of the circular waveguide dual-mode filter are determined by following the guideline discussed in [12]. In fact, the design dimensions concerned in the initial design are also those to be adjusted in the tuning process. Applying the proposed diagnosis technique, the responses of the diagnosed filter, after removing the unnecessary stray couplings, are compared with the EM simulated responses of the first trial in Fig. 12. Good correlation shows the correctness of diagnosed coupling matrix. The diagnosed folded coupling matrix is then listed in Table V altogether with the designed folded coupling matrix. By knowing the differences of the designed and diagnosed coupling matrix, the tuning direction and magnitude of physical structures could be decided by employing the space-mapping technique [13]. With indication of the elements being altered by arrows, Table V records the changes of the folded coupling matrix in six tuning iterations. The responses of the recovered coupling matrix are superposed with the EM “measured” response of the last iteration in Fig. 13. The EM responses are also compared with those of the designed filter model in Fig. 14, showing the success of tuning process. By the nature of the proposed diagnosis technique, the diagnosed coupling matrix is an approximation to the designed coupling matrix because of the dispersions. Being aware of very high sensitivity of in-band return loss, a fine tuning using nonlinear optimization can be applied to tune the filter to the desired response. By examining the data in Table V, several points must be addressed here. The discrepancy between the input and output couplings with the targeted values, which has been stated in Section III, has always existed, especially for . By admitting this discrepancy, the tuning process can still be carried on. The

Fig. 13. Responses of the six-pole circular waveguide dual-mode filter (sixth trial): EM simulated and recovered.

Fig. 14. Responses of the six-pole circular waveguide dual-mode filter (sixth trial): EM simulated and designed.

cause of this discrepancy may be due to the dispersion. Another interesting point is that the coupling always exists in the diagnosed coupling matrix and it cannot be eliminated as stray coupling, but as the diagnosed coupling matrix approaches the synthesized one, in which the coupling is zero, this coupling becomes smaller and converges automatically.

MENG AND WU: ANALYTICAL DIAGNOSIS AND TUNING OF NARROWBAND MULTICOUPLED RESONATOR FILTERS

V. CONCLUSIONS An analytical filter diagnosis technique has been proposed and demonstrated through a number of practical filter examples. The technique can systematically extract the coupling matrix for a given general Chebyshev filter topology and measured -parameters without any prior knowledge. By extending the realizability conditions to an asynchronously tuned filter case, the criteria for removing the phase-loading effect has also been developed and verified. Due to the inevitable dispersion in practical filters, as any other filter diagnosis techniques, the proposed diagnosis model is not “exact.” It may generate certain removable weak stray couplings to compensate the dispersion. Nevertheless, the omission of the stray couplings will still lead the diagnosed parameters to a very good starting point to a more specific filter parameter extraction using nonlinear optimization by which the dispersion is absorbed into the coupling coefficients. ACKNOWLEDGMENT The authors would like to thank the encouragement to this research from Dr. G.-C. Liang, Allrizon Tongguang Communications Equipment Company Ltd., Shanghai, China, and Dr. G. McDonald, Andrew (ShenZhen) Ltd., Shenzhen, China. REFERENCES [1] R. J. Cameron, “General coupling matrix synthesis methods for Chebyshev filtering functions,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 433–442, Apr. 1999. [2] ——, “Advanced coupling matrix synthesis techniques for microwave filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 1–10, Jan. 2003. [3] P. Harscher, R. Vahldieck, and S. Amari, “Automated filter tuning using generalized low-pass prototype networks and gradient-based parameter extraction,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2532–2538, Dec. 2001. [4] G. Pepe, F.-J. Gortz, and H. Chaloupka, “Computer-aided tuning and diagnosis of microwave filters using sequential parameter extraction,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 1373–1376. [5] M. Yu and W.-C. Tang, “A fully automated filter tuning robots for wireless basestation diplexers,” presented at the IEEE MTT-S Int. Microwave Symp. Workshop, 2003, presentation. [6] H.-T. Hsu, H.-W. Yao, K. A. Zaki, and A. E. Atia, “Computer-aided diagnosis and tuning of cascaded coupled resonators filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 4, pp. 1137–1145, Apr. 2002. [7] H.-T. Hsu, Z. Zhang, K. A. Zaki, and A. E. Atia, “Parameter extraction for symmetric coupled-resonator filters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2971–2978, Dec. 2002. [8] W. Meng and K.-L. Wu, “An analytical diagnosis of general Chebyshev narrowband coupled resonator filters,” in Proc. Asia–Pacific Microw. Conf., 2005, pp. 2180–2183.

3771

[9] V. Miraftab and R. R. Mansour, “Computer-aided tuning of microwave filters using fuzzy logic,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2781–2788, Dec. 2002. [10] A. E. Atia, A. E. Williams, and R. W. Newcomb, “Narrow-band multiple-coupled cavity synthesis,” IEEE Trans. Circuits Syst., vol. CAS-21, no. 9, pp. 649–655, Sep. 1974. [11] R. J. Cameron and J. D. Rhodes, “Asymmetric realizations for dual-mode bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-29, no. 1, pp. 51–58, Jan. 1981. [12] K.-L. Wu, “An optimal circular-waveguide dual-mode filter without tuning screws,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 3, pp. 271–276, Mar. 1999. [13] J. W. Bandler, R. M. Biernacki, S. H. Chen, R. H. Hemmers, and K. Madsen, “Electromagnetic optimization exploiting aggressive space mapping,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 10, pp. 2874–2882, Oct. 1995. Wei Meng received the B.Eng. degree (with first-class honors) and M.Phil. degree in electronic engineering from The Chinese University of Hong Kong, Hong Kong, in 2003 and 2005, respectively. He is currently a Research Assistant with the Department of Electronic Engineering, The Chinese University of Hong Kong. His research is focused on the modeling and design of passive microwave components, especially on the synthesis and design techniques of microwave filters.

Ke-Li Wu (M’90–SM’96) received the B.S. and M.Eng. degrees from Nanjing University of Science and Technology, Nanjing, China, in 1982 and 1985, respectively, and the Ph.D. degree from Laval University, Quebec, QC, Canada, in 1989. From 1989 to 1993, he was with the Communications Research Laboratory, McMaster University, Montreal, QC, Canada, as a Research Engineer and a Research Group Manager. In March 1993, he joined the Corporate Research and Development Division, Com Dev International, where he was a Principle Member of Technical Staff in charge of developing advanced EM design software for various microwave subsystems for communication satellites and wireless communications. Since October 1999, he has been with the Department of Electronic Engineering, The Chinese University of Hong Kong, Hong Kong, where he is a Professor. He has authored or coauthored numerous publications in the areas of EM modeling and microwave and antenna engineering. He holds one Canadian patent and one U.S. patent. He contributed to Finite Element and Finite Difference Methods in Electromagnetics Scattering (Elsevier, 1990) and Computational Electromagnetics (Elsevier, 1991). His current research interests include all the aspects of numerical methods in electromagnetics, various passive microwave circuits, integrated antenna arrays, low-temperature co-fired ceramic (LTCC) multichip modules (MCMs) for wireless communications, and active RF identification (RFID) systems. Dr. Wu was the recipient of a 1992 URSI Young Scientist Award. He was also the recipient of the 1993 Industry Feedback Award presented by the Telecommunication Research Institute of Ontario, Canada, and the 1998 Com Dev Achievement Award.

3772

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Compact Ultra-Wideband Bandpass Filters Using Composite Microstrip–Coplanar-Waveguide Structure Tsung-Nan Kuo, Shih-Cheng Lin, and Chun Hsiung Chen, Fellow, IEEE

Abstract—Compact ultra-wideband bandpass filters are proposed based on the composite microstrip–coplanar-waveguide (CPW) structure. In this study, the microstrip–CPW transitions and the CPW shorted stubs are adopted as quasi-lumped-circuit elements for realizing a three-pole high-pass filter prototype. By introducing a cross-coupled capacitance between input and output ports of this high-pass filter and suitably designing the transition stretch stubs, a compact three-pole ultra-wideband bandpass filter is implemented with two transmission zeros located close to the passband edges. To further improve the selectivity, two microstrip shorted stubs are added to implement a five-pole ultra-wideband bandpass filter with good out-of-band response. Being developed from the quasi-lumped elements, and not from the transmission lines, the proposed ultra-wideband filters have sizes more compact than those of the published wideband filters. The proposed ultrawideband filters have the merits of compact size, flat group delay, good insertion/return loss, and good selectivity. Agreement between simulated and measured responses of these filters is demonstrated. Index Terms—Bandpass filter, coplanar waveguide (CPW), cross coupling, high-pass filter, microstrip, ultra-wideband (UWB).

I. INTRODUCTION LTRA-WIDEBAND (UWB) radio technology has been getting more and more popular for high-speed wireless connectivity, since the Federal Communications Commission (FCC)’s decision to permit the unlicensed operation band from 3.1 to 10.6 GHz in February 2002 [1]. There are several advantages for UWB radio system, such as transmitting higher data rates, needing lower transmit power, and simplifying the error control coding. In such a system, an UWB filter is one of the key components, which should exhibit a wide bandwidth with low insertion loss over the whole band. In order to meet the FCC limit, good selectivity at both lower and higher frequency ends and flat group-delay response over the whole band are required. The conventional filter synthesis procedure is adequate only for the relatively narrowband filters, and is not suitable for the wideband filters [2]. Basically, a wide bandwidth filter may be implemented by a direct cascade of the low- and high-pass filters. In [3], the wideband coplanar-waveguide (CPW) bandpass filters based on the cascade of CPW low- and high-pass periodic structures were constructed. To save the circuit area, the

U

Manuscript received February 13, 2006. This work was supported by the National Science Council of Taiwan under Grant NSC-94-2752-E-002-001-PAE, Grant NSC-94-2213-E-002-055, and Grant NSC-94-2219-E-002-008. The authors are with the Department of Electrical Engineering and Graduate Institute of Communication Engineering, National Taiwan University, Taipei 106, Taiwan, R.O.C. (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2006.881624

wideband bandpass filters were proposed by combining the lowand high-pass filters together [4]. These wideband filters have good suppression on out-of-band response, however, they have the drawback of large circuit size or imperfect group delay over the passband. To meet the UWB filter specification, several studies to increase the number of sections have been reported. In [5], the high-pass filter consisting of a cascade of shunt short-circuited stubs was adopted for the UWB filter design. In [6], the UWB filter was constructed by a cascade of various ring filters. These UWB filters have sharp rejection, but their spurious response would degrade the out-of-band response and an increase in number of sections may lead to large insertion loss, as well as poor group delay. Another way to realize wideband bandpass filters is to use the parallel-coupled lines [7], [8]. In [9], the parallel-coupled microstrip line with defected ground was employed to give a tight coupling for a wideband bandpass filter. However, the more the fractional bandwidth is required, the smaller the gap size is demanded to enhance the coupling. In [10], by adding a third line in the parallel coupled line design, the restriction on gap size may be relaxed for a specific coupling, but for UWB filter design, the necessary gap size is still too narrow to be fabricated. Recently, broadside-coupled structures have received great attention due to the merit associated with the electromagnetic coupling. The CPW-to-CPW transitions were first proposed in [11], accompanied by the development of CPW-to-microstrip transitions [12] and microstrip-to-CPW transitions [13]. In [14], the UWB filter with a multiple-mode resonator was proposed using the microstrip-to-CPW transitions as inverter circuits. Recently, a UWB filter was proposed using the microstrip-to-CPW transitions to realize the broadside-coupled structure and then cascading three sections to give good selectivity [15]. In this paper, compact UWB bandpass filters are developed by adopting the high-pass filter prototype and transition stretch stubs to create the lower and upper stopbands, respectively. Specifically, a composite microstrip–CPW structure is proposed to realize a lumped-element high-pass filter prototype, which is essential in developing the UWB bandpass filters. First, by using the microstrip-to-CPW transition structures to implement two series lumped capacitors, using a CPW shorted stub structure to implement the shunt inductor, and also introducing a suitable cross-coupled capacitor between the input and output ports, a very wideband three-pole bandpass filter is developed from the corresponding three-pole high-pass filter prototype. Second, by suitably modifying the shape of

0018-9480/$20.00 © 2006 IEEE

KUO et al.: COMPACT UWB BANDPASS FILTERS USING COMPOSITE MICROSTRIP–CPW STRUCTURE

3773

Fig. 1. Circuit diagram of the three-pole high-pass filter prototype.

microstrip-to-CPW transition to create the microstrip stretch stub for adjusting the upper transmission zero, a three-pole UWB bandpass filter is fabricated with two transmission zeros properly located. Finally, to give more sharp rejection around the upper stopband, two microstrip shorted stubs are incorporated into the three-pole UWB bandpass filter so that a five-pole UWB bandpass filter is implemented. Being that the quasi-lumped elements in the filter design is adopted, the proposed UWB filters exhibit the slow wave behavior and have sizes more compact than those of published wideband filters. II. HIGH-PASS-BASED BANDPASS FILTER Fig. 1 shows the lumped-element three-pole high-pass filter prototype that will be adopted to develop a very wideband bandpass filter. Here, the series capacitances and shunt inductance are selected to decide the cutoff frequency of the highpass filter, and the cross-coupled capacitance is introduced to create a transmission zero at the passband edge so that the filter selectivity at the lower stopband may be improved. Fig. 2(a) shows the circuit simulated frequency response of this threepole high-pass filter prototype for which the value of cross-coupled capacitance is adjusted to control the location of the created transmission zero. The even- and odd-mode analysis technique can be used to discuss the transmission zero [16]. By symmetry of the circuit model in Fig. 1, the even- and odd-mode input impedances ( and ) are expressed as (1) (2) By superposition, the transfer function

is written as (3)

where is the impedance of the input port. A transmission zero is created when the transfer function is equal to zero, i.e., (4) By using (4), the location of transmission zero may be predicted. Fig. 2(b) shows the curve to relate the transmission-zero fre-

Fig. 2. (a) Circuit simulated results of the three-pole high-pass filter prototype (Fig. 1) for various values of the cross-coupled capacitance C . (b) Curve to relate the transmission-zero frequency to the value of the capacitance C . (C = 0:858 pF, L = 1:5 nH).

quency to the value of cross-coupled capacitance under the case of pF and nH. As the value of increases, the transmission zero will move toward the higher frequency. To realize the circuit model in Fig. 1, the series capacitors are implemented by the microstrip-to-CPW transitions, and the shunt inductor is implemented by the CPW shorted stub connected to the ground. The cross-coupled capacitor is formed between the adjacent microstrip parts of the two capacitors . Fig. 3(a) and (b) shows the three-dimensional and top-/bottomlayer circuit layouts of the proposed filter, respectively, which is developed from the high-pass filter prototype shown in Fig. 1. The full-wave simulated results of Fig. 3 are shown in Fig. 4, which are calculated by the ADS Momentum simulator and simulated on the substrate with , , and mm. Although the circuit layout (Fig. 3) is thickness developed from the high-pass filter prototype (Fig. 1), the implemented structure (Fig. 3) actually behaves like a wideband bandpass filter for which a stopband starts to appear at 17 GHz. Basically, this implemented filter has a very wideband with a 3-dB fractional bandwidth of 134% from 3.07 to 15.7 GHz and two transmission zeros are found at 1.88 and 16.81 GHz. Note that the first transmission zero is created by the cross-coupled and the second transmission zero is generated capacitance by the resonance associated with the microstrip parts of the caand the CPW shorted stub. The filter has a minpacitors

3774

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 5. Top-/bottom-layer circuit layouts of proposed three-pole UWB bandpass filter. (w = 0:89 mm, w = 3:81 mm, w = 5:59 mm, w = 0:635 mm, w = 0:38 mm, w = 1:52 mm, w = 5:21 mm, d = 0:38 mm, d = 0:5 mm, d = 0:635 mm, and d = 0:28 mm).

imum insertion loss of 0.1 dB, and the return loss is greater than 6.8 dB within the passband. Moreover, this filter has a compact dimension of 4.7 mm 6.73 mm, which is approximately , and is the guided wavelength of the miGHz. In adcrostrip structure at the center frequency dition, this wideband bandpass filter exhibits a flat group-delay response below 0.35 ns over the whole passband. III. THREE-POLE UWB BANDPASS FILTER

Fig. 3. (a) Three-dimensional circuit layout of proposed wideband filter. (b) Top-/bottom-layer circuit layouts to show the relative location between top microstrip and bottom CPW layers of proposed wideband filter.

Fig. 4. Simulated results of the proposed filter in Fig. 3.

In Section II, a very wideband bandpass filter is constructed from the three-pole high-pass filter prototype. In order to develop a filter meeting the UWB standard, the high-frequency response needs to decay early. To this end, the microstrip-to-CPW transition structure in Fig. 3 is modified such that part of the microstrip segment is extended over the ground region of microstrip to form a transition stretch stub of length , as shown in Fig. 5. By this modification, a three-pole UWB bandpass filter (shown in Fig. 5) is developed. For the proposed three-pole UWB bandpass filter with the circuit layout shown in Fig. 5, the microstrip-to-CPW transiand the CPW tions are used to realize the series capacitances shorted stub connected to ground is used to realize the shunt inductance . The adjacent microstrip parts between two transitions are used to implement the cross-coupled capacitance . Note that the adjacent microstrip portions of two transitions have parts of microstrip segments, the transition stretch stubs, extending over the ground. These stretch stubs are used to generate the transmission zero at the upper passband edge so that the selectivity of the UWB bandpass filter around the upper stopband may be improved. Fig. 6(a) illustrates the effect of adjusting the length of the transition stretch stub covering the ground. It shows that an additional transmission zero will be observed at the higher frequency. Specifically, the upper transmission zero will move to

KUO et al.: COMPACT UWB BANDPASS FILTERS USING COMPOSITE MICROSTRIP–CPW STRUCTURE

3775

Fig. 7. Measured and simulated results of the proposed three-pole UWB filter (Fig. 5). (a) Scattering parameters. (b) Group delay. Fig. 6. (a) Simulated results of the proposed three-pole UWB filter (Fig. 5) for various values of the stretch stub length w . (b) Curves to relate the transmission-zero frequencies to the values of the stretch stub length w .

the lower frequency as the stretch stub length is increased. Note that an adjustment of the stretch stub length also has a minor effect on the cross-coupled capacitance with the lower transmission zero moving slightly to the high frequency as is increased. The transmission-zero frequency versus the stretch stub length is plotted in Fig. 6(b), where the upper transmission zero decreases almost linearly and the lower transmission zero increases slightly when the length is increased. By designing a three-pole high-pass filter and introducing a suitable stretch stub, a compact three-pole UWB bandpass filter is implemented with two transmission zeros located close to the passband edges. Fig. 7(a) shows the measured and full-wave simulated responses of the three-pole UWB bandpass filter (Fig. 5), which is fabricated on the Rogers RO4003C substrate with , , and thickness mm. The measured center frequency is at 6.8 GHz and the measured 3-dB fractional bandwidth is 109.7% from 3.07 to 10.53 GHz. This implemented filter has a minimum insertion loss of 0.4 dB, and the return loss is greater than 11 dB within the passband. Two transmission zeros are found at 1.919 and 13.07 GHz. The implemented filter with the input and output feeding transmission lines deembedded presents a flat group-delay response below 0.36 ns over the whole passband. Note that the group delay exhibits negative numbers around the frequencies at which the transmission zeros are observed, as shown in Fig. 7(b). Besides, the proposed three-pole UWB bandpass filter has a very

Fig. 8. Circuit diagram of the five-pole high-pass filter prototype.

compact size of 4.7 mm 6.73 mm, which is approximately and where is the guided wavelength of microstrip structure at the center frequency GHz. IV. FIVE-POLE UWB BANDPASS FILTER Although the three-pole UWB bandpass filter (Fig. 5) shows good performance and compact size, it still cannot meet the FCC’s limit due to its poor selectivity at higher frequency. To improve the selectivity and to have more attenuation in the stopband, one may modify the three-pole UWB bandpass filter structure in Fig. 5 to form a new five-pole UWB bandpass filter, which is also developed from a five-pole high-pass filter prototype. Shown in Fig. 8 is the circuit model of a five-pole high-pass filter prototype, as discussed in [2]. By inserting a cross-coupled capacitance between the input and output ports of the five-pole high-pass filter prototype, a transmission zero can be created at the lower stopband, as shown in Fig. 9(a). Due to the

3776

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 10. Top-/bottom-layer circuit layouts of proposed five-pole UWB bandpass filter. (w = 0:89 mm, w = 2:03 mm, w = 4:318 mm, w = 1:143 mm, w = 0:38 mm, w = 2:29 mm, w = 3:81 mm, w = 0:38 mm, = 6:22 mm, w = 2:03 mm, w = 2:03 mm, d = 0:38 mm, w d = 0:635 mm, d = 0:635 mm, and d = 0:28 mm).

Fig. 9. (a) Circuit simulated results of the five-pole high-pass filter prototype (Fig. 8) for various values of the cross-coupled capacitance C . (b) Curve to relate the transmission-zero frequency to the value of the capacitance C . (L = 1 nH, C = 0:768 pF, L = 1:8 nH).

symmetry of the circuit diagram (see Fig. 8), the transmission zero may also be discussed by the even- and odd-mode analysis technique. For the case of nH, pF, and nH, the curve to relate the transmission-zero frequency to the value of the cross-coupled capacitance is plotted in Fig. 9(b). Note that the transmission zero will move toward the higher frequency as the value of increases. Fig. 10 shows the circuit layout of the proposed five-pole UWB bandpass filter. This implemented filter is based on the five-pole high-pass filter prototype in Fig. 8. Again, the series capacitors are implemented by the microstrip-to-CPW transitions and the shunt inductor is implemented by a CPW shorted stub connected to the ground. The cross-coupled capacitance is realized by the adjacent microstrip parts of the two capacitors . The shunt inductors are implemented by the microstrip shorted stubs with metal vias to the ground and the metal via has a diameter of 1 mm. Note that an adjustment of the stretch stub length is essential in controlling the transmission-zero frequency near the upper passband edge. As shown in Fig. 11(a), an additional upper transmission zero would be observed at the higher frequency. When the stretch stub length increases, the upper transmission zero would move to the lower frequency, accompanied by a slight increase of cross-coupled capacitance to make the lower transmission zero slightly move to the high frequency. The curves to depict

Fig. 11. (a) Simulated results of the proposed five-pole UWB filter (Fig. 10) for various values of the stretch stub length w . (b) Curves to relate the transmission-zero frequencies to the values of the stretch stub length w .

the relation between two transmission zeros and the stretch stub length are shown in Fig. 11(b), where the upper transmission zero decreases almost linearly and the lower transmission

KUO et al.: COMPACT UWB BANDPASS FILTERS USING COMPOSITE MICROSTRIP–CPW STRUCTURE

3777

shorted stubs connected to the ground have been implemented and carefully examined. By introducing a cross-coupled capacitance to the high-pass filter prototype and suitably designing the transition stretch stubs, the lower and upper transmission zeros have been generated and properly located. In the five-pole UWB bandpass filter, two microstrip shorted stubs used to realize two shunt inductances would resonate at higher frequency, thereby producing the third transmission zero at this higher frequency. With these transmission zeros, the proposed filters have good selectivity and stopband rejection. In addition, the dimensions of proposed UWB bandpass filters are much less than those of the published UWB filters. The proposed filters with good frequency performance and flat group delay are attractive for UWB radio applications. ACKNOWLEDGMENT The authors would like to thank Y.-S. Lin, National Central University, Chungli, Taiwan, R.O.C., for his helpful discussions. REFERENCES

Fig. 12. Measured and simulated results of the proposed five-pole UWB filter (Fig. 10). (a) Scattering parameters. (b) Group delay.

zero increases slightly with the increasing stretch stub length . After suitably designing the transition stretch stubs to the filter (Fig. 10), a five-pole UWB bandpass filter is proposed. The measured and full-wave simulated responses of the fivepole UWB bandpass filter (Fig. 10) are shown in Fig. 12(a) with the filter again fabricated on the Rogers RO4003C substrate. The measured center frequency is at 6.95 GHz and the measured 3-dB fractional bandwidth is 108.5% from 3.18 to 10.72 GHz. This implemented filter has a minimum loss of 0.48 dB, and the return loss is greater than 17.2 dB within the passband. Three transmission zeros are found at 2.45, 12.11, and 13.63 GHz. The first and second transmission zeros are generated by the cross-coupled capacitance and stretch stubs, respectively. Note that the third transmission zero is produced due to the resonance of the microstrip shorted stubs. With these transmission zeros, the five-order UWB bandpass filter has good selectivity and stopband rejection. Moreover, the implemented filter with the feeding lines deembedded exhibits flat group-delay response below 0.55 ns over the whole passband, as shown in Fig. 12(b). As in the case of the three-pole UWB bandpass filter, the group delay also exhibits the negative numbers around the frequencies of the transmission zeros. Besides, the proposed five-pole UWB bandpass filter has a very compact size of 8 mm 11.9 mm, which is approximately at the center frequency GHz . V. CONCLUSION In this paper, three- and five-pole UWB bandpass filters based on the microstrip-to-CPW transitions and CPW/microstrip

[1] “Revision of part 15 of the Commission’s rules regarding ultra-wideband transmission systems,” FCC, Washington, DC, Tech. Rep, ET-Docket 98-153, FCC02-48, adopted in Feb. 2002. [2] J. S. Hong and M. J. Lancaster, Microstrip Bandpass Filters for RF/Microwave Applications. New York: Wiley, 2001. [3] Y. S. Lin, W. C. Ku, C. H. Wang, and C. H. Chen, “Wideband coplanar-waveguide bandpass filters with good stopband rejection,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 9, pp. 422–424, Sep. 2004. [4] C. L. Hsu, F. C. Hsu, and J. T. Kuo, “Microstrip bandpass filter for ultra-wideband (UWB) wireless communications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 679–682. [5] W. T. Wong, Y. S. Lin, C. H. Wang, and C. H. Chen, “Highly selective microstrip bandpass filters for ultra-wideband (UWB) applications,” in Proc. Asia–Pacific Microw. Conf., Nov. 2005, pp. 2850–2853. [6] H. Ishida and K. Araki, “Design and analysis of UWB bandpass filter with ring filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2004, pp. 1307–1310. [7] W. Menzel, L. Zhu, K. Wu, and F. Bogelsack, “On the design of novel compact broadband planar filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 364–369, Feb. 2003. [8] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [9] L. Zhu, H. Bu, and K. Wu, “Aperture compensation technique for innovative design of ultra-broadband microstrip bandpass filter,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 315–318. [10] J. T. Kuo and E. Shih, “Wideband bandpass filter design with three-line microstrip structures,” in IEEE MTT-S Int. Microw. Symp. Dig., 2001, pp. 1593–1596. [11] R. W. Jackson and D. W. Matolak, “Surface-to-surface transition via electromagnetic coupling of coplanar waveguide,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 11, pp. 1027–1032, Nov. 1987. [12] J. J. Burke and R. W. Jackson, “Surface-to-surface transition via electromagnetic coupling of microstrip and coplanar waveguide,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 3, pp. 519–525, Mar. 1989. [13] L. Zhu and W. Menzel, “Broad-band microstrip-to-CPW transition via frequency-dependent electromagnetic coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 5, pp. 1517–1522, May 2004. [14] H. Wang, L. Zhu, and W. Menzel, “Ultra-wideband bandpass filters with hybrid microstrip/CPW structure,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 12, pp. 844–846, Dec. 2005. [15] K. Li, D. Kurita, and T. Matsui, “An ultra-wideband bandpass filter using broadside-coupled microstrip–coplanar waveguide structure,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 675–678. [16] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998, ch. 8.

3778

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Tsung-Nan Kuo was born in Taoyuan, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrical engineering from National Dong Hwa University, Hualien, Taiwan, R.O.C., in 2003, the M.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 2005, and is currently working toward the Ph.D. degree at National Taiwan University. His research interests include the design and analysis of microwave filter circuits.

Shih-Cheng Lin was born in Taitung, Taiwan, R.O.C., in 1981. He received the B.S. degree in electrical engineering from National Sun Yet-Sen University, Kaohsiung, Taiwan, R.O.C., in 2003, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University, Taipei, Taiwan. His research interests include the design and analysis of microwave filter circuits.

Chun Hsiung Chen (SM’88–F’96) was born in Taipei, Taiwan, R.O.C., on March 7, 1937. He received the B.S.E.E. and Ph.D. degrees in electrical engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 1960 and 1972, respectively, and the M.S.E.E. degree from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1962. In 1963, he joined the Faculty of the Department of Electrical Engineering, National Taiwan University, where he is currently a Professor. From August 1982 to July 1985, he was Chairman of the Department of Electrical Engineering, National Taiwan University. From August 1992 to July 1996, he was the Director of the University Computer Center, National Taiwan University. In 1974, he was a Visiting Scholar with the Department of Electrical Engineering and Computer Sciences, University of California at Berkeley. From August 1986 to July 1987, he was a Visiting Professor with the Department of Electrical Engineering, University of Houston, Houston, TX. In 1989, 1990, and 1994, he visited the Microwave Department, Technical University of Munich, Munich, Germany, the Laboratoire d’Optique Electromagnetique, Faculte des Sciences et Techniques de Saint-Jerome, Universite d’Aix-Marseille III, Marseille, France, and the Department of Electrical Engineering, Michigan State University, East Lansing, respectively. His areas of interest include microwave circuits and computational electromagnetics.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3779

Dual-Band Microstrip Bandpass Filter Using Stepped-Impedance Resonators With New Coupling Schemes Yue Ping Zhang and Mei Sun

Abstract—A microstrip bandpass filter using steppedimpedance resonators is designed in low-temperature co-fired ceramic technology for dual-band applications at 2.4 and 5.2 GHz. New coupling schemes are proposed to replace the normal counterparts. It is found that the new coupling scheme for the interstages can enhance the layout compactness of the bandpass filter; while the new coupling scheme at the input and output can improve the performance of the bandpass filter. To validate the design and analysis, a prototype of the bandpass filter was fabricated and measured. It is shown that the measured and simulated performances are in good agreement. The prototype of the bandpass filter achieved insertion loss of 1.25 and 1.87 dB, 11 of 29 and 40 dB, and bandwidth of 21% and 12.7% at 2.4 and 5.2 GHz, respectively. The bandpass filter is further studied for a single-package solution of dual-band radio transceivers. The bandpass filter is, therefore, integrated into a ceramic ball grid array package. The integration is analyzed with an emphasis on the connection of the bandpass filter to the antenna and to the transceiver die. Index Terms—Dual-band filter, low-temperature co-fired ceramic (LTCC), microstrip, stepped-impedance resonator.

I. INTRODUCTION DUAL-BAND filter is a key component of a radio transceiver in a dual-band wireless communication system. Intuitively, a dual-band filter can be realized with the combination of two single-band filters. However, this approach not only consumes twice the size of a single-band filter, but also requires additional external combining networks [1]. Guo et al. have redesigned the two single-band filters so that one filter has a low-pass and the other a high-pass characteristic, thus one filter is open in the passband of the other, and as a result, there is no need of additional combining networks [2]. Alternatively, the dual-band filter can be realized using resonators that consist of open or short stubs in parallel or in series to create two passbands with three transmission zeros. Quendo et al. first showed that three parallel open stubs are needed [3]; while Lee et al. demonstrated that only two parallel open stubs are enough to behave as a resonator with dual-band properties [4]. Tsai et al. extended from parallel open to series open stubs and also found that filters with short stubs are duals of the ones with open stubs [5]. The parameters of their duals can be easily obtained by using the duality transformations. Recently, more

A

Manuscript received March 22, 2006; revised June 19, 2006. The authors are with the Integrated Systems Research Laboratory, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore 639798 (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2006.882895

Fig. 1. Basic structure of the =2 stepped-impedance resonator.

and more dual-band filters have been realized with steppedimpedance resonators [6]–[8] because of their dual-band behavior, simple structures, and well-established design methodology [9]. In this paper, we also report on a dual-band filter using stepped-impedance resonators with the new coupling schemes. It is found that the new coupling schemes can improve both the layout compactness and performance of the dual-band filter. More importantly, the dual-band filter is further studied for a single-package solution of dual-band radio transceivers [10]. The dual-band filter is, therefore, integrated into a ceramic ball grid array package. The integration is analyzed with an emphasis on the connection of the dual-band filter to the antenna and transceiver die. II. DUAL-BAND MICROSTRIP BANDPASS FILTER USING HALF-WAVELENGTH STEPPED-IMPEDANCE RESONATORS The basic structure of a half-wavelength microstrip stepped-impedance resonator is shown in Fig. 1. It consists of two lines of different characteristic impedance and and of electrical lengths and [9]. For practical application, is often chosen to be equal to . The fundamental resonance occurs at (1) is the ratio of characteristic impedance to and where is the electrical length for the fundamental frequency at . The first spurious resonance occurs at (2) is the electrical length for the first spurious frequency where at . From (1) and (2), we obtain

0018-9480/$20.00 © 2006 IEEE

(3)

3780

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 2. Novel miniaturized dual-band bandpass filter where W = 0:236 mm, W = 0:47 mm, S = 3:6 mm, and S = 0:189 mm, and the whole footprint < 15 13 mm .

Fig. 3. Simulated coupling coefficient as a function of spacing between two resonators.

2

It is clear from (3) that the spurious response can be controlled by the characteristic impedance ratio . In this design, we set and to be 2.4 and 5.2 GHz, respectively. A. Miniaturization Fig. 2 shows the layout of the dual-band bandpass filter using stepped-impedance resonators on a low-temperature three co-fired ceramic (LTCC) substrate of 1.0-mm thickness and with a dielectric constant of 7.8 and a loss tangent of 0.002. It is seen that the basic stepped-impedance resonator structure is configured to a hairpin structure. In the normal layout of the bandpass filter using stepped-impedance resonators of the hairpin structure, only the sections are used for coupling [9]. In this design, both and sections are used for coupling. It is found that this new coupling scheme shifts down the central frequencies of the dual-band bandpass filter because the additional capacitance is introduced through the internal coupling of each resonator. As a result, the layout is more compact. The formulas given in [9] remain accurate for the calculation of and values as long as the internal spacing . However, they obviously become invalid for the calculation of the spacing between resonators. The required spacing between the resonators is related to the coupling coefficient . Fig. 3 shows the simulated coupling coefficient as a function of . It is evident that the coupling coefficient at 2.4 GHz is smaller than that at 5.2 GHz at a given spacing. Therefore, in order to obtain a similar performance at both frequencies, the average coupling coefficient value should be used. From the calculated coupling coefficient determined by the fractional bandwidth of 0.06 and the passband ripple of 0.5 dB, the required spacing is found with the help of Fig. 3. For simplicity, we choose mm for all stages in Fig. 2. Fig. 4 shows the simulated and of the dual-band bandpass filter. It is seen that the required central frequencies, relative bandwidths, and matching are satisfied. However, the insertion loss value is more than 2 dB at the 5.2-GHz

Fig. 4. Simulated frequency responses of the miniaturized dual-band bandpass filter.

band, which is too high for a dual-band radio transceiver. Hence, in Section II-B, we shall focus on the insertion loss enhancement of the dual-band bandpass filter, particularly at the 5.2-GHz band. B. Performance Enhancement There are three major contributors to the insertion loss of the filter. They are the conductive, dielectric, and interstage coupling losses. The conductive loss is due to the finite conductivity of the conducting material, the dielectric loss is due to the nonzero loss tangent of the dielectric substrate, and the coupling loss is due to the power loss associated with the coupling between resonators. Both conductive and dielectric losses are affected by the material properties, which are fixed in the design. The coupling loss, however, is affected by the coupling structure, which is design dependent. Thus, an effective coupling scheme is an important design consideration for filters. Parallel-coupled sections are used in the miniaturized bandpass filter to realize coupling. One can narrow the spacing of the two adjacent parallel-coupled sections to obtain tighter coupling to

ZHANG AND SUN: DUAL-BAND MICROSTRIP BANDPASS FILTER USING STEPPED-IMPEDANCE RESONATORS WITH NEW COUPLING SCHEMES

3781

Fig. 5. Coupling schemes. (a) Novel. (b) Normal. Fig. 7. J -inverter network parameters of the two and three parallel-coupled microstrip lines.

coupled ports of the pair of parallel-coupled microstrip lines can be written as (4) (5)

Fig. 6. Parallel-coupled microstrip lines. (a) Two. (b) Three.

achieve the required coupling strength. However, there is a minimum spacing between two adjacent conductors set by the fabrication technology. With the current LTCC fabrication technology, the minimum spacing is approximately 0.1 mm for mass production. Therefore, in order to achieve tighter coupling with this minimum spacing, we have created a new coupling scheme. Note that the new coupling scheme, as shown in Fig. 5(a), is realized by dividing the input line in the normal coupling scheme, as shown in Fig. 5(b), into two lines of a dual-finger structure. It is known that a line with characteristic impedance can be represented as a parallel connection of two lines with characteristic impedance . Therefore, under condition and equal length, this new coupling scheme is electrically equivalent to the normal coupling scheme from the input to the direct port. However, it introduces tighter coupling from the input to the coupled port and, thus, lower the insertion loss. This is proven as follows using the theory of transmission line. First consider two parallel-coupled microstrip lines shown in Fig. 6(a) for the normal coupling scheme. The equivalent circuit of the parallel-coupled microstrip lines can be expressed as a -inverter susceptance and the two equal electrical lengths with characteristic admittance [8]. The -inverter susceptance is useful for design and optimization using the network-based synthesis technique and is directly proportional to the coupling strength of the pair of parallel-coupled microstrip lines [11]. As the characteristic impedances and phase constants of the even and odd dominant modes of the pair of parallel-coupled microstrip lines can be calculated, the impedance matrix defined for the input and

where each element is purely imaginary, and they can be converted into the admittance matrix with the self-susceptance and mutual susceptance . Under the network equivalence, the two -inverter network elements susceptance and electrical length can be expressed as (6) (7) , , and is an integer. where Now consider three parallel-coupled microstrip lines shown in Fig. 6(b) for the new coupling scheme. Imagine that the middle line of width is divided into two lines of each width . Thus, the three parallel-coupled microstrip lines for the new coupling scheme can be treated as a parallel connection of two pairs of the two parallel-coupled microstrip lines of width . In other words, the admittance matrix of the three parallel-coupled microstrip lines is equal to two times of the admittance matrix of the two parallel-coupled microstrip lines of width . Fig. 7 shows the normalized -susceptance and electrical lengths calculated using the free computer-aided software (CAD) software TXLine for the two and three parallel-coupled microstrip lines of width and mm on the LTCC substrate. As expected, the new coupling scheme represented by the three parallel-coupled microstrip lines generally has a higher coupling strength. For example, the normalized -susceptance is 0.65 for the new coupling scheme and 0.5 for the normal coupling scheme at 5.2 GHz. The higher coupling strength implies that the insertion loss of the dual-band bandpass filter at the 5.2-GHz band can be enhanced with the new coupling scheme. The electrical lengths for the two and three parallel-coupled microstrip lines have linear frequency dependence.

3782

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 9. Novel improved dual-band bandpass filter where W = 0:236 mm, = 0:47 mm, S = 3:6 mm, S = 0:189 mm, S = 0:2 mm, and W the whole footprint < 15 13 mm . (Color version available online at http:// ieeexplore.ieee.org.)

2

Fig. 8. Current distributions: (a) along the normal parallel-coupled lines and (b) along the novel dual-finger lines. (Color version available online at http:// ieeexplore.ieee.org.)

In the above analysis, the discontinuities in the new dualfinger coupling structure are ignored for simplicity. A full-wave electromagnetic (EM) simulation can take the discontinuities into account. Fig. 8 shows the simulated current distributions along the normal and new coupling structures in Sonnet. It is seen that the current on a line is not equally distributed along the width of the line. The maximum current is on the edge of the line and gradually reducing toward the center of the line. Therefore, the coupling strength is mainly affected by the edge current. The edge current in the line of the normal coupling scheme is less than that in the line of the new coupling scheme. This is because the width of the finger lines is smaller than the width of the normal parallel-coupled lines. In this case, the maximum current or the edge current in the parallel-coupled line is 25 A/m, whereas in the finger line it is 34 A/m. Moreover, the edge current at an outer edge of the parallel-coupled line is not effective in coupling. This degrades the coupling efficiency of the normal coupling scheme. On the other hand, in the new coupling scheme, the edge currents on both fingers are effective for coupling. Fig. 9 shows the layout and a photograph of the improved dual-band bandpass filter. Note that the new coupling scheme is only applied to the input/output ports of the filter where the coupling strength is required to be much larger than the other stages of the filter. This is also to avoid an unnecessary additional complexity to the filter structure. Fig. 10 shows the simulated and measured results. It is seen that the measured and simulated performances are in good agreement. It is found the new coupling scheme has improved the insertion loss to less than 2 dB at the 5.2-GHz band. As shown, the insertion losses are 1.25 and 1.87 dB, and return losses are 29 and 40 dB at 2.4 and 5.2 GHz, respectively. The return loss is less than 10 dB in the frequency ranges of 2.2–2.6 and 4.86–5.5 GHz. The 3-dB bandwidths are 0.5 GHz from 2.16 to 2.66 GHz and 0.66 GHz from 4.82 to 5.48 GHz. Therefore, the relative 10-dB bandwidths are 16.7% and 12.3%, and the relative 3-dB bandwidths are 21% and 12.7% at 2.4 and 5.2 GHz, respectively.

Fig. 10. Simulated and measured frequency responses of the improved dualband bandpass filter.

III. INTEGRATION OF THE DUAL-BAND BANDPASS FILTER ON A CERAMIC BALL GRID ARRAY PACKAGE Most dual-band microstrip bandpass filter reported thus far are discrete. They are large for dual-band radio transceivers operating below 6 GHz. Here, we investigate the integration of the dual-band microstrip bandpass filter on a ceramic ball grid array package, which is in line with the single-package solution of dual-band radio transceivers. The integration is analyzed with an emphasis on the connection of the dual-band filter to the external antenna and to the carried dual-band radio transceiver die. Fig. 11 shows the ceramic ball grid array package. It consists of three cofired laminated ceramic layers with a bare chip cavity formed by the middle and bottom layers. There are two buried layers and one top-layer metallization in the construction. The lower buried layer provides the metallization for the signal paths, while the upper buried layer provides the metallization for the cavity ground plane. The filter is realized with top-layer metallization. A radio transceiver die is attached upside down to the cavity ground plane. The input and output terminals of the die are connected to the external solder balls through the bond wires, signal traces, and vias. The bare chip

ZHANG AND SUN: DUAL-BAND MICROSTRIP BANDPASS FILTER USING STEPPED-IMPEDANCE RESONATORS WITH NEW COUPLING SCHEMES

3783

Fig. 12. Connection networks. (a) Zoom-in view of the bond wires, signal traces, vias, and solder balls. (b) Simulated S and S .

Fig. 11. Integrated dual-band bandpass filter. (a) Top view. (b) Explored view. (c) Bottom view.

Fig. 13. Simulated frequency responses of the integrated dual-band bandpass filter where W = 0:236 mm, W = 0:47 mm, S = 3:6 mm, and S = 0:5 mm, and the whole footprint < 15 13 mm .

is shielded from the filter by the cavity ground plane. The filter is linked to the bare chip through vias, signal traces, and bond wires and to the external antenna through vias and solder balls in a ground–signal–ground configuration. The advantage of the integrated filter is quite obvious. It offers the possibility to combine a filter with a radio transceiver die into a standard surface-mounted device. Thus, the system-level board space and the system-level manufacturing can be reduced and facilitated, respectively. Furthermore, the filter has much shorter distance to the RF output of the transceiver than a conventional filter. This implies a smaller transmission loss, which can be translated as an improvement to the filter insertion loss by a few percent.

Based on the concept described above, the dual-band bandpass filter integrated on a thin 48-ball custom-designed ceramic ball grid array package in LTCC has been simulated. The ceramic ball grid array package has dimensions of 16 16 1.4 mm . A piece of silicon wafer 4 4 0.4 mm is loaded to the package cavity to model the dual-band radio transceiver die. The simulation was performed in the HFSS from Ansoft, Pittsburgh, PA. Fig. 12(a) shows the connection of the dual-band filter to the external antenna and to the carried dual-band radio transceiver die using bond wires, signal traces, and vias. The bond wire presents high impedance; it has high inductance and low capacitance. Keeping the length of the

2

3784

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I COMPARISON OF 2.4- AND 5.2-GHz BANDPASS FILTER DESIGNS

bond wire to a minimum is critical to minimize its disruptive effect on the electrical signal. Using the largest diameter wire possible is also important. In this design, we are using 40- m wire. We place a ground wire on each side of the signal wire. This improves the situation by providing a return path in close proximity to the wire and, thus, reducing inductance slightly. The signal trace can provide the best electrical performance of the feeding network. It is primarily a coplanar waveguide. The signal integrity is well preserved. However, there is some level of loss due to dielectric material that is surrounding the conductor. As such, the length of this section does have an effect on the overall electrical behavior, but it is much less damaging than is the bond wire. The via is a transition from the signal trace to the microstrip line through an aperture on the ground plane. The diameter of the aperture has the potential to impact the electrical signal and is 0.7 mm [12]. The electrical performance of the connection networks is shown in Fig. 12(b). As can be seen, is lower than 10 dB and is above 0.6 dB in the frequency range of 2.0–5.6 GHz, which indicates that the connection provides an acceptable electrical performance over the designed frequency range. Fig. 13 shows the final integration results of the dual-band bandpass filter. It is seen that the insertion losses are 0.42 and 0.91 dB, and is 15 and 12 dB at 2.4 and 5.2 GHz, respectively. The 3-dB bandwidths are 0.34 GHz from 2.26 to 2.6 GHz and 0.62 GHz from 5 to 5.62 GHz. Therefore, the relative 3-dB bandwidths are 14.2% and 12% at 2.4 and 5.2 GHz, respectively. The integrated bandpass filter has even better performance than the discrete one. Table I compares the bandpass filter designs at 2.4 and 5.2 GHz in references with this work in terms of insertion loss, shape factor (the ratio between the 20- and 3-dB bandwidths), and volume. It clearly shows that the bandpass filter designed in this study is superior to those reported in references. IV. CONCLUSION A microstrip bandpass filter using stepped-impedance resonators with two new coupling schemes was designed, fabricated, and tested in LTCC technology for dual-band applications at 2.4 and 5.2 GHz. It was shown that the measured and simulated performances are in good agreement. The prototype

of the bandpass filter achieved the insertion loss of 1.25 and 1.87 dB, of 29 and 40 dB, and bandwidth of 21% and 12.7% at 2.4 and 5.2 GHz, respectively. The bandpass filter was further studied for a single-package solution of dual-band radio transceivers. The bandpass filter was, therefore, integrated into a ceramic ball grid array package. The integration was analyzed with an emphasis on the connection of the bandpass filter to the antenna and to the transceiver die. The integrated dual-band bandpass filter showed even better performance. ACKNOWLEDGMENT The authors would like to thank K. M. Chua, Singapore Institute of Manufacturing Technology, Singapore, for his help in fabricating the filter. REFERENCES [1] H. Miyake, S. Kitazawa, T. Ishizaki, T. Yamada, and Y. Nagatomi, “A miniaturized monolithic dual band filter using ceramic lamination technique for dual mode portable telephones,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1997, vol. 2, pp. 789–792. [2] Y. X. Guo, L. C. Ong, M. Y. W. Chia, and B. Luo, “Dual-band bandpass filter in LTCC,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, [CD ROM], 4 pp. [3] C. Quendo, E. Rius, and C. Person, “An original topology of dual-band filter with transmission zeros,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 1093–1096. [4] H. M. Lee, C. M. Tsai, and C. C. Tsai, “Transmission-line filter design with fully controllable second passband,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, [CD ROM], 4 pp. [5] C. M. Tsai, H. M. Lee, and C. C. Tsai, “Planar filter design with fully controllable second passband,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3429–3439, Nov. 2005. [6] A. Apriyana, “Design of stepped impedance resonator bandpass filter for concurrent dual-band radio transceivers,” M.S. thesis, Div. Circuits Syst., School Elect. Electron. Eng., Nanyang Technol. Univ., Singapore, 2003. [7] J. T. Kuo and E. Shih, “Microstrip stepped-impedance resonator bandpass filter with an extended optimal rejection bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 5, pp. 1554–1559, May 2003. [8] S. Sun and L. Zhu, “Coupling dispersion of parallel coupled microstrip lines for dual-band filters with controllable fractional pass bandwidths,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, [CD ROM], 4 pp. [9] M. Makimoto and S. Yamashita, Microwave Resonators and Filters for Wireless Communications-Theory and Design. Berlin, Germany: Springer-Verlag, 2001. [10] M. S. Tong, M. W. Yang, Q. S. Cao, H. S. Kim, Y. L. Lu, Y. C. Chen, and T. G. Chang, “Design and analysis of integrated-circuit package antenna (ICPA) for dual-band wireless transceivers,” Int. J. RF Microw. Comput.-Aided Eng., vol. 16, no. 3, pp. 250–258, May 2006.

ZHANG AND SUN: DUAL-BAND MICROSTRIP BANDPASS FILTER USING STEPPED-IMPEDANCE RESONATORS WITH NEW COUPLING SCHEMES

[11] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filter, Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [12] R. Emigh, “Electrical design for high data rate signals in conventional BT based PBGA substrates using wire bonded interconnection,” in Proc. Electron. Packag. Technol. Conf., Singapore, Dec. 2003, pp. 517–522.

Yue Ping Zhang received the B.E. and M.E. degrees from the Taiyuan Polytechnic Institute and Shanxi Mining Institute, Taiyuan University of Technology, Shanxi, China, in 1982 and 1987, respectively, and the Ph.D. degree from the Chinese University of Hong Kong, Hong Kong, in 1995, all in electronic engineering. From 1982 to 1984, he was with the Shanxi Electronic Industry Bureau. From 1990 to 1992, he was with the University of Liverpool, Liverpool, U.K. From 1996 to 1997, he was with the City University of Hong Kong. He has taught at the Shanxi Mining Institute (1987–1990) and the University of Hong Kong (1997–1998). In 1996, he became a Full Professor with the Taiyuan University of Technology. He is currently an Associate Professor with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. His research interests include propagation of radio waves, characterization of radio channels, miniaturization of antennas, design of radio-frequency integrated circuits (RFICs), and implementation of wireless communications systems. His work has been widely published in the field of radio science and technology across seven IEEE societies. He is listed in Marquis’ Who’s Who, Who’s Who in Science and Engineering, and Cambridge

3785

IBC 2000 Outstanding Scientists of the 21st Century. He serves on the Editorial Board of the International Journal of RF and Microwave Computer-Aided Engineering and was a Guest Editor of the journal for its “Special Issue on RF and Microwave Subsystem Modules for Wireless Communications.” Prof. Zhang serves on the Editorial Boards of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He has delivered scores of invited papers/keynote address at international scientific conferences. He has organized/chaired dozens of technical sessions of international symposia. He was the recipient of the 1990 Sino-British Technical Collaboration Award for his contribution to the advancement of subsurface radio science and technology and the 2000 Best Paper Award presented at the Second International Symposium on Communication Systems, Networks and Digital Signal Processing, Bournemouth, U.K. He was also the recipient of a William Mong Visiting Fellowship presented by the University of Hong Kong in 2005.

Mei Sun was was born in Gansu, China, in 1980. She received the B.E. degree in electrical and information engineering from Hunan University, Hunan, China, in 2000, the M.E. degree in electronic engineering from the Beijing Institute of Technology, Beijing, China, in 2003, and is currently working toward the Ph.D. degree in electrical and electronic engineering at Nanyang Technological University, Singapore. Her research interests include intra- and inter-chip RF wireless communication system simulation and implementation and integrated antenna design for wireless communication.

3786

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Microstrip Elliptic-Function Low-Pass Filters Using Distributed Elements or Slotted Ground Structure Wen-Hua Tu, Student Member, IEEE, and Kai Chang, Fellow, IEEE

Abstract—This paper presents two microstrip elliptic-function low-pass filters, one using distributed elements and one using a slotted ground structure. The one using distributed elements consists of a microstrip line section in parallel with an interdigital capacitor; the other one using a slotted ground structure consists of a low-impedance microstrip line with a slotted ground structure cell under the center of the line. A transmission-line model and a full-wave simulation are used to calculate the inductance/capacitance values of the equivalent circuits. The design concept was validated through experiments showing good agreements with the full-wave simulated results. Index Terms—Elliptic-function filter, interdigital capacitor, lowpass filter, microstrip filter.

I. INTRODUCTION N MANY communication systems, low-pass filters are the key components to suppress the undesired harmonics and spurious signals. The conventional stepped-impedance filters, however, can only provide a gradual cutoff frequency response [1]. In order to achieve a sharp cutoff frequency response, more sections are needed, but more sections will also increase the insertion loss in the passband and the circuit size. Recently, semilumped low-pass filters [2] have been reported with a sharp cutoff frequency response due to the usage of the lumped capacitors. Unfortunately, soldering lumped components not only introduces parasitics, but also makes manufacturing repeatability difficult to maintain. Low-pass filters using coupled lines [3] or stepped-impedance hairpin resonators [4] have finite attenuation poles in the cutoff frequency band. However, because the capacitance of the coupled lines is too small, the finite attenuation pole cannot be located close to the passband. Consequently, the cutoff frequency response is gradual. The low-pass filter [5] with a microstrip line section and an interdigital capacitor has been proposed for sharp rejection, but the analysis is focused on locating the attenuation poles for suppressing the specific harmonics and spurious signals. Little design information is given for desired filter specifications (e.g., passband ripple, rejection level, and equal-ripple stopband starting frequency for ellipticfunction low-pass filters). On the other hand, the low-pass filters using slotted ground structure [6], [7] have been recently reported. However, as mentioned in [7], the filter [6] using open stubs and a high-impedance line occupies a large circuit size.

I

Manuscript received May 3, 2006; revised July 7, 2006. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]; [email protected]). Color versions of Figs. 5, 6(c), 6(d), and 9 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.882896

With a wide microstrip line, the filter in [7] eliminates the need for the open stubs and high-impedance lines, but only Chebyshev low-pass filters have been reported, and no elliptic-function low-pass filters have been studied. In this paper, design methods for microstrip elliptic-function low-pass filters using distributed elements or slotted ground structure are presented. The transmission-line model and fullwave simulation are used to calculate the equivalent L–C values of the microstrip line sections, the interdigital capacitor, and the slotted ground structure. Since no lumped component is used, it is easy to fabricate these planar filters. For the filters using an interdigital capacitor, because the interdigital capacitor can provide a bigger parallel capacitance, the finite attenuation pole can be located closer to the passband, thus achieving a sharper cutoff frequency response. The filter using a slotted ground structure has the advantage of easy synthesis for the desired L–C values. The design concepts of these two filters are all validated through simulations and measurements with good agreement, as discussed in Sections II and III. The comparison between these filters is outlined in Section IV. II. ELLIPTIC-FUNCTION LOW-PASS FILTERS USING DISTRIBUTED ELEMENTS For low-frequency filters, discrete lumped elements are used to realize the required L–C values. However, for high-frequency filters, not only the soldering, but also the size of the lumped elements that are no longer small in comparison with the wavelength can cause parasitic problems. To eliminate the soldering problems, distributed elements are used in microwave/millimeter-wave bands. By using a simple transmission-line model and full-wave simulation, the equivalent L–C values of the distributed elements are calculated within the passbands. Although the desired passband response can be predicted very well, the stopband response is different from that of the discrete ideal lumped-element filter. Therefore, full-wave simulations should be carried out to predict the stopband response. Nevertheless, the required L–C values and the calculated L–C values of the distributed elements still provide useful design information and help the full-wave optimization. A. L–C Values Calculation Fig. 1(a) shows the configuration of the elliptic-function lowpass filter. The filter consists of a microstrip line section in parallel with an interdigital capacitor. Fig. 1(b) shows the equivalent circuit, where is the equivalent inductance of the microstrip line section, is the equivalent series capacitance of the interdigital capacitor, and is the sum of the equivalent

0018-9480/$20.00 © 2006 IEEE

TU AND CHANG: MICROSTRIP ELLIPTIC-FUNCTION LOW-PASS FILTERS USING DISTRIBUTED ELEMENTS OR SLOTTED GROUND STRUCTURE

Fig. 3.

C

and

C

values with different finger numbers. Dash line:

0:2 mm, solid line: G = 0:4 mm.

Fig. 1. Microstrip elliptic-function low-pass filter using distributed elements. (a) Schematic. (b) Equivalent-circuit model.

3787

G

=

case, a full-wave electromagnetic simulator [8] is used to calculate the two-port -parameters of the specific interdigital capacitor, and then the equivalent capacitances and are given by [9], [10] (2a) and (2b)

Fig. 2. Schematic and equivalent-circuit model of the: (a) microstrip line section and (b) interdigital capacitor.

shunt capacitances of the microstrip line section and interdigital capacitor. The transmission-line model is used to calculate the equivalent-circuit elements of the microstrip line section. Since the structure of the interdigital capacitor is complicated, parasitic effects should also be considered using a full-wave simulator together with transmission-line model calculation. Fig. 2(a) shows the schematic and equivalent -network circuit of the microstrip line section. For a lossless transmission line with the electrical length of , the equivalent inductance and capacitance are given by [4] H

(1a)

F

(1b)

and

is the characteristic impedance of the transmission where line section, and is the angular cutoff frequency. Fig. 2(b) shows the schematic and equivalent -network circuit of the interdigital capacitor. In order to take all discontinuities into consideration, assuming a lossless and symmetrical

To gain an insight into the relation between the interdigital capacitor dimensions and capacitance values, many full-wave simulations of different interdigital capacitors were carried out to synthesize the required capacitances. Fig. 3 shows a design figure of and versus finger numbers. The substrate is a 25-mil RT/Duroid 6010.8 substrate with a dielectric constant of 10.8. The dimensions are mm, mm, or mm, and finger number and . It shows that and increase as finger numbers increases, deceases as increases, while increases as increases due to more metal coverage. Since there are many parameters ( , , and ) that can be varied, it is easier to realize the required capacitors and in this filter than in a filter using couple lines [4]. B. Implementation of Elliptic-Function Low-Pass Filters The design procedure of the proposed filter is described here. The prototype elliptic-function low-pass filter element values [9] for , passband ripple dB, and stopband attenuation dB with the equal-ripple stopband starting normalized frequency are , , , and . The required L–C values are calculated by [9] nH

(3a) pF

(3b)

pF

(3c)

3788

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I L–C VALUES FOR THE ELLIPTIC-FUNCTION LOW-PASS FILTER

Fig. 4. Elliptic-function low-pass filter using distributed (a) Schematic. (b) Equivalent-circuit model. (C = C + C ).

elements.

Fig. 5. Simulated and measured results of the low-pass filter using distributed elements.

where the cutoff frequency is GHz, and is the source/ load impedance of 50 . In order to realize the circuit element nH, one can use (1) to calculate and as 72 and 36 , respectively, and consequently, nH and pF. From Fig. 3, when the finger number and mm, it shows that pF, pF, and then pF, which is close to the required L–C values. Table I summarizes the required L–C values calculated by (3) and the approximately calculated L–C values by (1) and (2). Fig. 4 shows the schematic of the low-pass filter with mm, mm, mm, mm, mm, - feed linewidth mm, and - feed line length mm. Fig. 5 shows the simulated and measured results. The full-wave simulation is obtained by IE3D [8], and the results for the required L–C values and the approximately calculated L–C values are obtained by using AWR Microwave Office. From dc to 2 GHz, the return loss is better than 16 dB and the insertion loss is less than 0.51 dB. An attenuation pole is located at 3.1 GHz. The measured stopband attenuation is approximately 10 dB. Measurements agree well with simulations within the passband. There are some minor discrepancies observed due to the fabrication tolerance.

Fig. 6. Two-section low-pass filter. (a) Schematic. (b) Equivalent circuit. (c) Simulated and measured results. (d) Comparison with one-section low-pass filter.

For the stopband response, the full-wave simulation, as expected, agrees better with the measured results when compared to the simulated results of the required L–C values and the approximately calculated L–C values. This is because the equivalent L–C values of the distributed elements are calculated according to the passband characteristics only [11]. In order to increase the stopband rejection, a two-section low-pass filter with different units is designed as shown in Fig. 6(a). The

TU AND CHANG: MICROSTRIP ELLIPTIC-FUNCTION LOW-PASS FILTERS USING DISTRIBUTED ELEMENTS OR SLOTTED GROUND STRUCTURE

3789

TABLE II L–C VALUES FOR THE ELLIPTIC-FUNCTION LOW-PASS FILTER (UNIT: pF AND nH)

prototype elliptic-function low-pass filter element values [9] for , passband ripple dB, and stopband attenuation dB with the equal-ripple stopband starting normalized frequency are , , , , , , and . By (3), one can calculate the equivalent L–C values shown in Fig. 6(b). They are pF, pF, nH, pF, pF, nH, and pF. For pF, by Fig. 3, a four-digit capacitor with mm is chosen for pF and pF. For nH, by (1), a 72- and 59 line is given for nH and pF. For pF, by Fig. 3, an eight-digit capacitor with mm is found for pF and pF. For nH, by (1), a 44- and 72 line is chosen for nH and pF. Table II summaries the required and approximated L–C values. Fig. 6(c) shows the simulated and measured results. The full-wave simulation is obtained by IE3D [8], and the results for the required L–C values and the approximately calculated L–C values are obtained by using AWR Microwave Office. From dc to 2 GHz, the return loss is better than 14 dB and the insertion loss is less than 0.9 dB. The measured stopband attenuation is approximately 15 dB. Simulations agree well with measurements within the passband. There are some minor discrepancies observed due to fabrication tolerances. Fig. 6(d) compares the one- and two-section low-pass filter. The two filters show similar passband response. The two-section filter shows a sharper cutoff frequency response and a deeper stopband rejection. In summary, the design procedure is outlined as follows. 1) Given the filter specifications , use the available table and determine the element values . 2) Using (3), calculate the required L–C values . 3) Using (1), choose the impedance and the length of the microstrip line section. 4) Obtain the full-wave simulation results of several interdigital capacitors using IE3D [8], and calculate the equivalent capacitors and using (2). 5) Choose a proper interdigital capacitor such that the approximately calculated L–C values from Steps 3) and 4) are closest to the required L–C values from Step 2). III. ELLIPTIC-FUNCTION LOW-PASS FILTER USING SLOTTED GROUND STRUCTURE Fig. 7 shows the three-pole elliptic-function low-pass filter using a slotted ground structure. The filter consists of a lowimpedance microstrip line and a dumbbell slotted ground structure located under the center of the line. The equivalent-circuit

Fig. 7. Elliptic-function low-pass filter using slotted ground structure. (a) Schematic (dashed line shows a dumbbell slotted ground structure). (b) Equivalent-circuit model.

Fig. 8. Simulated results of a slotted ground structure. (W = 5 mm, W = 3:5 mm width of thin slot = 0:2 mm, and length of thin slot = 3:5 mm.)

model for the slotted ground structure is a parallel tank [6], [7], where and are determined by the two square apertures and thin slot, respectively. The equivalent-circuit model for the low-impedance line is two shunt capacitors . To obtain the equivalent values of the slotted ground structure, full-wave simulated results are first obtained by using IE3D [8]. Fig. 8 shows the simulated results of the full-wave simulation and the circuit simulation. The circuit simulation is obtained by using AWR Microwave Office. The parameters of the slotted ground structure are mm, mm, width of thin slot mm, and length of thin slot mm. The substrate is a 20-mil RT/Duroid 5880 substrate with a dielectric constant of 2.2. Given the required L–C values, one can calculate the equivalent and by using [7]

(4a)

3790

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

(4b) (4c) where is the angular cutoff frequency of the low-pass filter and is the resonant angular frequency of the slotted ground structure. When the cutoff frequency GHz, and the resonant frequency GHz by observing Fig. 8, pF and nH. As shown in Fig. 8, the circuit’s simulated results using the above circuit element values show a good agreement with the full-wave simulated results. The slotted ground structure is then used to build an ellipticfunction low-pass filter. From the elliptic-function low-pass prototype filter tables, the element values for , passband ripple dB, and stopband attenuation dB with the equal-ripple stopband starting normalized frequency are , , , and . Similar to (3), for the cutoff frequency GHz, the required L–C values can be calculated with nH

(5a) pF

(5b)

pF

(5c)

mm, Therefore, the slotted ground structure with mm, width of thin slot mm, and length of thin slot mm on a 20-mil RT/Duroid 5880 substrate could be readily used. The length of the low-impedance line of mm for can be found from [9] (6) where

is 27.7 for the low-impedance microstrip line and mm at the cutoff frequency of 2 GHz. Hence,

mm. Fig. 9 shows the simulated and measured results for the elliptic-function low-pass filter. The full-wave simulation is obtained with IE3D [8], and the required L–C values simulation is obtained with AWR Microwave Office. The measured results show a good agreement with the full-wave simulated results. There are some minor discrepancies observed due to fabrication tolerances. From dc to 1.8 GHz, the return loss is better than 15 dB and the insertion loss is less than 0.5 dB. There is one attenuation pole located at 6.4 GHz. IV. DISCUSSIONS AND COMPARISONS The above two filters are discussed and compared here. The filter using distributed elements discussed in Section II is referred to as filter #1, and the filter using a slotted ground structure described in Section III is referred to as filter #2 for simplicity. 1) Fabrication: Since filter #2 uses a slotted ground structure, a double-side etching is required. Therefore, an accurate alignment is required and repeatability might be difficult

Fig. 9. Simulated and measured results of low-pass filter using slotted ground structure.

Fig. 10. Schematic of the low-pass filter for comparison. W = 3:5 mm, l = 4:2 mm [refer to Fig. 4(a)], l = 12:8 mm, W = 0:9 mm, W = 0:3 mm, G = 0:2 mm, l = 2:8 mm, and W = 0:9 mm.

to maintain for filter #2. On the other hand, filter #1 only requires a one-side etching and is easier to fabricate. 2) Design method: For filter #1, . Since and are dependent on the selecting of and , respectively, there is less freedom in choosing after and are determined. Therefore, approximation and a time-consuming iteration process are needed. On the other hand, for filter #2, is independent of and . The design procedure is direct and easier. 3) Integration: Since filter #2 uses a slotted ground structure, tackling the radiation loss problem [7] and the requirement of a special fixture to prevent shorting the slotted ground structure could make it inconvenient to integrate with other components. To further compare these two filters, filter #1 is fabricated on the same 20-mil RT/Duroid 5880 substrate and with the same specifications of filter #2 in Section III ( , passband ripple dB, and stopband attenuation dB with the equal-ripple stopband starting normalized frequency ). By using the design procedure in Section II, Fig. 10 shows the design schematic and parameters of filter #1. In this case, it should be noted that the sum of and is less than the required , and two low-impedance lines ( , ) are used to introduce a bigger shunt capacitance. Fig. 11 shows the measured results of these two filters with the following observations. 1) Insertion loss: As shown in Fig. 11(b), filter #2 has a smaller insertion loss than filter #1 by 0.1 dB (average). 2) Circuit size: The circuit size of filter #1 is 15.2 8.6 mm , and the circuit size of filter #2 is 24 13.5 mm . Filter #1 shows a 60% size reduction in comparison with filter #2. 3) Stopband rejection: Filter #2 shows a better stopband rejection than filter #1. Filter #2 shows not only a better rejection level, but also a wider stopband bandwidth.

TU AND CHANG: MICROSTRIP ELLIPTIC-FUNCTION LOW-PASS FILTERS USING DISTRIBUTED ELEMENTS OR SLOTTED GROUND STRUCTURE

3791

V. CONCLUSIONS The design of the microstrip elliptic-function low-pass filters using distributed elements or slotted ground structure has been investigated. With the aid of transmission-line model calculation and full-wave simulation, the equivalent L–C values for the low-pass filters have been derived. The measured results show good agreement with the full-wave simulated results. Discussions and comparison of these two filters are also given. These filters should find many applications in microwave/millimeter-wave systems. ACKNOWLEDGMENT The authors would like to thank M. Li, Texas A&M University, College Station, for his technical assistance. The authors would also like to thank B. Lewis, Texas A&M University, for reviewing this paper. REFERENCES [1] D. M. Pozar, Microwave Engineering. New York: Wiley, 1998, ch. 8. [2] J.-W. Sheen, “A compact semi-lumped low-pass filter for harmonics and spurious suppression,” IEEE Microw. Wireless Compon. Lett., vol. 10, no. 3, pp. 92–93, Mar. 2000. [3] Y.-W. Lee, S.-M. Cho, G.-Y. Kim, J.-S. Park, D. Ahn, and J.-B. Lim, “A design of the harmonic rejection coupled line low-pass filter with attenuation poles,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 682–685. [4] L.-H. Hsieh and K. Chang, “Compact elliptic-function low-pass filters using microstrip stepped-impedance hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 1, pp. 193–199, Jan. 2003. [5] W.-H. Tu and K. Chang, “Compact microstrip low-pass filter with sharp rejection,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 6, pp. 404–406, Jun. 2005. [6] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of the low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [7] J. S. Lim, C. S. Kim, D. Ahn, Y. C. Jeong, and S. Nam, “Design of low-pass filters using defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2539–2545, Aug. 2005. [8] IE3D. ver. 10.2, Zeland Software Inc., Fremont, CA, Dec. 2004. [9] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [10] G. Gonzales, Microwave Transistors Amplifiers Analysis and Design. Englewood Cliffs, NJ: Prentice-Hall, 1996, ch. 1. [11] B. T. Tan, J. J. Yu, S. T. Chew, M.-S. Leong, and B.-L. Ooi, “A miniaturized dual-mode ring bandpass filter with a new perturbation,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 343–348, Jan. 2005.

Fig. 11. Measured results of low-pass filters using distributed elements (filter #1) or slotted ground structure (filter #2). (a) Whole frequency range. (b) Enlarged view within passband. (c) Normalized power loss within passband.

4) Power loss: As shown in Fig. 11(c), the power loss of filter #1 is higher than that of filter #2, where power loss is given as . This might be due to the additional discontinuities introduced by the interdigital capacitor. High power loss might cause unwanted crosstalk in high-density circuits.

Wen-Hua Tu (S’04) received the B.S. degree in communication engineering from National Chiao Tung University, Hsinchu, Taiwan, R.O.C., in 1999, the M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, R.O.C., in 2001, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station. Since 2003, he has been a Research Assistant with the Electromagnetics and Microwave Laboratory, Texas A&M University, where his research interests include phased-array antennas and microwave devices and circuits.

3792

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1970, the M.S. degree from the State University of New York at Stony Brook, in 1972, and the Ph.D. degree from The University of Michigan at Ann Arbor, in 1976. From 1972 to 1976, he was a Research Assistant with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory, The University of Michigan at Ann Arbor. From 1976 to 1978, he was with Shared Applications Inc., Ann Arbor, MI, where he was involved with computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators, and transmitters. From 1981 to 1985, he was with TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, where he developed state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. In August 1985, he joined the Electrical Engineering Department, Texas A&M University, College Station, as an Associate Professor and became a Professor in 1988. In January 1990, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. He has authored and coauthored several books, including Microwave Solid-State Circuits and Applications

(Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996; 2nd ed., 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He has served as the Editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990; 2nd ed., 2003). He is the Editor of Microwave and Optical Technology Letters and the Wiley Book Series on “Microwave and Optical Engineering” (over 70 books published). He has authored or coauthored over 450 papers and numerous book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 25 Ph.D. students and over 35 M.S. students. His current interests are microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wideband and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. Dr. Chang has served as technical committee member and session chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and numerous international conferences. He was the vice general chair for the 2002 IEEE International Symposium on Antennas and Propagation. He was the recipient of the 1984 Special Achievement Award presented by TRW, the 1988 Halliburton Professor Award, the 1989 Distinguished Teaching Award, the 1992 Distinguished Research Award, and the 1996 Texas Engineering Experiment Station (TEES) Fellow Award presented by Texas A&M University.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3793

A Rigorous Study of Package and PCB Effects on W-CDMA Upconverter RFICs Fu-Yi Han, Jian-Ming Wu, Student Member, IEEE, Tzyy-Sheng Horng, Senior Member, IEEE, and Cheng-Chia Tu

Abstract—A Volterra-series analysis is presented to study the package and printed circuit board (PCB) effects on the linearity of two wideband code-division multiple-access upconverter RF integrated circuit (RFIC) designs. The first design adopts a recently popular micromixer with a class AB input stage. The second design is based on a commonly used Gilbert mixer with emitter degeneration. Both upconverter RFICs are designed to have the same adjacent channel power ratio (ACPR) in the chip-level simulation. After fabrication, packaging, and testing on the PCB, the micromixer-based design consumes less direct current, but causes more degradation in the ACPR performance due to the influence of package and PCB when compared to the Gilbert mixer-based design. The theoretical analysis indicates that the micromixer-based upconverter RFIC is rather susceptible to the parasitic effects from the ground interconnect and, therefore, it needs a better package solution with a lower ground inductance for practical use. Comparison between theory and measurement shows good agreement in predicting the variations of conversion gain and ACPR due to the presence of the package and PCB. Index Terms—Mixer, package, upconverter, Volterra series.

I. INTRODUCTION N RECENT years, code-division multiple-access (CDMA) techniques have been recognized as one of the most efficient methods for wireless communications. They are overwhelming in the application to the third-generation (3G) mobile communication systems, such as wideband code-division multiple-access (W-CDMA), because of the advantages of high channel capacity and high data rate, as well as low susceptibility to the multipath fading and low average transmit power. However, these remarkable features are at the expense of high linearity requirement in an RF transceiver, especially in the transmitter [1]. An upconverter that takes charge of the frequency conversion process in a W-CDMA transmitter is often required to meet the high linearity specification for strictly low adjacent channel power ratio (ACPR) [2]. Many linearization techniques for enhancing the upconverter linearity have been discussed in the previous literature. They are summarized to include the emitter-degeneration [3], feed-

I

Manuscript received December 20, 2005; revised March 22, 2006. This work was supported in part by the Ministry of Education, Taiwan, under the Aim for the Top University Plan and by the National Science Council, Taiwan, R.O.C., under Grant NSC94-2213-E-110-031. F.-Y. Han, J.-M. Wu, and T.-S. Horng are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 804, Taiwan, R.O.C. (e-mail: [email protected]). C.-C. Tu is with the Taiwan Branch, Optimal Corporation, Kaohsiung 811, Taiwan, R.O.C. (e-mail: [email protected]). Color versions of Figs. 6–8(a) are available online at http://ieeexplore.ieee. org. Digital Object Identifier 10.1109/TMTT.2006.881625

forward [4], [5], shunt-feedback [6], nonlinearity cancellation [7]–[14], and micromixer [15]–[18] techniques. The emitter-degeneration technique used based on the Gilbert mixer architecture is commonly seen in the integrated RF transceivers today. The improvement of linearity using this technique is good, but not efficient in power consumption. For the feed-forward technique, it is difficult to integrate into a single chip due to the complexity of the entire architecture. The shunt-feedback technique improves the linearity of the Gilbert mixer with a shuntfeedback network, but at the cost of poor isolation. The nonlinearity cancellation technique utilizes the proper out-of-band terminations typically at around dc and the second harmonic frequency to cancel the third-order intermodulation distortion, which can make an exceptional improvement in linearity. However, it usually requires an LC resonator in the termination circuitry and, thus, needs a large chip area for RF integrated-circuit (RFIC) implementation. In addition, such a technique is developed based on the class-A condition of constant bias current and may not be so suitable for the circuits biased to a more efficient class of operation, e.g., class AB, if without the aid of performing the source/load–pull test, as done in [13] and [14] at high power levels. For the last technique, a micromixer incorporating a class AB input stage increases the dc supply current with input power automatically. This can not only enhance the linearity at high input power, but also reduce the current consumption at low input power. This feature is particularly attractive for use in the transmitters of the W-CDMA system that counts on the transmit power control to optimize the quality of service. Generally speaking, most manufactured integrated circuits need to be packaged for convenient use on the printed circuit board (PCB). In order to meet the increasing demand for larger bandwidth, the current RF integrated circuits (RFICs) for wireless applications have operated toward higher microwave frequencies at which the package and PCB effects become more evident so as to degrade the RFICs’ performance more frequently. It has been reported that wire-bond packages usually behave like low-pass filters to reduce the operating bandwidth [19], [20], and also play important roles to move the input impedance away from the optimum point in the noise-figure matching [21], [22] for RFICs. However, the linearity issues on the influence of package and PCB on RFICs are rarely addressed in the current literature. In this research, we aim to study the package and PCB effects on the linearity of two W-CDMA upconverter RFICs using either a micromixer or Gilbert mixer with emitter degeneration. For the convenience of comparison, both upconverter RFICs having the same ACPR at the chip-level design were implemented on the same chip by an InGaAs heterojunction

0018-9480/$20.00 © 2006 IEEE

3794

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 1. Circuit schematic composed of a micromixer and an output active balun for a W-CDMA upconverter RFIC design.

bipolar transistor (HBT) foundry process with up to 30 GHz, and then housed in a single 24-pin bump-chip carrier (BCC) package, and finally surface mounted onto the same PCB for testing. A three-dimensional (3-D) quasi-static field solver was used to establish the equivalent parasitic circuits of package and PCB interconnects for co-simulation with the upconverter RFICs. Our preliminary simulation and measurement results in [23] and [24] showed that the package and PCB effects degraded the linearity of the micromixer-based upconverter RFIC obviously by reducing the conversion gain and third-order intercept point, but have only minor influence on the Gilbert mixer-based upconverter RFIC. Due to the lack of theoretical model in [23] and [24], it is difficult to have a rigorous explanation for making such a distinction. In this paper, we apply Volterra series [25]–[27] to analyze the intermodulation distortion in both upconverters with or without inclusion of the package and PCB parasitic elements. Through the careful comparison between theory and measurement, we find that the ground parasitic impedance is most responsible for making the micromixer-based upconverter RFIC so susceptible to the presence of the package and PCB. II. INTERMODULATION-DISTORTION ANALYSIS In the upconverter design, designers usually have to make concession in gain, noise, and current consumption in order to attain high linearity performance. An upconverter based on a micromixer with class AB input stage, as shown in Fig. 1, can improve the linearity without the above-mentioned expenses. The class AB input stage is mainly constructed by the transistors , , , and , in which acts as a current mirror of . The input single-ended IF signal is applied to in a common-emitter configuration and in a common-base configuration simultaneously. The collector of is connected to the emitter of such that both transistors switch in the opposite state when driven by the input IF signal. is used to balance the voltage drop due to for maintaining the good differential properties in the output. In the positive cycle of input signal, , , and switch on while switches off. In the negative cycle, switches on instead, but , , and are in the off state. It can be found that the collector currents in and , , and increase asymmetrically with the amplitude of the input signal in the negative and positive cycles,

Fig. 2. Circuit schematic composed of a Gilbert mixer and an output active balun for a W-CDMA upconverter RFIC design.

is respectively. Their output differential current almost linear with the input signal voltage and does not exhibit the compression phenomenon, no matter how large the amplitude of input signal is. Actually, the linearity will still be limited due to the output differential voltage that has compression when the collector voltages and are clipped at higher amplitude of the input signal, as discussed in [23]. , , , and are used as the switches driven by the local oscillator (LO), which is also commonly seen in a Gilbert mixer. The output stage is an active balun using the totem-pole structure for transforming the output RF differential signals into a single-ended one with output impedance matched to 50 . Fig. 2 shows the conventional upconverter design using a Gilbert mixer with emitter-degeneration. Transistors from to form the basic structure of a Gilbert mixer. The input differential IF signal is amplified by the differential-pair transconductance stage with two transistors ( and ) that are individually degenerated by an emitter resistance . When the LO signal is applied to switch on and off the transistors from to , the IF and LO signals are mixed to generate the output differential RF signal. The same voltage drop across and or can achieve a maximum output voltage swing for high linearity. Therefore, the dc-bias design is based on this principle and under a condition not to push each switch transistor to the cutoff state. The degeneration resistances of can enhance the linearity at the expense of decreasing the conversion gain. The output stage uses the same above-mentioned active balun to transform the output differential RF signal into a single-ended one with output impedance matched to 50 . In Sections II-A–C, we apply Volterra series to analyze the third-order intermodulation distortion for the individual components of the two upconverters that consider the package and PCB effects. From the analysis results, we can calculate the output third-order intercept points for the individual components. for the entire upconverters can be subsequently found using the cascade intercept point formula. A. Micromixer Fig. 3(a) shows the equivalent large-signal model for the class AB input stage of the micromixer in conjunction with the parasitic elements of package and PCB interconnects. Note that and represent the IF signal source voltage and the ’s base–emitter voltage, respectively. In Volterra series,

HAN et al.: RIGOROUS STUDY OF PACKAGE AND PCB EFFECTS ON W-CDMA UPCONVERTER RFICs

3795

represents the voltage transfer function beNote that tween and , and denotes the input impedance of the class AB input stage. Under the large-signal condition that the input IF signal is large enough to switch on and off between and in the micromixer, the dc supply current increases rapidly to push up the gain compression point. In such a switching state, the output differential current can be evaluated as

(5) With this new output current, the transconductance transfer function, as defined in (1), should be re-derived as

Fig. 3. (a) Equivalent large-signal model of micromixer including the package and PCB parasitic elements. (b) Use of the equivalent-current sources for modeling the third-order nonlinearity of micromixer.

(6) where

with the subscript indices and stands for the ’s base–emitter voltage at the fundamental, second harmonic, and third harmonic frequency, respectively. is the base–emitter junction capacitance that consists of the diffusion capacitance and depletion capacitance . The element represents the base–emitter junction conductance. The transistor transconductance is denoted by . For the parasitic elements corresponding to the package and PCB interconnects shown in Fig. 3(a), and represent the equivalent series impedance and shunt admittance, respectively, connected to the input terminal of micromixer. is regarded as the equivalent impedance connected between the ground terminal of the micromixer and the bottom ground plane of the PCB. According to the circuit configuration shown in Fig. 3(a), the small-signal transconductance transfer function can be defined and derived as

(7)

(8) Since the conversion gain of micromixer is proportional to the transconductance transfer function of its input class AB stage, the reduction of conversion gain due to the package and PCB effects can be defined as the square of the absolute value of the ratio of the transconductance transfer function with or without the package and PCB parasitic elements, which is given as -

(1) where

(9)

To evaluate the third-order intermodulation products using Volterra series analysis, the equivalent current sources, as illustrated in Fig. 3(b), for modeling the third-order nonlinearity are found as

(2) (10) (3) (4)

and , are shown in the where Appendix. It is noted that the model only takes into account the

3796

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

third-order nonlinearity of the base–emitter junction conductance and capacitance and the transconductance in every transistor. The base–emitter voltages for the transistors from to at the third harmonic frequency can be further expressed as functions of these third-order nonlinear current sources. They are

(11)

(12)

(13) where (14) (15) The output third-order intermodulation current that is approximated to three times the output current at the third harmonic frequency can be derived as

Fig. 4. (a) Equivalent large-signal model of Gilbert mixer including the package and PCB parasitic elements. (b) Use of the equivalent current sources for modeling the third-order nonlinearity of Gilbert mixer.

The small-signal transconductance transfer function for the circuit configuration shown in Fig. 4(a) can be derived as

(16) Finally, with knowledge of and , we can calculate according to the following definition:

(18) where

(19) (17) (20)

where is the output load impedance of micromixer and is shown in the Appendix. B. Gilbert Mixer In the analysis of the Gilbert mixer, the linearity is mainly determined by the input differential-pair transconductance stage. Since the transistors and match each other, the node connecting to the bias current source can be regarded as virtually grounded due to the balanced operation of the circuit. We can analyze the input differential-pair transconductance stage with its differential half-circuit in common-emitter configuration. Fig. 4(a) shows the equivalent large-signal model for such a differential half-circuit including the parasitic elements from the package and PCB interconnects.

Note that and represent the voltage transfer function between and and the input impedance of the differential-pair transconductance stage, respectively, for the Gilbert mixer. Since the conversion gain of the Gilbert mixer is proportional to the transconductance transfer function of the differential-pair transconductance stage, the conversion-gain reduction factor to account for the package and PCB effects can be formulated as -

(21)

HAN et al.: RIGOROUS STUDY OF PACKAGE AND PCB EFFECTS ON W-CDMA UPCONVERTER RFICs

3797

Similarly, as illustrated in Fig. 4(b), we model the third-order nonlinearity using the following equivalent current sources:

(22) and are also shown in the Appendix. The where base–emitter voltage at the third harmonic frequency can be further expressed as

(23) where

(24) Once we know the equivalent third-order nonlinear current sources and , we can find and subsequently as follows: (25) Fig. 5. (a) Equivalent large-signal model of active balun including the package and PCB parasitic elements. (b) Use of the equivalent current sources for modeling the third-order nonlinearity of active balun.

where (28)

(26) where and

(29)

is the output load impedance of the Gilbert mixer is shown in the Appendix.

(30) C. Active Balun The output active baluns used in the proposed two upconverter RFIC designs are identical. Each one of them consists of two transistors. One transistor is in common-collector configuration applied with the input differential positive signal . The other is in common-emitter configuration applied with the input differential negative signal . The gains of two configurations are actually out of phase with each other, but have different magnitudes. Therefore, a resistor is placed at the emitter of each transistor to reduce the difference in gain magnitude between two configurations for a more balanced operation. Fig. 5(a) shows the equivalent large-signal model for the active balun. The small-signal transconductance transfer function corresponding to the circuit configuration in Fig. 5(a) can be found as

(27)

Note that is the impedance seen looking into the output RF terminal of the active balun. and represent the voltage transfer function between and and between and , respectively. Since the small-signal gain of the active balun is proportional to such a transconductance transfer function, the gain reduction factor to account for the package and PCB effects can be formulated as -

(31)

Fig. 5(b) shows the equivalent current sources for modeling the third-order nonlinearity in the active balun. After derivation, these current sources are expressed as

(32)

3798

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

where , , , and are shown in the Appendix. For this case, the base–emitter voltages of the two transistors at the third harmonic frequency can be derived as (33)

(34)

With the help of (32)–(34), we can formulate quently as follows:

and subseFig. 6. Chip micrograph of the implemented two different types of W-CDMA upconverter RFICs.

(35)

Fig. 7. (a) Wire-bonded chip in the packaging process. (b) Packaged chip on PCB for testing.

(36) where is the output load impedance of the active balun. and can be found in the Appendix. It is finally noted that in this Volterra series analysis, calculation of , , and need the following parameters from HBT foundry SPICE model. They are the forward current gain equal to 120, the forward transit time equal to 2.2 ps, and the depletion capacitance equal to 0.21 pF. III. CHIP IMPLEMENTATION AND PARASITIC EXTRACTION OF PACKAGE AND PCB INTERCONNECTS To distinguish the degradation between two W-CDMA upconverter RFICs due to the presence of package and PCB, we intentionally designed both upconverter RFICs with almost the same and ACPR in the chip-level simulation, and implemented them on the same chip using an InGaAs HBT foundry process. The chip, shown in a photograph in Fig. 6, has an area of 1.5 mm 1.0 mm. It is noted that the RF and LO ports of both upconverter RFICs on this chip were internally matched to 50 . The fabricated chip was housed in a 24-pin BCC-type leadless package with a square area of 4 mm 4 mm and a height of 0.8 mm. Fig. 7(a) shows a chip wire-bonding photograph in the packaging process. The packaged chip was finally surface mounted onto a PCB with a square area of 20 mm 20 mm for the convenience of testing, as seen in Fig. 7(b). The parasitic elements for the interconnects realized on chip are negligible in comparison with those for the interconnects re-

alized on package and PCB. As illustrated in Fig. 8(a), we use an electromagnetic (EM) simulation tool based on the 3-D quasistatic methodology to calculate the equivalent parasitic element quantities for the package and PCB interconnects connected to the IF, LO, RF, and ground terminals of the two upconverter RFICs. The calculated quantities are listed in Table I. Fig. 8(b) shows how we empirically transform the calculated parasitic element quantities into the equivalent circuits for co-simulation with the two upconverter RFICs. The equivalent parasitic circuits connected to the single-ended ports are in the form of simple circuits, while the equivalent parasitic circuits connected to the differential ports are in the form of coupled circuits. In addition, a parallel resonator is used for the equivalent parasitic circuit connected to the ground terminal. IV. CASCADE INTERCEPT POINT AND ACPR ESTIMATION The theoretically predicted results for the gain reduction factors and output third-order intercept points in the individual components according to the formulations described in Section II can be used to predict the overall variations of conversion gains and ACPRs in the two upconverter RFICs due to the presence of package and PCB. By treating each upconverter as a cascaded system, the cascaded conversion-gain reduction factor can be written as (dB)

(37)

HAN et al.: RIGOROUS STUDY OF PACKAGE AND PCB EFFECTS ON W-CDMA UPCONVERTER RFICs

3799

spectively. For the cascaded output third-order intercept point, it can be found with the formula (38)

and represent the output third-order inwhere tercept point of the mixer and the active balun, respectively, and is the gain of the active balun. The degradation of in decibels due to the presence of the package and PCB can be calculated using (dB)

(dBm)

-

(dBm)

(39)

As a matter of fact, the most important parameter to evaluate the linearity of W-CDMA upconverters is the ACPR. By referring to [28] and [29], we can use the continuous-wave parameters to estimate the ACPR that can be actually measured by applying the standard W-CDMA quadrature phase-shift keying (QPSK)-modulated signals [30]. As described in [28] and [29], when a band-limited Gaussian stochastic process is used to characterize an input CDMA signal, the adjacent channel power ranging from to in frequency due to the third-order nonlinearity can be formulated as

(40)

Fig. 8. (a) 3-D configuration used in a quasi-static EM tool for extracting the equivalent-circuit elements of package and PCB interconnects. (b) Equivalent parasitic circuits connected to the two W-CDMA upconverter RFICs. TABLE I EXTRACTED PARASITIC ELEMENT QUANTITIES OF PACKAGE AND PCB INTERCONNECTS

where denotes half of the main channel bandwidth, and denotes the center frequency. According to the ACPR measurement requirement in a W-CDMA system [30], in (40), is set at 2.5 MHz, and , , and are selected at 1885, 1883.08, and 1886.92 MHz, respectively. After substituting, we can calculate the ACPR in decibels using the following formula: (dB) (dBm)

(dBm)

(41)

Under the condition of the same output power, from (41), the degradation of the ACPR in decibels due to the presence of the package and PCB can be approximated as (dB)

where and represent the mixer’s conversion-gain reduction factor and the active balun’s gain reduction factor, re-

(dB)

(42)

Tables II and III show the calculated quantities of individual and cascaded gain and for the micromixer-based upconverter and the Gilbert mixer-based upconverter, respectively. The differences in these parameters with and without the package and PCB effects are also demonstrated. One can see that the presence of the package and PCB degrades the

3800

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE II DISTORTION ANALYSIS OF THE MICROMIXER-BASED UPCONVERTER

TABLE III DISTORTION ANALYSIS OF THE GILBERT MIXER-BASED UPCONVERTER Fig. 9. Comparison of the measured consumed currents between two W-CDMA upconverters.

conversion gain and by 4.3 and 5.3 dB, respectively, for the micromixer-based upconverter. After careful analysis, these degraded phenomena can be explained by the fact that the transistors and in the class AB input stage of the micromixer, as well as the transistor in the active balun, are all in common emitter configurations. Therefore, these transistors are susceptible to the parasitic elements from the ground interconnect, which is the main reason for the degradation. For the Gilbert mixer-based upconverter, the presence of package and PCB degrades the conversion gain and by 2.01 and 0.5 dB, respectively, which reveals much slighter effects in comparison with the former case. Upon close analysis of the individual components, we find that the reduction in conversion gain is mainly due to the active balun that is susceptible to the ground parasitic elements. As for the Gilbert mixer, it is immune from the ground parasitic elements due to its input differential-pair structure. Besides, the parasitic elements from the IF interconnects cause a very small loss of 0.01 dB, but can increase the by 1.2 dB. This can be explained from (23) and (24) that the parasitic elements from the IF interconnect can play a role similar to the emitter resistance for reducing the third-order nonlinearity. According to (42), the ACPR is degraded by approximately two times the decrement of . Therefore, it has been predicted from this theory that the ACPR for the micromixer-based and Gilbert mixer-based upconverters is degraded by 10.6 and 1 dB, respectively, due to the presence of the package and PCB. It is to be remembered that both upconverters are designed to have almost the same ACPR in the chip-level simulation. This implies that after including the package and PCB effects, the Gilbert mixer-based upconverter should have a better ACPR by 9.6 dB than the micromixer-based upconverter.

Fig. 10. Simulated, theoretical, and measured output powers for the fundamental and IM products in the micromixer-based upconverter.

V. COMPARISON OF RESULTS AND DISCUSSION Fig. 9 shows the comparison of the measured consumed currents between the two upconverters. Owing to the class AB input stage, the micromixer-based upconverter has an obvious trend to increase the supply current as the input IF power increases. This feature can reduce the idle current to substantially increase the average efficiency in a W-CDMA system with transmit power control. For the Gilbert mixer-based upconverter, the bias condition belongs to class A, and the consumed current is almost constant with the input IF power. One can compare to see that the micromixer-based upconverter consumes only half of the current of the other upconverter using a Gilbert mixer with emitter degeneration under the small-signal input condition, but can increase the current to a similarly high value for the input IF power larger than 2 dBm. In the continuous-wave test, the IF, LO, and RF frequency used in the two upconverters is 130, 1750, and 1880 MHz, respectively. The applied LO power is 3 dBm. The frequency spacing in the two-tone test is set at 5 MHz, which is the channel bandwidth in a W-CDMA system. Fig. 10 compares the results for the output powers of fundamental and products in the micromixer-based upconverter

HAN et al.: RIGOROUS STUDY OF PACKAGE AND PCB EFFECTS ON W-CDMA UPCONVERTER RFICs

Fig. 11. Simulated, theoretical, and measured output powers for the fundamental and IM products in the Gilbert mixer-based upconverter.

between exclusion and inclusion of package and PCB effects. The simulated results are generated from Agilent’s Advanced Design System (ADS). The theoretical approach uses the ADS simulation results for output fundamental powers in the bare chip cases as reference data, and then calculates the conversion-gain reduction factor and with consideration of the package and PCB parasitic elements according to the formulas derived in Section II. After conversion into the output fundamental and powers, the theoretical results are also demonstrated in Fig. 10 for comparison with the ADS simulation results. The agreement is quite good. For the results associated with the packaged chip on the PCB, the average error between simulation and theory is within 0.5 dBm for the fundamental power, and 1 dBm for the power. It should be noted that the LO-driven switches in the micromixer are assumed to be ideal in the theoretical approach, which is the main reason for such differences. It can be seen from Fig. 10 that the package and PCB effects cause the fundamental power to drop by approximately 4 dBm in the linear range. There are two main reasons to account for this phenomenon. The dominant one is the negative feedback due to the ground parasitic impedance . The other one is the loss and impedance mismatch due to the series parasitic impedances and shunt parasitic admittances at the IF and RF ports. The theory also predicts that the power drops only a little due to the presence of package and PCB. Therefore, the intermodulation distortion defined as the ratio of power to fundamental power actually degrades due to the package and PCB effects. This is because the voltage drop across the ground parasitic impedance reduces the output voltage swing and, thus, worsens the upconverter linearity. For the upconverter using the Gilbert mixer with emitter degeneration, the output fundamental and powers are plotted in Fig. 11 where the simulated, theoretical, and measured results for the packaged chip on PCB still show good consistency with each other. The package and PCB effects on this upconverter are to reduce the output fundamental power by approximately 2 dBm and the power by approximately 6 dBm. This is mainly due to the active balun that reduces the gain by approximately 2 dB for its susceptibility to the ground parasitic elements. One can compare to know that the Gilbert mixer-based

3801

Fig. 12. Comparison of the simulated, theoretical, and measured ACPRs between two W-CDMA upconverters.

upconverter has less conversion-gain reduction and intermodulation distortion when facing the package and PCB effects. This is because the input differential-pair structure makes the Gilbert mixer immune from the ground parasitic elements. The calculated from the measured fundamental and powers for the packaged chip on the PCB in Figs. 10 and 11 is 0.4 dBm for the micromixer-based upconverter, and 5.2 dBm for the Gilbert mixer-based upconverter. Both quantities agree closely with the theoretical results shown in Tables II and III. In addition to , noise figure is also needed for evaluating the spurious-free dynamic range of the upconverters. As an on-board measurement result, the noise figure for the micromixer-based upconverter and the Gilbert mixer-based upconverter is 15.8 and 15.3 dB, respectively, which reveals that both upconverters have quite similar noisefigure performance. In the digital modulation test, a standard QPSK-modulated W-CDMA signal centered at 130 MHz with 5-MHz bandwidth is applied to the two upconverters as an input IF signal. Fig. 12 compares the ACPR results under the same output power condition from three different approaches, i.e., theoretical prediction, ADS simulation, and measurement. The results show good agreement for both upconverters with and without considering the package and PCB effects. It is noted that, in the theoretical prediction, the ACPR is calculated according to (41) by setting the input IF power of the W-CDMA signal equal to the total power of two continuous tones. From Fig. 12, one can see that the two upconverters have almost the same ACPR in the bare-chip simulation. However, after including the package and PCB effects, the degradation of the ACPR in the output power range up to 5 dBm is approximately 11 dB for the micromixer-based upconverter, and approximately 1 dB for the Gilbert mixer-based upconverter, which coincides with our theoretical predictions shown in Tables II and III. Since the Volterra-series approach is only generally good for the weak nonlinearity problems, in analyzing both upconverters, the deviation of the theoretical ACPRs from the measured data is getting larger as the output power exceeds 5 dBm, as can be also seen in Fig. 12. During the ACPR measurement for the packaged chips on the PCB, Fig. 13 shows an example to compare the output spectrums between the two upconverters with the same average modulated output power of 9 dBm.

3802

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

(A.1) (A.2) (A.3) (A.4) (A.5) (A.6)

(A.7)

been rigorously investigated using Volterra series. The first design adopts a micromixer with a class AB input stage to achieve high linearity with low idle currents. However, the design is rather susceptible to the parasitic effects from the ground interconnect. After analysis with verification by measurement data, the resulting ACPR degrades quite significantly due to the presence of the package and PCB. For comparison, the second design is based on the conventional Gilbert mixer with emitter degeneration. It consumes more direct current than the first design to achieve the same ACPR in the chip-level simulation. However, it has advantages, including higher conversion gain and less susceptibility to the presence of the package and PCB. APPENDIX Fig. 13. Comparison of the measured output spectrums between two W-CDMA upconverters with an average modulated output power of 9 dBm.

0

One can clearly see that the micromixer-based upconverter has more evident spectrum regrowth in the adjacent channel than the Gilbert mixer-based upconverter. The presented Volterra series analysis for investigation of the package and PCB effects on the upconverter RFICs for processing the W-CDMA QPSK-modulated signals is also generally good for application to other 3G digital modulation schemes with the time-varying envelope, such as hybrid phaseshift keying (HPSK) in W-CDMA [30] and 8-phase-shift keying (8PSK) in EDGE [31], etc.

The base–emitter voltages at the fundamental and second harmonic frequencies and the special function present in formulating of the micromixer are shown in (A.1)–(A.7) at the top of the page, where

(A.8) The base–emitter voltages at the fundamental and second harmonic frequencies and the special function present in formulating of the Gilbert mixer are given as follows:

VI. CONCLUSIONS The RF linearity for two different designs of W-CDMA upconverter RFICs including the package and PCB effects has

(A.9) (A.10)

HAN et al.: RIGOROUS STUDY OF PACKAGE AND PCB EFFECTS ON W-CDMA UPCONVERTER RFICs

(A.11) where

(A.12) The base–emitter voltages at the fundamental and second harmonic frequencies and the special functions present in formulating of the active balun are given as follows:

(A.13) (A.14) (A.15) (A.16)

(A.17)

(A.18) where (A.19)

(A.20)

ACKNOWLEDGMENT The authors wish to thank the National Chip Implementation Center, Taiwan, R.O.C., for providing the InGaAs HBT foundry service, and Advanced Semiconductor Engineering Inc. Kaohsiung, Taiwan, R.O.C., for providing the packaging service. REFERENCES [1] G. Jue, “3GPP W-CDMA systems: Design and testing,” IEEE Micro, pp. 56–64, Jun. 2002.

3803

[2] A. Springer, L. Maurer, and R. Weigel, “RF system concepts for highly integrated RFICs for W-CDMA mobile radio terminals,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 1, pp. 254–267, Jan. 2002. [3] D. S. Malhi, L. E. Larson, D. Wang, C. Demirdag, and V. Pereira, “SiGe W-CDMA transmitter for mobile terminal application,” IEEE J. SolidState Circuits, vol. 38, no. 9, pp. 1570–1574, Sep. 2003. [4] T. J. Ellis, “A modified feed-forward technique for mixer linearization,” in IEEE MTT-S Int. Microw. Symp. Dig., 1998, pp. 1423–1426. [5] M. Chongcheawchamnan and I. D. Robertson, “Linearized microwave mixer using simplified feedforward technique,” Electron. Lett., vol. 35, pp. 724–725, Apr. 1999. [6] B. A. Xavier, “A shunt feedback technique for improving the dynamic range of a balanced mixer,” 2002. [Online]. Available: http://www. RFengineer.net/RFic.htm [7] V. Aparin and C. Persico, “Effects of out-of-band terminations on intermodulation distortion in common-emitter circuits,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 977–980. [8] K. L. Fong, “High-frequency analysis of linearity improvement techniques of commom-emitter transconductance stage using a low-frequency-trap network,” IEEE J. Solid-State Circuits, vol. 35, no. 8, pp. 1249–1252, Aug. 2000. [9] M. P. van der Heijden, H. C. d. Graaff, and L. C. N. d. Vreede, “A novel frequency-dependent third-order intermodulation distortion cancellation technique for BJT amplifiers,” IEEE J. Solid-State Circuits, vol. 37, no. 9, pp. 1176–1183, Sep. 2002. [10] L. Sheng and L. E. Larson, “An Si–SiGe BiCMOS direct-conversion mixer with second-order and third-order nonlinearity cancellation for WCDMA applications,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 11, pp. 2211–2220, Nov. 2003. [11] ——, “A general theory of third-order intermodulation distortion in common-emitter radio frequency circuits,” in Proc. IEEE Int. Symp. Circuits Syst., 2003, pp. 199–180. [12] V. Aparin and L. E. Larson, “Linearization of monolithic InAs using low-frequency low-impedance input termination,” in Proc. IEEE Eur. Solid-State Circuits Conf., 2003, pp. 137–140. [13] M. P. van der Heijden, M. Spirito, M. Pelk, L. C. N. d. Vreede, and J. N. Burghartz, “On the optimum biasing and input out-of-band terminations of linear and power efficient class-AB bipolar RF amplifiers,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 2004, pp. 44–47. [14] M. Spirito, M. P. v. d. Heijden, M. Pelk, L. C. N. d. Vreede, P. J. Zampardi, L. E. Larson, and J. N. Burghartz, “Experimental procedure to optimize out-of-band terminations for highly linear and power efficient bipolar class-AB RF amplifiers,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, 2005, pp. 112–115. [15] B. Gilbert, “The micromixer: A highly linear variant of the Gilbert mixer using a bisymmetric class-AB input stage,” IEEE J. Solid-State Circuits, vol. 32, no. 9, pp. 1412–1423, Sep. 1997. [16] L. F. Keng, C. Dennis, and R. G. Meyer, “A class AB monolithic mixer for 900-MHz applications,” IEEE J. Solid-State Circuits, vol. 32, no. 8, pp. 1166–1172, Aug. 1997. [17] C. C. Meng, S.-K. Hsu, A.-S. Peng, S.-Y. Wen, and G.-W. Huang, “A fully integrated 5.2 GHz GaInP/GaAs HBT upconversion micromixer with output LC current combiner and oscillator,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 205–208. [18] C. C. Meng, S.-S. Lu, M.-H. Chiang, and H.-C. Chen, “DC to 8 GHz 11 dB gain Gilbert micromixer using GaInP/GaAs HBT technology,” Electron. Lett., vol. 39, pp. 637–638, Apr. 2003. [19] T.-S. Horng, S.-M. Wu, H.-H. Huang, C.-T. Chiu, and C.-P. Hung, “Modeling of lead-frame plastic CSPS for accurate prediction of their low-pass filter effects on RFICs,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 9, pp. 1538–1545, Sep. 2001. [20] T.-S. Horng, S.-M. Wu, C.-T. Chiu, and C.-P. Hung, “Electrical performance improvements on RFICs using bump chip carrier packages as compared to standard thin shrink small outline packages,” IEEE Trans. Adv. Packag., vol. 24, no. 4, pp. 548–554, Nov. 2001. [21] P. Sivonen and A. Parssinen, “Analysis and optimization of packaged inductively degenerated common-source low-noise amplifiers with ESD protection,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1304–1313, Apr. 2005. [22] P. Sivonen, S. Kangasmaa, and A. Parssinen, “Analysis of packaging effects and optimization in inductively degenerated common-emitter low-noise amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1220–1226, Apr. 2003. [23] J.-M. Wu, J.-K. Jau, T.-S. Horng, and C.-C. Tu, “Highly linear upconverter MMIC designs with complete package and test board effects for CDMA applications,” in IEEE Radio-Freq. Integr. Circuits Symp. Dig., 2003, pp. 405–408.

3804

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

[24] F.-Y. Han, J.-M. Wu, T.-S. Horng, and C.-C. Tu, “A rigorous study of package and PCB effects on W-CDMA RFICs,” in Proc. Asia–Pacific Microw. Conf., 2005, pp. 1299–1302. [25] K. L. Fong and R. G. Meyer, “High-frequency nonlinearity analysis of common-emitter and differential-pair transconductance stages,” IEEE J. Solid-State Circuits, vol. 33, no. 4, pp. 548–555, Apr. 1998. [26] J. Vuolevi and T. Rahkonen, “Analysis of third-order intermodulation distortion in common-emitter BJT and HBT amplifiers,” IEEE Trans. Circuits Syst. II, Analog Digit. Signal Process., vol. 50, no. 12, pp. 994–1001, Dec. 2003. [27] J. Deng, P. S. Gudem, and L. E. Larson, “Linearity analysis of SiGe HBT amplifiers using a power-dependent coefficient Volterra technique,” in Proc. IEEE Radio Wireless Conf., 2004, pp. 479–482. [28] Q. Wu, H. Xiao, and F. Li, “Linear RF power amplifier design for CDMA signals: A spectrum analysis approach,” Microw. J., vol. 41, pp. 22–40, Dec. 1998. [29] J. S. Ko, J. K. Kim, B. K. Ko, D. B. Cheon, and B. H. Park, “Enhanced ACPR technique by class AB in PCS driver amplifier,” in Proc. IEEE Int. VLSI CAD Conf., 1999, pp. 376–379. [30] UE Radio Transmission and Reception, 3GPP Standard 25.101 (V5.3.0), 2002. [31] Digital Cellular Telecommunications System; Radio Transmission and Reception, 3GPP Standard 05.05 (V8.10.0), 2001.

Fu-Yi Han was born December 4, 1979, in Taichung, Taiwan, R.O.C. He received the B.S.E.E. and M.S.E.E. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2001 and 2003, respectively, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His research focuses on the chip-package-board codesign of RF components and modules.

Jian-Ming Wu (S’00) was born November 13, 1974, in Kaohsiung, Taiwan, R.O.C. He received the B.S.E.E degree from Yuan Ze University, Chungli, Taiwan, R.O.C., in 1997, the M.S.E.E. degree from National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C., in 2000, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His research interests include design and modeling of microwave integrated circuit components and packages.

Tzyy-Sheng Horng (S’88–M’92–SM’05) was born December 7, 1963, in Taichung, Taiwan, R.O.C. He received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, R.O.C., in 1985, and the M.S.E.E. and Ph.D. degrees from the University of California at Los Angeles (UCLA), in 1990 and 1992, respectively. He is currently a Professor with the Department of Electrical Engineering, and also the Director of the Institute of Communications Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan, R.O.C. His research interests include RF and microwave integrated circuits, RF system-on-package, and digitally assisted RF technology.

Cheng-Chia Tu was born June 18, 1969, in Chia-I, Taiwan, R.O.C. He received the B.S.E.E. degree and M.S.E.E from I-Shou University, Kaohsiung, Taiwan, R.O.C., in 1994 and 1996, respectively. He is currently with the Taiwan Branch, Optimal Corporation, Kaohsiung, Taiwan, R.O.C. His professional expertise is in the electron design automation (EDA) design of RF and high-speed circuits.

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

3805

Basic RF Characteristics of the Microstrip Line Employing Periodically Perforated Ground Metal and Its Application to Highly Miniaturized On-Chip Passive Components on GaAs MMIC Young Yun, Kyung-Sik Lee, Chung-Ryul Kim, Ki-Man Kim, Member, IEEE, and Ji-Won Jung

Abstract—In this study, highly miniaturized on-chip impedance transformers employing periodically perforated ground metal (PPGM) were developed for application to broadband low-impedance matching. In order to realize a broadband operation by using an equal ripple transfer characteristic over a passband, a three-section transformer was designed by mapping its reflection coefficient to the Chebyshev function. The three-section transformer showed a good RF performance over a broadband (1.5–13 GHz) including ultra-wideband. The size of the three-section transformer was 0.129 mm2 , which is 2.3% of the size of the transformer fabricated by a conventional microstrip line. Using the PPGM structure, a highly miniaturized on-chip Wilkinson power divider with a low port impedance of 13 was also developed, and its size is 0.11 mm2 , which is 6% of the size of the one fabricated by the conventional microstrip line. In addition, in this study, the PPGM structure was theoretically characterized using a conventional capacitive loaded periodic structure. Using the theoretical analysis, basic characteristics of the transmission line with PPGM were also investigated in order to evaluate its suitability for application to a development of miniaturized on-chip passive components. According to the results, it was found that the PPGM structure is a promising candidate for application to a development of miniaturized on-chip components on monolithic microwave integrated circuits.



Index Terms—Broadband, Chebyshev function, impedance transformer, low-impedance matching, monolithic microwave integrated circuit (MMIC), periodically perforated ground metal (PPGM).

I. INTRODUCTION ECENTLY, demands for broadband and fully integrated monolithic microwave integrated circuits (MMICs) have increased in the broadband wireless communication systems market [1]–[5]. The pre-matching technique is a very efficient method for application to broadband MMIC design, and it only requires real part impedance matching by removing the imag-

R

Manuscript received December 31, 2005; revised May 16, 2006. This work was supported by the Korea Research Foundation under Grant R05-2004-00012754-0 and by the second stage of Brain Korea 21. The authors are with the Department of Radio Sciences and Engineering, Korea Maritime University, Busan 606-791, Korea (e-mail: yunyoung@bada. hhu.ac.kr). Color versions of Figs. 14(b), 18, and 20 are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2006.881626

inary part of the input and output impedance of the transistor [5]. Low-impedance transformation for impedance matching between active devices is especially required because the real part of the input and output impedances of the field-effect transistors (FETs) are much lower than 50 in the RF band [5]. Therefore, for an efficient impedance matching of MMICs employed in a broadband communication system, a broadband low-impedance transformer performing low-impedance transformation between active devices is indispensable and it should be highly miniaturized for an integration on MMICs. However, conventional impedance transformers [6] have been fabricated outside of MMICs due to their large sizes, and used for application to the high-impedance transformation (in the range of 40–70 ) because the linewidth of the microstrip line with low impedance is very large and it cannot be realized on a MMIC. For example, the linewidth should be 500 m to obtain a characteristic impedance of 15 from the conventional microstrip line on a GaAs substrate. In this study, for application to an on-chip low-impedance matching component in a broadband system including ultra-wideband (UWB), we propose a highly miniaturized on-chip transformer employing a periodically perforated ground metal (PPGM) structure that has a much lower characteristic impedance and shorter guided wavelength than the conventional microstrip-line structure, which allowed the integration of the transformer on the MMIC via its miniaturization. Concretely, in order to realize a broadband operation using an equal ripple transfer characteristic over the passband of the transformer, we designed the transformer by mapping its reflection coefficient to the Chebyshev function [7]. In addition, in this study, the microstrip line with PPGM was theoretically characterized using a conventional capacitive loaded periodic structure [7]. The basic RF characteristics of the PPGM structure investigated from the theoretical analysis revealed that the PPGM structure is a promising candidate for application to a development of miniaturized on-chip passive components. II. MICROSTIP-LINE STRUCTURE WITH PPGM FOR APPLICATION TO LOW-IMPEDANCE TRANSFORMATION Fig. 1 shows an RF circuit employing pre-matching circuits and an impedance transformer. The pre-matching technique is

0018-9480/$20.00 © 2006 IEEE

3806

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 1. RF circuit employing pre-matching circuits and impedance transformer.

a very efficient method for application to broadband MMIC design [5] and, as shown in this figure, it only requires real part impedance matching between active devices because the imaginary part of the input and output impedance of the transistors are removed by pre-matching circuits. Low-impedance transformation for impedance matching between active devices is especially required because the real part of the input and output impedances of the FETs are much lower than 50 in the RF band [5]. Therefore, for an efficient broadband impedance matching between the active devices, a broadband low-impedance transformer performing low-impedance transformation between active devices is indispensable. In Fig. 1, the impedance transformation from 20 to 10 is required and, therefore, the microstrip line with a characteristic impedance of 14.1 and a line length of should be used as the impedance transformer. Using conventional microstrip lines, however, this impedance transformer cannot be realized on a GaAs MMIC due to its large size. For example, the line length and linewidth of the impedance transformer are 3.3 mm and 550 m, respectively, at 7 GHz if it is fabricated by conventional microstrip lines on a GaAs substrate. In this study, for a development of a highly miniaturized on-chip broadband low-impedance transformer on a GaAs MMIC, we employed the microstrip lines with PPGM, which shows a much lower characteristic impedance and shorter guided wavelength than the conventional microstrip-line structure [8], [9]. Many other periodic structures such as photonic bandgap (PBG) and metamaterial have been recently reported for a development of miniaturized passive components, and it has been found that they are a very efficient structure for a miniaturization of passive devices and an improvement of RF characteristics of filters [10]–[15]. However, they have not been employed as a transmission line due to their low resonance frequency characteristics originating from their inherent LC parallel structure, and the characteristic impedance strongly dependent on frequency. For this reason, the conventional PBG and metamaterial have been mainly applied for filters on hybrid integrated circuits (ICs). In this study, we employed the PPGM structure [8], [9] for application to a low-impedance and short guided-wavelength transmission line on a MMIC, and fabricated a highly miniaturized low-impedance on-chip transformer employing the PPGM structure. Fig. 2(a) shows the top view of the microstrip-line structure employing PPGM,

Fig. 2. (a) Top view of the microstrip line structure employing PPGM. (b) Cross-sectional view according to – -direction. (c) Cross-sectional view according to – -direction.

Y Y

XX

and Fig. 2(b) and (c) corresponds to a cross-sectional view according to the – - and – -direction of Fig. 2(a), respectively (this figure previously appeared in [8] and [9] and is reproduced here for convenience). As shown in Fig. 2(a)–(c), PPGM was inserted at the interface between the SiN film and GaAs substrate, and it was electrically connected to backside GND metal through the via-holes. As is well known, the conventional microstrip line without PPGM can be modeled by infinitesimal periodic unit cells employing a periodical capacitor and inductor, and the PPGM structure can also be modeled by them. Concretely, the capacitance of the periodical capacitor for the PPGM structure consists of , as well as , as shown in Fig. 2(c). corresponds to the capacitance value caused by the conventional microstrip-line structure, which is the capacitance value for one cell of the PPGM structure, and corresponds to capacitance per unit length (of infinitesimal periodic unit cell) since the length of one cell of the PPGM is , as shown in Fig. 2(a). is the capacitance value between the line and PPGM, which also corresponds to the capacitance for one cell, as shown in Fig. 2(c). Therefore, the both and are the capacitance values for one cell, and their units are all farad because they are capacitance values for the length of the one cell. Therefore, according to the theoretical and experimental results, it was found that the microstrip line with PPGM exhibited much lower characteristic impedance and shorter guided-wavelength than the conventional one because and are inversely proportional

YUN et al.: RF CHARACTERISTICS OF MICROSTRIP LINE EMPLOYING PPGM AND APPLICATION TO ON-CHIP PASSIVE COMPONENTS ON GaAs MMIC

3807

Fig. 3. Equivalent circuit of the PPGM structure with periodically loaded capacitor C .

to the periodical capacitance; in other words, and [8], [9].

Fig. 4. k – graph.

III. BASIC RF CHARACTERISTICS OF THE MICROSTIP LINE STRUCTURE WITH PPGM OBTAINED FROM THEORETICAL ANALYSIS Papers dealing with couplers and filters employing PPGM have been published to date [8], [9], and in these papers, tedious work including full-wave analysis and repeated trial-anderror were required for a design of passive components employing PPGM, which made the design procedure very complicated. In this study, the PPGM structure was theoretically characterized in detail using a simple equivalent shunt circuit and closed-form equations. The characteristic impedance, bandwidth, wavelength, effective permittivity, and propagation constant were easily obtained from the simple theoretical analysis without full-wave analysis or measurement, which highly simplified the circuit design process. In addition, basic characteristics of the PPGM structure (e.g., bandwidth, loss, isolation, and resonance characteristics) were estimated in order to evaluate its suitability for application to a development of miniaturized on-chip passive components. A. Bandwidth The PPGM structure can be expressed as the periodically loaded line shown in Fig. 3, and is the periodical capacitance for the SiN film between the line and PPGM, as shown in Fig. 2(a)–(c). Although the microstrip line with PPGM have as well as as shown in Fig. 2, the periodic capacitance is innately included in the line itself. The microstrip line with PPGM can also be expressed by periodical capacitance , shown in Fig. 3. The periodical susceptance is given by (1a) (1b) From the structure of PPGM shown in Fig. 2, can be expressed as follows:

and of Fig. 3

, , , , and are the permittivity and thickwhere ness of the SiN film, the width of line, the length of the hole, and the distance between the periodically perforated holes, respectively (see Fig. 2), and the thickness of the SiN film is 100 nm. In the above equations, we considered the fringing capacitance ( ) for an accurate calculation, and effective width for the fringing field was obtained from well-known frequency-dependent equations [16] of microstrip line, and they were properly modified for application to the PPGM structure, assuming that the PPGM structure is an SiN thin-film microstrip line with a perfect ground plane at the interface between the SiN film and GaAs substrate. The fringing capacitance was employed for an accuracy of the calculation employing the above closed-form equations. For example, if the fringing capacitance is not considered for the closed-form equation of this paper, the error ratio, which is defined as the ratio of the difference between measured and calculate result to the measured result, was less than 22% in a lower frequency range less than 20 GHz for a practical range ( m, m). However, if the closed-form equation considering the fringing capacitance is used for an analysis of the PPGM structure in a lower frequency range less than 20 GHz, the characteristic impedance and wavelength can be calculated within an error ratio of 6.2%. In a lower frequency range less than 30 GHz, they can be calculated within an error ratio of 10%. In spite of a consideration of the fringing capacitance, however, accurate results were not obtained in the higher frequency range than 30 GHz, as long as the closed-form equation of this paper is used. In order to obtain more accurate results in the high-frequency range, more accurate equivalent circuits and equations considering all parasitic effects should be developed. The microstrip line with PPGM was theoretically characterized using the above equations and a conventional capacitive loaded periodic structure [7]. According to the result, the passband equation can be expressed as follows: (2a)

(1c)

(2b)

(1d)

(1f)

where is the effective dielectric constant of the microstrip line on a GaAs substrate. The stopband equation can be expressed as follows:

(1g)

(2c)

(1e)

3808

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

TABLE I PASSBAND AND STOPBAND OF THE PPGM STRUCTURE (W = 20 m, L = 20 m)

Fig. 4 shows the passbands and stopbands calculated from (2). Using (1), (2), and periodic structure theory [7], we can obtain the bandwidth of the passband and stopband from the – graph of Fig. 4. The bandwidths of the PPGM structure with an SiN thickness of 700 nm were summarized in Table I ( is the spacing between the periodically perforated holes, as shown in Fig. 2). In Table I, the first passband corresponds to practical bandwidth. As shown in Table I, the bandwidths for the passband and stopband are decreased with an increase of , which is natural result because an increase of causes an increase of . By the way, we would like to indicate one point here. If we use the proposed closed-form equation in this paper, we do not need to employ full-wave analysis. In addition, for an analysis of a complicated PPGM structure, the proposed closed-form equation can be merged into the commercial computer-aided design (CAD) such as Agilent Technologies’ Advanced Design System (ADS), and it can be used for a calculation of a multilayer dielectric PPGM structure, as well as a cascaded-PPGM structure by modifying equations. B. Characteristic Impedance The characteristic impedance ( ) can be obtained from the analysis of a conventional capacitive loaded periodic structure [7]. It should be noted here that of the PPGM structure is less dependent on frequency than conventional PBG/metamaterial structures [10]–[15]. Therefore, unlike conventional PBG/metamaterial structures, the PPGM structure can be used as a transmission line, which enables us to utilize the PPGM structure for application to various miniaturized passive components on MMICs. Fig. 5 shows measured and calculated characteristic impedance of the PPGM structure with an SiN thickness of 700 nm, where is the spacing between periodically perforated rectangular holes shown in Fig. 2(a)–(c). Microstrip lines were fabricated by Au plating on a GaAs substrate with a height of 100 m. The width for the holes and linewidth , which are shown in Fig. 2(a)–(c), were set to 20 m, respectively. The thickness of the SiN layer was 700 nm. The characteristic impedance of the PPGM structure was measured by the following method. Firstly, 50 -based -parameters of a PPGM structure were measured by a network analyzer with 50- port impedance. After this, the 50- -based -parameters were transformed to -parameters, and then the -parameters were transformed to -parameters for arbitrary port impedance

Fig. 5. Measured and calculated characteristic impedance Z .

. In case the arbitrary port impedance is the same with , the return loss for the arbitrary port impedance becomes zero. Therefore, was finally obtained from the condition . In other words, satisfying is . This process was automatically performed by a CAD tool. For accurate measurement, we measured three samples to obtain the characteristic impedance, and they were averaged. In addition, a -parameter deembed method was employed to obtain accurate on-wafer results by excluding the parasitic capacitance of the ground–signal–ground (GSG) pad. As shown in Fig. 5, characteristic impedance of the conventional microstrip line, which corresponds to the data at the spacing , is 80 , and low can be obtained by increasing the spacing because an increase of causes an enhancement of periodic capacitance , as shown in (1). The value for can be easily controlled by only changing the spacing . As is well known, the conventional microstrip lines with low impedance cannot be realized on GaAs MMICs due to their large size (e.g., the linewidth should be 500 m to obtain a of 15 from the conventional microstrip line) [6]. Therefore, a conventional microstrip line with low characteristic impedance cannot be integrated on the MMIC due to its large size. However, characteristic impedance can be greatly reduced by using the PPGM structure and, therefore, a microstrip line employing PPGM is appropriate for a fabrication of low-impedance passive components on the MMIC.

YUN et al.: RF CHARACTERISTICS OF MICROSTRIP LINE EMPLOYING PPGM AND APPLICATION TO ON-CHIP PASSIVE COMPONENTS ON GaAs MMIC

Fig. 7. Measured and calculated effective permittivity "

Fig. 6. Measured and calculated wave length  .

3809

.

C. Guided Wave Length and Effective Permittivity can also Guided wave length and effective permittivity be calculated from the above equations and – graph of Fig. 4. Firstly, propagation constant of the PPGM structure can be calculated from (1), (2) and the – graph shown in Fig. 4. and can then be obtained from the following relations: (3a) (3b) (3c) Figs. 6 and 7 show the measured and calculated at 20 GHz and of the PPGM structure with an SiN thickness of 700 nm, respectively. The wavelength was obtained from the phase of the measured insertion loss by using the relation , where and are the measured phase of and physical length of the PPGM structure. In Fig. 6, the width and height of periodically placed holes are 20 and 40 m, respectively. As shown in this figure, of the conventional microstrip line, which corresponds to the data at the spacing , is 14.3 mm, and can be highly reduced by increasing the spacing because an increase of causes an enhancement of periodic capacitance , as shown in (1c). As shown in Fig. 7, the PPGM structure shows a much higher value of than the dielectric constant of GaAs ( ) due to its slow wave structure. The above results indicate that highly miniaturized and low-impedance passive components on the MMIC can be realized by using the microstrip line employing PPGM. D. Loss of the Microstrip Line With PPGM Measured and calculated insertion loss per millimeter for the microstrip line with PPGM are shown in Fig. 8(a), where measured loss for the conventional microstrip line was also plotted for comparison. The insertion loss was measured at a port impedance of 50 , and it was normalized by characteristic impedance of the microstrip lines. As shown in this figure, the microstrip line with PPGM shows slightly higher insertion loss than the conventional one owing to its slow wave

Fig. 8. (a) Measured and calculated insertion loss of PPGM structure and conventional microstrip line. (b) Conductive and dielectric loss of PPGM structure and conventional microstrip line.

structure. This result can be explained as follows. Using the lossy transmission line theory gives the following: total loss

conductive loss

dielectric loss

(4a)

and are the attenuation constant originating from where the conductive and dielectric loss for the microstrip line with

3810

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

PPGM, and from lossy transmission-line theory [7] they can be expressed as follows: surface res. characeristic imp.width (4b) (4c)

(4d) , , , , , and are surface resistance, where characteristic impedance, conductivity of line, effective permittivity, effective width, and loss tangent. The thickness of the Au line and PPGM are 7 and 1 m, respectively. For a calculation of conductive loss, (4b) employing the surface resistance was used under the following assumptions: the electromagnetic (EM) wave of the PPGM structure mainly originates from the current on the surface of the Au line, and the EM wave generated by the current on the periodic holes of the PPGM can be ignored. Under this assumption, the surface resistance can be used for an estimation of the loss for the PPGM structure because skin depth for the Au line is much thinner than the thickness of the Au line (e.g., skin depth at 10 GHz is 0.7 m, while the thickness of Au line is 7 m). However, we should keep the followings in the mind. In other words, it is unclear at present that the above assumptions and (4b) are valid for the very complicated PPGM structure, and the above assumption might result in some calculation error for the PPGM structure. At present, however, the simplest way of calculating the conductance loss is to use (4b) under the above consumptions, whether or not it is valid for the PPGM structure. Therefore, we employed (4b) for simplicity of calculation, but we might have to develop more accurate equations considering all parasitic effects (such as the EM wave generated by the current on the periodic holes) in order to obtain a more accurate result. and can be obtained from (1), (3c), and the impedance equation for the periodic structure [7]. Conductivity of line and are 4.1 10 S m and 0.006, respectively, because the Au line was employed on a GaAs substrate. The higher loss of the PPGM structure mainly originates from the dielectric loss. From (3), we can see that for the PPGM structure is much higher than conventional microstrip line because the PPGM structure has much larger due to shorter . Therefore, the PPGM structure shows a higher dielectric loss than the conventional microstrip line because dielectric loss is proportional to from (4d). Fig. 8(b) shows the conductive and dielectric loss per millimeter ( and ) for the microstrip line with the PPGM and conventional one. As shown in this figure, the PPGM structure shows conductive loss comparable to the conventional one, but much higher dielectric loss, which

leads to slightly higher insertion loss of the PPGM structure. From the above result, we can see that the higher insertion loss of the PPGM structure originates from its slow wave structure. As shown in Fig. 8(a), however, the resultant loss of the PPGM structure is less than 0.35 dB/mm, which is not so high for application to on-chip components on a MMIC. E. Resonance Frequency Estimated From the Equivalent Circuit Periodic structures such as PBG and metamaterial have their natural resonance frequency due to resonance structure originating from their inherent LC parallel parasitic elements, and the resonance of the LC parallel structure occurs in low frequency range less than 20 GHz, which dominantly contribute to an improvement of filter characteristics [10]–[15]. Therefore, conventional periodic structures utilize the resonance characteristics in the operation frequency band and, therefore, they are suitable for application to filters rather than transmission lines. For application to transmission lines, however, the resonance of the periodic structures should occur in a much higher frequency than the operation frequency band because the resonance in the operation frequency band causes a serious loss of transmission line. Fortunately, the resonance of the PPGM structure occurs in a very high frequency range due to very small inductance and capacitance values of the LC parallel resonance structure, and it is suitable for application to lossless transmission lines. An equivalent circuit for the microstrip line with PPGM is shown in Fig. 9(a), where , , and can be explained as follows: • : inductance originating from the current flowing along the line and loop current on the holes; • : gap capacitance between the adjacent edges of the ground lines comprising the holes; • : capacitance between top line and PPGM (see Fig. 2). Actually, an extraction of an accurate equivalent circuit for PPGM is very difficult owing to its very complicated structure and, in this study, a simple equivalent circuit was proposed to explain the resonance characteristics. From the equivalent circuit, we can see that periodic structures suffers from the loss originating from a resonance of an LC parallel circuit. In other words, if the following condition is satisfied, LC resonance occurs and the equivalent circuit shows band rejection characteristics, which lead to the serious insertion loss of the PPGM structure in the rejection band:

(5) However, the value of of the PPGM structure is very small, and the resonance frequency is much higher than the operating frequency. For example, if m and m, respectively, inductance and capacitance for the equivalent circuit are 0.011 nH and 0.048 pF, respectively. In this case, the resonance frequency is 218 GHz. Therefore, in the operation band, the PPGM structure does not suffer from the loss originating from the resonance of the LC parallel circuit. Actually, the PPGM structure mainly suffer from the loss originating from conductive and dielectric loss, which was mentioned before. The equivalent circuit including the conductive

YUN et al.: RF CHARACTERISTICS OF MICROSTRIP LINE EMPLOYING PPGM AND APPLICATION TO ON-CHIP PASSIVE COMPONENTS ON GaAs MMIC

3811

Fig. 10. Insertion loss S of the PPGM structure calculated from EM simulation and equivalent circuit (it was normalized by a characteristic impedance of 25 ).

Fig. 9. (a) Equivalent circuit of PPGM structure without conductive and dielectric loss. (b) Equivalent circuit of PPGM structure including conductive and dielectric loss.

Fig. 11. Coupled microstrip line employing PPGM.

and dielectric loss is shown in Fig. 9(b), where and are the resistance originating from conductive loss of the line and conductance originating from the dielectric loss, respectively. The insertion loss of the PPGM structure calculated from the EM simulation and equivalent circuit of Fig. 9(b) are shown in Fig. 10, where the insertion loss data was calculated for a microstrip line employing PPGM with a length of 1 mm, and it was normalized by a characteristic impedance of 25 . For EM simulation, the moment method was employed using Agilent Technologies’ ADS Momentum. The data obtained from the equivalent circuit of Fig. 9(b) correspond to the case m, m, , , nH, pF, and pF. As shown in Fig. 9(b), we can observe the resonance characteristic in 210 GHz, and lower loss than 2 dB in the frequency range lower than 160 GHz. By the way, we should indicate one point about the above results. In this study, in order to observe only the resonance characteristic, the above results were obtained supposing that the PPGM was connected to a perfect ground and, therefore, insertion loss is almost zero up to 100 GHz. However, the ideally perfect ground does not exist, and if we employ a real ground structure consisting of via-holes, the PPGM structure exhibits a maximum loss of 1.6 dB in a range of GHz, while a conventional microstrip line exhibits a maximum loss of 0.5 dB. In addition, the loss of the PPGM structure is dependent on the ground condition such as the number of via-holes. Therefore, compared with the ideal case of Fig. 10, the PPGM structure

Fig. 12. Calculated isolation characteristics S between ports 1 and 2 of coupled microstrip line employing PPGM (see Fig. 11) and conventional one.

employing real ground plane with via-holes might show much higher loss in a very high frequency range (such as GHz) F. Isolation Characteristics We also investigated the isolation characteristics for a coupled microstrip line employing PPGM. Fig. 11 shows the structure of the coupled microstrip line with PPGM. We calculated the isolation characteristics between ports 1 and 2 using full-wave analysis. The isolation characteristic between ports 1 and 2 are shown in Fig. 12, where the isolation characteristic for a conventional coupled microstrip line without PPGM was also

3812

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

Fig. 14. (a) Equivalent circuit of single-section =4 impedance transformer employing PPGM. (b) Single-section =4 impedance transformer employing PPGM.

Fig. 13. Equivalent circuit of the coupled microstrip line employing PPGM.

included for comparison, and , , , and for the coupled microstrip line with PPGM and a conventional one are all 20 m, respectively. As shown in this figure, the microstrip line with PPGM shows much better isolation characteristics than a conventional one in the vicinity of the resonance frequency, although it does not show better isolation characteristics in the whole frequency range. The resonance characteristics originate from the structure of the coupled microstrip line employing PPGM. The equivalent circuit for the coupled microstrip employing PPGM is shown in Fig. 13, where the loss term ( and ) and gap coupling capacitance were removed for simplicity. The coupling capacitance comprising the parallel LC originates from the coupling between the adjacent top lines shown in Fig. 11, and the inductance originates from the loop current on the holes. Therefore, as shown in Fig. 13, an equivalent parallel LC circuit exists between two lines of Fig. 11 and, for this reason, the coupled microstrip line employing PPGM shows the resonance characteristic for the isolation between ports 1 and 2, which leads to better isolation characteristics than a conventional coupled microstrip line in the vicinity of resonance frequency. In addition, the resonance frequency can be shifted by changing the coupled line structure such as distance between lines (see Fig. 11) and, therefore, the frequency range in which a better isolation characteristic is obtained can be controlled by only changing the coupled line structure. The above results indicate that the microstrip line with PPGM is suitable for application to signal lines on a highly integrated MMIC requiring a high isolation characteristics to suppress mutual coupling.

For a comparison, we also briefly mention the characteristics of the thin-film structure whose perfect ground is located at the place of PPGM (in other words, the perfect ground is located at the interface between the SiN film and GaAs substrate). According to our calculation results, the thin-film structure showed much lower impedance and higher loss compared with the PPGM structure. For example, the characteristic impedance of this structure was at a thickness of 100 nm for the SiN thin film, and an insertion loss of 3 dB was observed at 10 GHz, which makes it very difficult to apply the thin-film structure for passive matching components. In addition, the thin-film structure showed a larger wavelength compared with a well-designed PPGM structure because the slow-wave does not exist on the thin-film structure.

IV. DESIGN OF BROADBAND IMPEDANCE TRANSFORMERS EMPLOYING PPGM STRUCTURE AND THEIR RF CHARACTERISTICS In this study, using the PPGM structure, we fabricated highly miniaturized on-chip transformers employing PPGM. Firstly, we fabricated a single section impedance transformer employing the PPGM structure and measured its RF characteristics. Fig. 14(a) and (b) shows a schematic diagram and photograph of the single section impedance transformer employing the PPGM structure on a GaAs substrate. The characteristic impedance of the transformer is given by [6]

(6) and are the load and port impedances, respecwhere tively. In this study, the and are 20 and 10 , respectively, and the is 14.1 . In this study, the PPGM structure with an SiN thickness less than 100 nm was used for a miniaturization of the transformer, and the length of the transformer is 0.425 mm for a linewidth of 20 m. Therefore, the size of the transformer including via-holes is 0.0425 mm , which is 2.3% of the size of the transformer fabricated by a conventional

YUN et al.: RF CHARACTERISTICS OF MICROSTRIP LINE EMPLOYING PPGM AND APPLICATION TO ON-CHIP PASSIVE COMPONENTS ON GaAs MMIC

3813

TABLE II SIZE OF THE SINGLE-SECTION TRANSFORMER EMPLOYING CONVENTIONAL MICROSTRIP LINES AND PPGM

Fig. 16. Equivalent circuit of multisection ploying PPGM.

=4 impedance

transformer em-

Fig. 17. Chebyshev polynomials.

Further assume that the transformer can be made symmetrically so that , , , etc. Equation (7a) can then be written as

(8)

Fig. 15. Measured insertion and return losses of the single-section impedance transformer employing PPGM.

=4

microstrip line (if a transformer with a of 14.1 is fabricated by conventional microstrip line on the GaAs substrate, the linewidth and length should be 550 m and 3.3 mm, respectively, and its size is 1.82 mm ). This result is summarized in Table II. Fig. 15 shows the measured return loss [ of Fig. 14(a)] and insertion loss , respectively. and were measured by following method. Firstly, 50- -based -parameters of the transformer were measured by network analyzer with 50- port impedance. After this, the 50- -based -parameters were transformed to -parameters, and then the -parameters were transformed to -parameters for 10- and 20- port impedance. As shown in this figure, we can observe return-loss values lower than 9 dB from 3 to 10.5 GHz, and insertion loss values lower than 1 dB in the above frequency range, which mainly originate from the above-mentioned conductive and dielectric losses. We also designed a broadband multisection transformer using the Chebyshev function [7]. A detailed explanation for the design of the broadband transformer is as follows [7]. Firstly, we begin with the reflection theory of the multisection transformer. Fig. 16 shows a multisection transformer. Using the well-known multiple reflection theory [17], the overall reflection coefficient can be approximated as

Equation (8) is then seen to be of the form of a finite Fourier cosine series in , which can be written as

for

even

for

(9a)

odd (9b)

In order to obtain broadband characteristics from the transformer, of the multisection transformer was determined so that the reflection coefficient of (9) would be a Chebyshev function response [7]: the th-order Chebyshev polynomial of degree , which is denoted by . The first three Chebyshev polynomials are (10a) (10b) (10c) Higher order polynomials can be found using the following recurrence formula [7]:

(7a)

(10d)

(7b)

The first three Chebyshev polynomials are plotted in Fig. 17. From Fig. 17, we can observe notches in the th-order polynomial, e.g., has three notches. In addition, as the order of the polynomial becomes higher, the number of ripples is

(7c)

3814

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

increased, which means that high-order polynomial is required to increase the bandwidth of the transformer. In the range of , we can let . It can then be shown that the Chebyshev polynomials can be expressed as [7]

(11a) In the range of

Fig. 18. Multisection =4 impedance transformer employing PPGM.

, In the above equation, and (14), can be given by

, and from (11b)

(11b)

(15)

We desire equal ripple in the passband of the transformer, thus it , and then is necessary to map to and in the range of . If we let to , the Chebyshev polynomials of (10) can be rewritten in the following useful form:

, , and are determined, we can obtain Therefore, if , from (15) and design a multisection transformer using (13) [7]. In this study, we designed a three-section transformer . From to match a 20- load to a 10- line with (12c) and (13b) with ,

(12a) (12b) (12c) To obtain an equal ripple characteristic (shown in Fig. 17) over the passband of the transformer, the reflection coefficient of (9) should be a Chebyshev polynomial, and the following equations should be satisfied:

(16) From the above equation, we can obtain the following results: (17a) (17b) (17c) (17d) The characteristic impedance of the three-section transformer (see Fig. 16) are then

for

even

(13a) (18a) (18b)

for where can find Thus,

odd

(13b)

is a maximum value of the reflection coefficient. We by letting , corresponding zero frequency.

so we have

(14)

(18c) We obtain the characteristic impedances , , and from (15), (17), and (18) with , , and . The photograph of the three-section transformer is shown in Fig. 18. The length of each section of the transformer is 0.361, 0.425, and 0.5 mm for a linewidth of 20 m (see Fig. 6). Therefore, the size of the transformer including via-holes is 0.129 mm , which is 2.3% of the size of the transformer fabricated by conventional microstrip lines. This result is summarized in Table III. Measured return loss ( of Fig. 16) and insertion loss of the three-section transformer are shown in Fig. 19, respectively, where the measured and calculated of the three-section transformer

YUN et al.: RF CHARACTERISTICS OF MICROSTRIP LINE EMPLOYING PPGM AND APPLICATION TO ON-CHIP PASSIVE COMPONENTS ON GaAs MMIC

3815

TABLE III SIZE OF THE THREE-SECTION TRANSFORMER EMPLOYING CONVENTIONAL MICROSTRIP LINES AND PPGM

Fig. 20. Highly miniaturized Wilkinson power divider employing PPGM.

Fig. 21. Power division characteristics of the Wilkinson power divider.

V. HIGHLY MINIATURIZED WILKINSON POWER DIVIDER EMPLOYING PPGM STRUCTURE Fig. 19. Measured insertion and return losses of the three-section impedance transformer employing PPGM.

=4

are a solid line and triangles, respectively, and measured and calculated are a solid line and triangles, respectively. Measured of the single-section transformer (see Fig. 15) was also plotted via a dashed line for comparison. As shown in this figure, we can observe three notches in the measured of the three-section transformer, and only one in the single-section transformer. Sometimes a resonance originating from the structural shape of a component results in the notches. By measuring only a three-section transformer, we cannot say clearly that the three poles originated from the Chebyshev polynomials shown in Fig. 17 because it cannot be clearly distinguished from the resonance-pole characteristics. Therefore, we also calculated the of the two-section transformer to clear this point. According to the result, we observed two notches from the twosection transformer. Therefore, from this result, it might be most reasonable that the three-pole characteristics originate from the third-order Chebyshev polynomial. Compared with the single-section transformer, the three-section transformer shows a broader bandwidth with ripples. The above result indicates that the designed transformer reflect the characteristics of the Chebyshev polynomials very well. The three-section transformer exhibits return-loss values lower than 9 dB from 1.5 to 13 GHz, and insertion loss values lower than 1.2 dB in the above frequency range, which reveals that the three-section transformer can be applied for an on-chip matching component between low-impedance devices in a broadband including UWB.

In this study, using the PPGM structure, a highly miniaturized on-chip Wilkinson power divider with a low port impedance of 13 was also developed. The photograph for the power divide is shown in Fig. 20. The actual size of the power divider corresponds to the part surrounded by the dotted line because the GSG pad was connected for on-wafer measurement, and it is 0.11 mm , which is 6% of the size of the one fabricated by a conventional microstrip line (the size of the power divider employing conventional microstrip line is 1.82 mm at 5 GHz [7]). Fig. 21 shows measured power division characteristics ( and ) for the Wilkinson power divider employing PPGM structure, respectively. As shown in Fig. 21, we can observe equal power division characteristics and power division values higher than 5.5 dB from 4.5 to 6 GHz. VI. CONCLUSION In this study, we have developed a highly miniaturized on-chip impedance transformer employing the PPGM for application to broadband low-impedance matching. Firstly, we fabricated the single-section transformer with a of 14.1 on a GaAs substrate. The line length and width of the transformer were 20 m and 0.425 mm, respectively, and its size including via-holes is 0.0425 mm , which is 2.3% of the size of the transformer fabricated by a conventional microstrip line. The single-section transformer showed return-loss values lower than 9 dB from 3 to 10.5 GHz, and insertion loss values lower than 1 dB in the above frequency range. We also developed a highly miniaturized on-chip three-section transformer employing the PPGM for application to low-impedance matching over a broadband including UWB. According to the experimental results, the three-section transformer showed

3816

IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 54, NO. 10, OCTOBER 2006

a good RF performance over a broad band including UWB. Concretely, the transformer exhibited return-loss values lower than 9 dB from 1.5 to 13 GHz, and insertion-loss values lower than 1.2 dB in the above frequency range. The size of the three-section transformer including via-holes is 0.129 mm , which is 2.3% of the size of the transformer fabricated by conventional microstrip line. Using the PPGM structure, we also developed a highly miniaturized on-chip Wilkinson power divider with a low port impedance of 13 , and its size is 0.11 mm , which is 6% of the size of the one fabricated by a conventional microstrip line. In addition, in this study, we theoretically characterized the PPGM structure using a conventional capacitive loaded periodic structure. Using the theoretical analysis, we investigated basic characteristics of the transmission line with PPGM (e.g., bandwidth, loss, isolation, and resonance characteristics) in order to evaluate its suitability for a development of miniaturized on-chip passive components. According to the results, the bandwidth of the PPGM structure was more than 266 GHz as long as is less than 20 m, and the resonance characteristic was observed in 216 GHz for m, which indicates that the PPGM structure can be employed as a transmission line for application to a commercial microwave/millimeter-wave device. The PPGM structure showed a slightly higher insertion loss than a conventional microstrip line due to its slow wave structure. From the above results, we can see that the PPGM structure is a promising candidate for application to a development of miniaturized on-chip passive components. In addition, owing to the resonance structure of the coupled line employing the PPGM, the coupled line employing the PPGM structure showed a better isolation characteristic than a conventional coupled line, which means that the PPGM structure is suitable for application to signal lines on a highly integrated MMIC requiring high isolation characteristics to suppress mutual coupling.

REFERENCES [1] K. Matsunaga, I. Miura, and N. Iwata, “A CW 4-W Ka-band power amplifier utilizing MMIC multichip technology,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1293–1297, Sep. 2000. [2] D. R. Webster, G. Ataei, and D. G. Haigh, “Low-distortion MMIC power amplifier using a new form of derivative superposition,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 328–332, Feb. 2001. [3] Y. Itoh, M. Nii, N. Takeuchi, Y. Tsukahara, and H. Kurebayashi, “MMIC/super-MIC/MIC-combined C - to Ku-band 2W balanced amplifier multi-chip module,” IEICE Trans. Electron., vol. E80-C, no. 6, pp. 757–762, Jun. 1997. [4] Y. Yun, T. Fukuda, T. Kunihisa, and O. Ishikawa, “A high performance downconverter MMIC for DBS applications,” IEICE Trans. Electron., vol. E84-C, no. 11, pp. 1679–1688, Nov. 2001. [5] Y. Yun, M. Nishijima, M. Katsuno, H. Ishida, K. Minagawa, T. Nobusada, and T. Tanaka, “A fully-integrated broadband amplifier MMIC employing a novel chip size package,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2930–2937, Dec. 2002. [6] I. Bahl and P. Bhartia, Microwave Solid State Circuit Design. New York: Wiley, 1988, ch. 4. [7] D. M. Pozar, Microwave Engineering. Reading, MA: Addison-Wesley, 1990. [8] Y. Yun, “A low impedance and short-guided wavelength microstrip line employing a periodically perforated ground metal and its application to miniaturized and low impedance ratrace on GaAs MMIC,” in Proc. 34th Eur. Microw. Conf., Amsterdam, The Netherlands, Oct. 2004, pp. 459–462.

[9] ——, “Highly miniaturized on-chip passive components fabricated by microstrip lines with periodically perforated ground metal on GaAs MMIC,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, pp. 485–488. [10] C. S. Kim, J. S. Park, D. Ahn, and J. B. Lim, “A novel 1-D periodic defected ground structure for planar circuits,” IEEE Microw. Guided Wave Lett., vol. 10, no. 4, pp. 131–133, Apr. 2001. [11] D. Ahn, J. S. Park, C. S. Kim, J. Kim, Y. Qian, and T. Itoh, “A design of low-pass filter using the novel microstrip defected ground structure,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 86–93, Jan. 2001. [12] F. R. Yang, K. P. Ma, Y. Qian, and T. Itoh, “A UC-PBG structure and its applications for microwave circuits,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1509–1514, Aug. 1999. [13] A. S. Andrenko, Y. Ikeda, and O. Ishida, “Application of PBG microstrip circuits for enhancing the performance of high-density substrate patch antennas,” Microw. Opt. Technol. Lett, vol. 32, no. 5, pp. 340–344, Mar. 2002. [14] A. Lai and T. Itoh, “Microwave composite right/left-handed metamaterials and devices,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, pp. 31–34. [15] J. Gao and L. Zhu, “Per-unit-length parameters of 1-D CPW metamaterials with simultaneously series-C and shunt-L loading,” in Proc. Asia–Pacific Microw. Conf., Suzhou, China, Dec. 2005, pp. 39–42. [16] B. C. Wadell, Transmission Line Design Handbook. Norwood, MA: Artech House, 1991, ch. 3. [17] S. B. Cohn, “Optimum design of stepped transmission line transformers,” IEEE Trans. Microw. Theory Tech., vol. 3, no. 4, pp. 16–21, Apr. 1955.

Young Yun was born in Busan, Korea, on November 27, 1969. He received the B.S. degree in electronic engineering from Yonsei University, Seoul, Korea, in 1993, the M.S. degree in electrical and electronic engineering from the Pohang University of Science and Technology, Pohang, Korea, in 1995, and the Ph.D. degree in electrical engineering from Osaka University, Osaka, Japan, in 1999. From 1999 to 2003, he was an Engineer with the Matsushita Electric Industrial Company Ltd., Osaka, Japan, where he has been engaged in the research and development of MMICs for wireless communications. In 2003, he joined the Department of Radio Sciences and Engineering, Korea Maritime University, Busan, Korea, where he is currently an Assistant Professor. His research interests include design and measurement for RF/microwave and millimeter-wave ICs and design and fabrication for high electron-mobility transistors (HEMTs) and heterostructure bipolar transistors (HBTs).

Kyung-Sik Lee was born in Busan, Korea, on February 17, 1980. He received the B.S. degree in radio sciences and engineering from Korea Maritime University, Busan, Korea, in 2005, and is currently working toward the M.S. degree at Korea Maritime University.

Chung-Ryul Kim was born in Busan, Korea, on January 7, 1976. He received the B.S. degree in radio sciences and engineering from Korea Maritime University, Busan, Korea in 2005, and is currently working toward the M.S. degree at Korea Maritime University.

YUN et al.: RF CHARACTERISTICS OF MICROSTRIP LINE EMPLOYING PPGM AND APPLICATION TO ON-CHIP PASSIVE COMPONENTS ON GaAs MMIC

Ki-Man Kim (M’95) received the B.S., M.S., and Ph.D. degrees from Yonsei University, Seoul, Korea, in 1988, 1990, and 1995, respectively, all in electronics engineering. From 1995 to 1996, he was a Fellow with the Yonsei Medical Center, where he was involved in developing medical diagnosis equipments. In 1996, he joined the Department of Radio Science and Engineering, Korea Maritime University, Busan, Korea, where he is currently an Associate Professor. His main research interests include speech enhancement, microphone array, and hardware implementation by using a digital signal processor/complex programmable logic device (CPLD).

3817

Ji-Won Jung received the B.S., M.S., and Ph.D. degrees from Sungkyunkwan University, Seoul, Korea, in 1989, 1991, and 1995, respectively, all in electronics engineering. From November 1990 to February 1992, he was with the LG Research Center, Anyang, Korea. From September 1995 to August 1996, he was with Korea Telecom (KT). From August 2001 to July 2002, he was an Invited Researcher with the Communication Research Center Canada [supported by Natural Sciences and Engineering Research Council of Canada (NSERC)]. Since 1996, he has been with the Department of Radio Science and Engineering, Korea Maritime University, Busan, Korea. His research interests are channel coding, digital modem, field-programmable gate-array (FPGA) design technology, and digital broadcasting systems.

INFORMATION FOR AUTHORS Submitting Manuscripts for Publication Authors need to visit the website http://www.mtt.org/publications/Transactions/for_authors_transactions.htm for the author instructions and checklist to make sure their manuscript is ready for submission. Please make the pdf file with a word-processing program or by converting a printer file, rather than by scanning. The maximum file size is 1MB. All fonts must be embedded in the file. Each manuscript must include an abstract of 200 words or less. The manuscript should be formatted so that is easy to read on either a computer screen or on paper printed out in black and white. The manuscript must be single-spaced and double-column, with figures and captions at the appropriate place within the text, rather than at the end. IEEE templates must be used (see http://www.ieee.org/organizations/pubs/transactions/information.htm). A manuscript should look as it will appear when printed. Figures should be large enough to be easily viewed on a computer screen. Label axes carefully and use large lettering. Instrument screen dumps and screen photos should be redrawn to make them clear. Plot theory and experiment on the same graph so that they can be compared easily. Shading and color must generally be avoided. TeX and LaTeX users need to use scalable fonts rather than bitmapped fonts, so that the pdf file can be read easily on a computer screen. TeX and LaTeX are the preferred word processing languages. If you use Microsoft Word all symbols in the document must be entered using the built-in equation editor or using Mathtype (i.e. do not use font changes to enter symbols). Manuscripts are considered as regular papers or letters. Letters are limited to errata and comments on previously published material. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. Manuscripts that describe simulations of circuits without validating measurements may be returned without reviews. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. The author must notify the editor at the time of submission if the manuscript contains material that has been previously submitted for publication. We will consider papers that are related to material published in conference digests only if the paper is substantially expanded. Please attach pdf files of the previous papers. Page Charges Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free preprints will be sent. Copyright and Clearance It is the policy of the IEEE to own the copyright to the technical contributions it publishes on behalf of the interests of the IEEE, it authors, and their employees, and to facilitate the appropriate reuse of this material by others. To comply with the U.S. Copyright Law, authors are required to sign an IEEE copyright transfer form (http://www.ieee.org/about/documentation/copyright) before publication. This form returns to authors and their employers full rights to reuse their material for their own purposes. Authors must submit a signed copy of this form with their manuscripts. The IEEE must assume that material submitted to its publications is available for general dissemination. It is the responsibility of the author, not the IEEE, to determine whether disclosure of the material requires prior consent of other parties and, if so, to obtain it. Do not repeat figures that were published in non-IEEE publications including conferences unless you obtain and forward a copyright release. Website The website for this TRANSACTIONS is http://www.mtt.org/publications/Transactions/transactions.htm. This site has information about future Special Issues, advanced Tables of Contents, and other information about this TRANSACTIONS. Digital Object Identifier 10.1109/TMTT.2006.883137

Digital Object Identifier 10.1109/TMTT.2006.884917

Digital Object Identifier 10.1109/TMTT.2006.884919

EDITORIAL BOARD Editors: D. WILLIAMS AND A. MORTAZAWI Associate Editors: A. CANGELLARIS, A. CIDRONALI, M. DO, K. ITOH, J. LIN, D. LINTON, S. MARSH, Y. NIKAWA, J. PEDRO, Z. POPOVIC, S. RAMAN, V. RIZZOLI, R. SNYDER, R. WU, T. WYSOCKI, A. YAKOVLEV REVIEWERS A. Abbaspour-Tamijani D. Abbott M. Abdulla M. Abe M. Abedin M. Abouzahra M. Abramowicz R. Achar E. Ackerman D. Adam E. Adle M. Adlerstein M. Afsar K. Agarwal K. Agawa K. Ahmed D. Ahn H.-R. Ahn M. Aikawa M. Akaike Y. Akaiwa E. Akmansoy S. Aksoy A. Akyurtlu F. Alessandri C. Algani F. Ali M. Ali W. Ali-Ahmad F. Alimenti C. Alippi B. Alpert A. Alphones S. Al-Sarawi A. Altintas A. Alvarez-Melcom S. Amari C. Anastasiou U. Andersson Y. Ando P. Andreani K.-S. Ang I. Angelov S. Anlage O. Anwar I. Aoki R. Aparicio V. Aparin F. Arndt U. Arz M. Asai P. Asbeck H. Ashok H. Ashoka A. Atalar A. Atia N. Audeh S. Auster P. Auxemery I. Awai A. Aydiner K. Aygun R. Azadegan A. Babakhani I. Bahl D. Baillargeat S. Bajpai W. Bakalski J. Baker-Jarvis B. Bakkaloglu K. Balmain Q. Balzano S. Banba J. Bandler R. Bansal F. Bardati I. Bardi S. Barker D. Barlage J. Barr J. Bartolic D. Bates G. Baudoin Q. Balzano B. Beker G. Belenky D. Belot C. Bell P. Bell J. Benedikt J. Bernhard G. Bertin H. Bertoni E. Bertran W. Beyene A. Beyer M. Bialkowski E. Biebl P. Bienstman S. Bila M. Bilakowski A.-L. Billabert F. Bilotti H. Bilzer O. Biro R. Bisiso B. Bisla D. Blackham M. Blank P. Blondy D. Boccoli F. Bohn B. Boeck L. Boglione R. Boix J. Booske N. Borges de Carvalho V. Boria O. Boric-Lubecke A. Borji J. Bornemann W. Bosch R. Bosisio S. Boumaiza M. Bozzi E. Bracken R. Bradley V. Bratman T. Brazil G. Brehm K. Breuer B. Bridges J. Brinkoff S. Broschat S. Brozovich D. Budimir D. Buechler M. Buff C. Buntschuh J. Bunton J. Burghartz P. Burghignoli Y. Bykov A. Caballero B. Cabon J. Calame

M. Calcatera C. Caloz C. Camacho-Penalosa E. Camargo R. Cameron S. Cammer C. Campbell M. Campovecchio F. Canavero J. Cao J. Capmany F. Capolino G. Carchon R. Carter N. Carvalho F. Casas J. Catala R. Caverly J. Cavers Z. Cendes B. Cetiner R. Chair H. Chaloupka A. Chambarel B. Chambers C.-H. Chan Y.-J. Chan C.-Y. Chang F. Chang G. Chang H.-C. Chang H.-R. Chang K. Chang E. Channabasappa H. Chapell W. Chappell M. Chatras S. Chaudhuri S. Chebolu C.-C. Chen C.-H. Chen H.-H. Chen J. Chen R. Chen W.-K. Chen Y.-J. Chen K.-K. Cheng Y.-C. Cheng W.-C. Chew C.-Y. Chi Y.-C. Chiang C.-F. Chiasserini I.-T. Chiang J. C. Chiao I. Chiba D. Chigrin A. Chin C.-C. Chiu Y. Cho C. Choi J. Choi M.-J. Choi C.-K. Chou Y.-H. Chou D. Choudhury K. Choumei Y. Chow C. Christodoulou C. Christopoulos H.-R. Chuang Y. Chung B. Chye R. Cicchetti C. Cismaru D. Citrin P. Civalleri A. Ciubotaru T. Clark R. Clarke J. Cloete E. Cohen F. Colomb B. Colpitts M. Condon D. Consonni J. Corral A. Constanzo I. Corbella E. Costamagna A. Coustou J. Craninckx J. Crescenzi S. Cripps D. Cros T. Crowe M. Cryan J. Culver C. Curry W. Curtice M. da Cunha W.-L. Dai T. Dahm G. Dambrine B. Danly F. Danneville N. Das M. Davidovich A. Davis C. Davis L. Davis H. Dayal F. De Flaviis H. De Los Santos A. De Lustrac P. De Maagt J. de Mingo R. De Roo L. de Vreede D. De Zutter B. Deal A. Dearn P. Debicki J. Deen A. Deleniv M. DeLisio S. Demir A. Deutsch V. Devabhaktuni Y. Deval A. Diet L. Ding A. Djermoun T. Djordjevic J. Dobrowolski D. Dolfi W. Dou M. Douglas P. Draxler A. Dreher F. Drewniak J. Drewniak D. Dubuc S. Dudorov L. Dunleavy V. Dunn A. Duzdar

S. Dvorak L. Dworsky M. Dydyk M. Edwards R. Ehlers H. Eisele G. Eisenstein G. Eleftheriades M. Elliott T. Ellis A. Elsherbeni R. Emrick N. Engheta A. Enokihara Y. Eo H. Eom C. Ernst M. Esashi L. Escotte I. Eshrah V. Esposti M. Essaaidi K. Esselle H. Estaban J. Esteban C. Fager J. Fan D.-G. Fang M. Farina W. Fathelbab A. Fathy J. Favennec A. Fazal E. Fear M. Feldman A. Fernandez A. Ferrero T. Fickenscher J. Fiedziuszko D. Filipovic A. Fliflet B. Floyd P. Focardi N. Fong K. Foster P. Foster B. Frank C. Free J. Freire M. Freire R. Freund F. Frezza I. Frigyes C. Froehly J. Fu R. Fujimoto T. Fujioka O. Fujiwara H. Fukuyama V. Fusco D. Gabbay N. Gagnon J. Gallego B. Galwas O. Gandhi B.-Q. Gao J. Gao J. Garcia R. Garver A. Gasiewski B. Geelen B. Geller V. Gelnovatch W. Geppert F. Gerecht J. Gering M. Gerken S. Gevorgian R. Geyer O. Ghandi F. Ghannouchi K. Gharaibeh G. Ghione D. Ghodgaonkar F. Giannini J. Gilb A. Glisson M. Goano E. Godshalk M. Goldfarb P. Goldsmith M. Golio N. Gomez X. Gong R. Gonzalo S. Gopalsami A. Gopinath R. Gordon A. Gorur K. Goverdhanam W. Grabherr L. Gragnani J. Grahn G. Grau A. Grebennikov T. Gregorzyk I. Gresham A. Griol D. R. Grischowsky C. Grossman E. Grossman T. Grzegorczyk A. Gupta K. Gupta M. Gupta R. Gutmann W. Gwarek J. Hacker M. Hafizi S. Hadjiloucas S. Hagness D. Haigh P. Hale D. Ham K. Hamaguchi S. Hamedi-Hagh J. Hand K. Hashimoto Q. Han T. Hancock A. Hanke V. Hanna Z. Hao S. Hara L. Harle A. Harish P. Harrison H. Hartnagel J. Haslett G. Hau R. Haupt S. Hay H. Hayashi J. Hayashi L. Hayden J. Heaton

P. Hedekvist W. Heinrich G. Heiter M. Helier R. Henderson F. Henkel J. Herren P. Herczfeld F. Herzel J. Hessler A. Hiatala C. Hicks M. Hieda A. Higgins M. Hikita W. Hioe Y. Hirachi T. Hiraota A. Hirata T. Hiratsuka Y.-C. Ho W. Hoefer K. Hoffmann R. Hoffmann J. Hong J.-S. Hong K. Horiguchi Y. Horii J. Horng J. Horton K. Hosoya R. Howald H. Howe H.-M. Hsu H.-T. Hsu J.-P. Hsu C.-W. Hsue C.-C. Huang C. Huang F. Huang H. Huang H.-C. Huang J. Huang T.-W. Huang P. Huggard H.-T. Hui D. Humphreys A. Hung C.-M. Hung H. Hung J.-J. Hung I. Hunter H.-Y. Hwang T. Idehara S. Iezekiel J.-Y. Ihm Y. Iida H. Iizuka P. Ikalainen Y. Ikeda P. Ikonen K. Ikossi M. Ilic J. Inatani K. Iniewski H. Inokawa A. Inoue M. Ishida A. Ishimaru T. Ishizaki S. Islam Y. Ismail Y. Isota M. Ito T. Itoh Y. Itoh T. Ivanov C. Iversen D. Iverson M. Iwamoto Y. Iyama H. Izumi D. Jachowski C. Jackson D. Jackson R. Jackson M. Jacob S. Jacobsen D. Jaeger B. Jagannathan N. Jain R. Jakoby G. James V. Jandhyala M. Janezic H. Jantunen B. Jarry P. Jarry A. Jastrzbeski E. Jeckein W. Jemison Y. Jeon J. Jeong Y.-H. Jeong G. Jerinic A. Jerng T. Jerse D. Jiao J.-M. Jin J. Joe L. Johansson T. Johnson A. Joseph K. Joshin J. Joubert P. Juodawlkis P. Kabos S.-T. Kahng T. Kaho D. Kajfez T. Kamel Y. Kamimura H. Kamitsuna K. Kamogawa S. Kanamaluru H. Kanaya M. Kanda P. Kangaslahtii V. Kaper M. Kärkkäinen A. Karpov U. Karthaus A. Karwowski T. Kashiwa R. Kaul K. Kawakami A. Kawalec T. Kawanishi S. Kawasaki H. Kayano M. Kazimierczuk R. Keam L. Kempel P. Kenington K. Kenneth S. Kenny

Digital Object Identifier 10.1109/TMTT.2006.884915

A. Kerr A. Khalil A. Khanifar J. Kiang Y.-W. Kiang P.-S. Kildal O. Kilic B. Kim H. Kim I. Kim J.-P. Kim M. Kim W. Kim B. Kimm K. Kimura S. Kimura A. Kirilenko V. Kisel S. Kishimoto A. Kishk T. Kitamura K. Kitayama T. Kitazawa W. Klaus E. Klumprink R. Knerr R. Knöchel L. Knockaert K. Kobayashi Y. Kogami B. Kolner S. Komaki M. Komaru J. Komiak A. Komijani G. Kompa A. Konczykowska Y. Konishi A. Koonen B. Kopp K. Kornegay M. Koshiba T. Kosmanis J. Kot Y. Kotsuka S. Koul V. Kourkoulos A. B. Kozyrev A. Krenitskiy N. Kriplani K. Krishnamurthy V. Krishnamurthy A. Kroenig C. Kromer C. Krowne V. Krozer W. Kruppa R. Kshetrimayum H. Ku H. Kubo E. Kuester Y. Kuga W. Kuhn T. Kuki M. Kumar M. Kunert J. Kuno M. Kunst C.-N. Kuo J.-T. Kuo H. Kurebayashi T. Kuri F. Kuroki S. Kusunoki D. Kuylenstierna M. Kuzuhara I. Kwon Y.-W. Kwon R. Lai Y.-L. Lai P. Lampariello M. Lanagan M. Lancaster P. Lane U. Langmann Z. Lao G. Lapin L. Larson J. Laskar A. Lauer G. Lazzi Y. Le Coz Y. Le Guennec S. Le Maguer B. Lee C. Lee J.-F. Lee J.-W. Lee K. Lee R. Lee S.-G. Lee T. Lee Y.-C. Leong R. Leoni K.-W. Leung P. Leuchtmann G. Leuzzi A. Leven A. Levi R. Levy A. Lewandowski M. Lewis K. Li L.-W. Li X. Li Y. Li Y.-M. Li M. Liberti L. Ligthart S. Lim E. Limiti C. Lin J. Lin Y.-D. Lin Y.-S. Lin L. Lind S. Lindenmeier F. Ling A. Lipparini D. Lippens V. Litvinov C.-P. Liu Q.-H. Liu S.-I. Liu W. Liu O. Llopis D. Lo A. Loayssa R. Loison J. Long K. Lorincz U. Lott J.-H. Loui H.-C. Lu L.-H. Lu S. Lu

W.-T. Lu V. Lubecke G. Lucca S. Lucyszyn R. Luebbers L. Lunardi J. Luy S. Lyshevski J.-G. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella P. Macchiarella J. Machac S. Maci J. Maciel M. Madihian B. Madhavan V. Madrangeas M. Magana S. Mahmoud S. Mahon I. Maio A. Majedi M. Majewski M. Makimoto J. Malherbe D. Malocha T. Manabe G. Manganaro T. Maniwa C. Mann H. Manohara R. Mansour D. Manstretta J. Mao S.-G. Mao S. Marchetti R. Marques J. Martens J. Marti F. Martin E. Martinez K. Maruhashi D. Masotti A. Massa S. Masuda A. Materka B. Matinpour M. Matsuo A. Matsushima A. Matsuzawa S. Matsuzawa G. Matthaei D. Matthews J.-P. Mattia J. Maurer J. Mayock J. Mazierska S. Mazumder G. Mazzarella K. McCarthy T. McKay J. McKinney R. McMillan D. McQuiddy P. Meany F. Medina S. Melle F. Mena C. Meng H.-K. Meng W. Menzel F. Mesa A. Metzger P. Meyer C. Mias K. Michalski G. Michel E. Michielssen A. Mickelson R. Miles D. Miller R. Minasian B. Minnis D. Mirshekar J. Mitchell O. Mitomi R. Mittra M. Miyakawa R. Miyamoto M. Miyazaki K. Mizuno S. Mizushina M. Mohamed S. Mohammadi A. Mohammadian M. Mongiardo J. Morente M. Morgan K. Mori A. Morini N. Morita E. Moros A. Morris J. Morsey H. Mosallaei M. Mrozowski J.-E. Mueller M. Muraguchi K. Murata H. Muthali T. Nagatsuma P. Nagel K. Naishadham T. Nakagawa M. Nakajima N. Nakajima J. Nakayama M. Nakayama M. Nakhla J. Nallatamby S. Nam S. Narahashi A. Natarajan J. Nath B. Nauwelaers J. Navarro I. Nefedovlgor H.-C. Neitzert B. Nelson S. Nelson A. Neri H. Newman D. Ngo E. Ngoya C. Nguyen K. Niclas E. Niehenke P. Nikitin A. Niknejad N. Nikolova T. Nirmalathas K. Nishikawa T. Nishikawa

K. Nishimura T. Nishino K. Nishizawa G. Niu W. Ng S. Nogi K. Noguchi T. Nojima A. Nosich B. Notaros K. Noujeim D. Novak T. Nozokido T. Nurgaliev D. Oates J. Obregon J. O’Callahan M. O’Droma M. Odyneic I. Ogawa M. Ogusu K. Oh M. Ohawa T. Ohira I. Ohta M. Ohtsuka S. Oikawa K. Okada Y. Okano H. Okazaki V. Okhmatovski A. Oki M. Okoniewski A. Oliner J. Olsson F. Olyslager A. Omar M. Omiya K. Onodera B.-L. Ooi I. Oppermann R. Orta S. Ortiz J. Ou T. Owada M. Ozkar J. Page de la Pega W. Palmer G.-W. Pan A. Paolella C. Papanicolopoulos J. Papapolymerou B.-K. Park C.-S. Park W. Park A. Parker D. Parker T. Parker J. Pearce B. Pejcinovic S.-T. Peng R. Pengelly R. Penty J. Pereda B. Perlman L. Perregrini M. Petelin R. Petersen W. Petersen A. Peterson A. Petosa A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierce B. Piernas J. Pierro P. Pieters M. Piket-May L. Pileggi Z.-Y. Ping M. Pirola A. Platzker C. Plett C. Pobanz R. Pogorzelski R. Pokharel R. Pollard G. Ponchak M. Popovic J. Portilla M. Pospieszalski V. Postoyalko A. Pothier S. Prasad D. Prather D. Prescott A. Priou D. Purdy Y. Qian T. Quach C. Quendo R. Quere F. Raab V. Radisic K. Radhakrishnan T. Rahkonen C. Railton A. Raisanen K. Rajab O. Ramahi J. Randa R. Ranson T. Rappaport J. Rathmell C. Rauscher J. Rautio B. Rawat J. Rayas-Sanchez R. Reano G. Rebeiz J. Rebollar B. Redman-White M. Reddy R. Reid H.-M. Rein J. Reinert R. Remis K. Remley C. Rey L. Reynolds A. Rezazadeh E. Rezek A. Riddle B. Riddle J.-S. Rieh E. Rius I. Robertson R. Robertson A. Rodriguez R. Rogers H. Rogier U. Rohde N. Rolland R. Romanofsky

A. Rong Y. Rong D. Root L. Roselli A. Rosen U. Rosenberg L. Roy M. Royer J. Roychowdury T. Rozzi B. Rubin M. Rudolph P. Russer D. Rutledge T. Ruttan A. Rydberg T. Rylander D. Rytting C. Saavedra A. Safavi-Naeini A. Safwat M. Sagawa B. Sahu A. Saitou I. Sakagami K. Sakaguchi K. Sakakibara K. Sakamoto K. Sakoda M. Salazar-Palma C. Samori L. Samoska A. Sanada Y. Sanada M. Sanagi P. Sandhiva U. Sangawa A. Sangster K. Sano K. Sarabandi T. Sarkar C. Sarris H. Sato M. Sato S. Sato H. Sawada H. Sawaya A. Sawicki A. Sayed I. Scherbatko J. Schellenberg G. Schettini F. Schettino B. Schiek M. Schindler E. Schlecht E. Schmidhammer D. Schmitt J. Schneider J. Schoukens A. Schuchinsky R. Schuhmann J. Schultz J. Schutt-Aine A. Seeds Y. Segawa T. Seki S. Selberherr G. Semouchkin E. Semouchkina Y.-K. Seng R. Settaluri J. Sevic O. Sevimli Y. Segawa Z. Shao M. Shapiro A. Sharma S. Sharma T. Shen Z.-X. Shen Y. Shestopalov H. Shigesawa Y.-C. Shih H. Shimasaki S. Shinjo N. Shino N. Shinohara T. Shimozuma W. Shiroma K. Shogen N. Shuley M. Shur D. Sievenpiper A. Sihvola C. Silva M. Silveira M. Silveirinha M. Silveirinhao K. Silvonen G. Simin R. Simons B. Sinha F. Sinnesbichler J. Sinsky J. Sitch H.-J. Siweris R. Sloan A. Smith D. Smith G. Smith P. Smith R. Snyder H. Sobol A. Sochava M. Solano K. Solbach M. Solomon M. Sorolla Ayza R. Sorrentino C. Soukoulis N. Soveiko E. Sovero J. Sowers M. Soyuer R. Sparks P. Staecker D. Staiculescu S. Stapleton J. Staudinger P. Stauffer P. Steenson K. Stephan M. Steyaert S. Stitzer A. Stoehr B. Strassner M. Stubbs M. Stuchly A. Suarez G. Subramanyam R. Sudbury N. Suematsu M. Sugiyama D. Sullivan L. Sundstrom

Y. Suzuki J. Svacina D. Swanson D. Sweeney R. Syms B. Szendrenyi W. Tabbara M. Tabib-Azar A. Taflove M. Taghivand N. Taguchi Y. Tahara G. Tait Y. Tajima T. Takagi K. Takahashi S. Takayama Y. Takayama S. Takeda I. Takenaka M. Taki K. Takizawa S. Talisa N. Talwalkar B.-T. Tan C.-Y. Tan J. Tan C.-W. Tang W.-C. Tang S. Tanaka T. Tanaka Y. Tanaka M. Tani E. Taniguchi H. Tanimoto R. Tascone J. Taub J. Tauritz R. Tayrani D. Teeter F. Teixeira R. Temkin M. Tentzeris K. Thakur H. Thal W. Thiel H.-W. Thim B. Thompson D. Thompson M. Tiebout L. Tiemeijer H. Toda M.-R. Tofighi M. Togashi T. Tokumitsu R. Tomasiunas A. Tombak K. Tomiyasu I. Toyoda S. Tretyakov R. Trew A. Trifiletti C. Trueman A. Truitt C.-M. Tsai E. Tsai L. Tsang H.-Q. Tserng T. Tsiboukis J. Tsui M. Tsuji T. Tsujiguchi T. Tsukahara K. Tsukamoto K. Tsunoda H. Tsurumi S. Tu R. Tucker M. Tur C.-K. Tzuang H. Uchida S. Uebayashi T. Ueda S. Ueno J. Uher F. Uhlmann T. Ulrich T. Umeda Y. Umeda F. Urbani T. Uwano P. Vainikainen P. Valanju F. Van de Water P. van den Berg D. Van der Weide G. Vandenbosch A. Vander Vorst D. Vanhoenacker-Janvie J. Vankka F. Van Straten K. Varian G. Vasilecu A. Vegas-Garcia L. Vegni A. Verma R. Vernon J. Verspecht B. Vidal L. Vietzorreck A. Viitanen A. Vilches C. Vittoria S. Vitusevich D. Viveiros V. Volman K. Wada K. Wakino D. Walker R. Walker M. Wallis C. Walsh C. Wan S. Wane B.-Z. Wang C. Wang D. Wang E. Wang H. Wang J. Wang K.-C. Wang S. Wang T.-H. Wang W. Wang X. Wang K. Warnick P. Warr S. Wartenberg O. Watanabe S. Watanabe R. Waugh D. Webb K. Webb R. Webster S. Wedge C.-J. Wei

J. Weirt R. Weigel G. Weihs R. Weikle C. Weil D. Weile A. Weily S. Weinreb J. Weiss C. Weitzel T. Weller C.-P. Wen M.-H. Weng R.-M. Weng S. Wentworth J. Whelehan L. Whicker J. Whitaker N. Whitbread D. White I. White S. Whiteley A. Whittneben B. Widrow G. Wilkins J. Williams T. Williams A. Williamson B. Willen B. Wilson J. Wiltse T. Winslow J. Winters A. Wittneben M. Wnuk M.-F. Wong S. Wong W. Woo J. Wood R. C. Wood G. Woods D. Woolard B.-L. Wu C. Wu H. Wu K. Wu K.-L. Wu Q. Wu Y.-S. Wu J. Wuerfl M. Wurzer J. Wustenberg G. Xiao C. Xie H. Xin Y.-Z. Xiong J. Xu Y. Xu Q. Xue T. Yakabe K. Yamamo S. Yamamoto S. Yamashita K. Yamauchi F. Yang H.-Y. Yang K. Yang Y. Yang Y.-J. Yang Z. Yang S. Yanagawa F. Yanovsky H. Yao J. Yao J. Yap B. Yarman K. Yashiro H. Yasser K. Yasumoto S. Ye J. Yeo S.-P. Yeo A. Yilmaz W.-Y. Yin S. Yngvesson N. Yoneda T. Yoneyama C.-K. Yong J.-G. Yook J.-B. Yoon R. York I. Yoshida S. Yoshikado L. Young M. Yousefi J.-W. Yu M. Yu P.-K. Yu W. Yu S.-W. Yun P. Yue A. Zaghoul A. Zaghloul A. Zajic K. Zaki P. Zampardi J. Zapata L. Zappelli J. Zehentner L. Zhang Q.-J. Zhang R. Zhang S. Zhang W. Zhang Y. P. Zhang A. Zhao L. Zhao Y. Zhao F. Zhenghe W. Zhou A. Zhu L. Zhu N.-H. Zhu Y.-S. Zhu Z. Zhu R. Zhukavin D. Zimmermann R. Ziolkowski H. Zirath J. Zmuidzinas A. Zozaya