132 86
English Pages 349 Year 2010
DECEMBER 2010
VOLUME 58
NUMBER 12
IETMAB
PART II OF TWO PARTS SPECIAL ISSUE ON 2010 INTERNATIONAL MICROWAVE SYMPOSIUM 2010 Symposium Issue
Anaheim Convention Center, site of the 2010 IEEE MTT-S International Microwave Symposium
(ISSN 0018–9480)
IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE S. M. EL-GHAZALY, President L. BOGLIONE W. CHAPPELL M. GUPTA
R. SNYDER, President Elect
J. HACKER M. HARRIS J. HAUSNER
K. ITOH S. KOUL J. LASKAR
T. LEE J. LIN
M. MADIHIAN, Secretary A. MORTAZAWI V. J. NAIR
Y. NIKAWA G. PONCHAK
Honorary Life Members T. ITOH A. A. OLINER
T. S. SAAD P. STAECKER
N. KOLIAS, Treasurer D. SCHREURS W. SHIROMA
R. SNYDER B. SZENDRENYI
Distinguished Lecturers K. TOMIYASU L. YOUNG
A. CANGELLARIS S. GEVORGIAN F. ELLINGER F. GHANNOUCHI A. FERRERO S. LUCYSZYN
A. PHAM P. TASKER M. TENTZERIS
R. WEIGEL K. WU
Past Presidents K. WU M. YU
B. PERLMAN (2009) J. MODELSKI (2008) J. S. KENNEY (2007)
MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: D. LEATHERWOOD Austria: A. SPRINGER Baltimore: N. BUSHYAGER Bangalore: T. SRINIVAS Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: D. VANHOENACKER-JANVIER Boston: J. MULDAVIN Brasilia: J. DA COSTA/ A. KLAUTAU Buenaventura: M. QUDDUS Buffalo: J. WHALEN Bulgaria: K. ASPARUHOVA Cedar Rapids/Central Iowa: M. ROY Central & South Italy: G. D’INZEO Central No. Carolina: N. S. DOGAN Chengdu: Z. NEI Chicago: H. LIU Cleveland: M. SCARDELLETTI Columbus: F. TEXEIRA Connecticut: C. BLAIR Croatia: Z. SIPUS Czech/Slovakia: P. HAZDRA Dallas: Q. ZHANG Dayton: A. TERZUOLI
Delhi/India: S. KOUL Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHISH Finland: A. LUUKANEN Florida West Coast: K. A. O’CONNOR Foothills: F. FREYNE France: P. EUDELINE Germany: K. SOLBACH Greece: R. MAKRI Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: W. S. CHAN Houston: J. T. WILLIAMS Houston, College Station: G. H. HUFF Hungary: T. BERCELI Huntsville: H. G. SCHANTZ Hyderabad: M. CHAKRAVARTI India/Calcutta: D. GUHA India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. ARAKI Kansai: T. OHIRA Kitchener-Waterloo: R. R. MANSOUR Lithuania: V. URBANAVICIUS
Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant LINDA GAYDOSH OAI USA
HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore K. REMLEY, Editor-in-Chief, IEEE Microwave Magazine
Long Island/New York: J. COLOTTI Los Angeles, Coastal: W. DEAL Los Angeles, Metro/San Fernando: F. MAIWALD Malaysia: M. ESA Malaysia, Penang: Y. CHOW Melbourne: K. LAMP Mexico: R. M. RODRIGUES-DAGNINO Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Montreal: K. WU Nanjing: W. X. ZHANG New Hampshire: D. SHERWOOD New Jersey Coast: D. REYNOLDS New South Wales: A. M. SANAGAVARAPU New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: H. DAYAL/K. DIXIT Northern Australia: M. JACOB Northern Nevada: B. S. RAWAT Norway: Y. THODESEN Orange County: H. J. DE LOS SANTOS Oregon: T. RUTTAN Orlando: X. GONG Ottawa: Q. YE Philadelphia: J. NACHAMKIN Phoenix: S. ROCKWELL Poland: W. J. KRZYSZTOFIK
Portugal: C. PEIXEIRO Princeton/Central Jersey: A. KATZ Queensland: A. RAKIC Rio de Janeiro: J. BERGMANN Rochester: S. CICCARELLI/ J. VENKATARAMAN Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny: Y. BELOV Russia, Novosibirsk: A. GRIDCHIN Russia, Saint Petersburg: M. SITNIKOVA Russia, Saratov: N. M. RYSKIN Russia, Tomsk: R. V. MESCHERIAKOV Saint Louis: D. MACKE San Diego: G. TWOMEY Santa Clara Valley/San Francisco: M. SAYED Seattle: K. A. POULSON Seoul: S. NAM Serbia and Montenegro: A. MARINCIC Shanghai: J. F. MAO Singapore: A. ALPHONES South Africa: C. VAN NIEKIRK South Australia: H. HANSON South Brazil: R. GARCIA Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO
Springfield: P. R. SIQUEIRA Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: E. ARVAS Taegu: Y.-H. JEONG Taipei: F.-T. TSAI Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: N. BURGESS Turkey: I. TEKIN Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. POPLAVKO Ukraine, East, Kharkov: O. V. SHRAMKOVA Ukraine, East Student Branch Chapter, Kharkov: M. KRUSLOV Ukraine, Rep. of Georgia: D. KAKULIA Ukraine, Vinnitsya: V. DUBOVOY Ukraine, West, Lviv: I. ISAYEV ˇ Venezuela: J. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: J. QIU Winnipeg: V. OKHMATOVSKI
Associate Editors KEVIN J. CHEN N. SCOTT BARKER Hong Kong Univ. Sci. Technol. Univ. Virginia Hong Kong Charlottesville, VA USA MING YU COSTAS D. SARRIS COM DEV Univ. Toronto. Cambridge, ON, Canada Toronto, ON, Canada CHIN-WEN TANG CHRISTOPHE FUMEAUX Nat. Chung Cheng Univ. The Univ. Adelaide Taiwan Adelaide, South Australia, Australia BART NAUWELAERS DEUKHYOUN HEO ESAT-TELEMIC Washington State Univ. Belgie, Belgium Pullman, WA USA JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters T. LEE, Web Master
IEEE Officers PEDRO A. RAY, President MOSHE KAM, President-Elect DAVID G. GREEN, Secretary PETER W. STAECKER, Treasurer JOHN R. VIG, Past President TARIQ S. DURRANI, Vice President, Educational Activities ROGER W. SUDBURY, Director, Division
JON G. ROKNE, Vice President, Publication Services and Products BARRY L. SHOOP, Vice President, Member and Geographic Activities W. CHARLTON (CHUCK) ADAMS, President, IEEE Standards Association ROGER D. POLLARD, Vice President, Technical Activities EVELYN H. HIRT, President, IEEE-USA IV—Electromagnetics and Radiation
IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer PATRICK MAHONEY, Marketing THOMAS SIEGERT, Business Administration CECELIA JANKOWSKI, Member and Geographic Activities MATTHEW LOEB, Corporate Activities ANTHONY DURNIAK, Publications Activities DOUGLAS GORHAM, Educational Activities JUDITH GORMAN, Standards Activities BETSY DAVIS, SPHR, Human Resources MARY WARD-CALLAN, Technical Activities CHRIS BRANTLEY, IEEE-USA ALEXANDER PASIK, Information Technology IEEE Periodicals Transactions/Journals Department Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $125.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2010 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A. Front cover photograph courtesy of LylePhotos.com, Atlanta, GA, used by permission.
Digital Object Identifier 10.1109/TMTT.2010.2096998
Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.
DECEMBER 2010
VOLUME 58
NUMBER 12
IETMAB
(ISSN 0018-9480)
PART II OF TWO PARTS
SPECIAL ISSUE ON 2010 INTERNATIONAL MICROWAVE SYMPOSIUM
2010 Symposium Issue
Editorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . G. E. Ponchak
3871
MICROWAVE SYMPOSIUM PAPERS
Theory and Numerical Methods A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Al-Qedra, J. Aronsson, and V. Okhmatovski Passive Components and Circuits Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Durán-Sindreu, G. Sisó, J. Bonache, and F. Martín New Isolation Circuits of CompactImpedance-Transforming 3-dB Baluns for Theoretically Perfect Isolation and Matching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H.-R. Ahn and T. Itoh A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure . . . . . K.-K. M. Cheng and W.-C. Ip A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . S. Bastioli, C. Tomassoni, and R. Sorrentino Analysis of a Reconfigurable Bandpass Circular Patch Filter . . . . . . A. L. C. Serrano, F. S. Correra, T.-P. Vuong, and P. Ferrari Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. H. Khalil, D. Passerieux, D. Baillargeat, N. Delhote, S. Verdeyme, L. Estagerie, and J. Puech Ring Resonator Bandpass Filter With Switchable Bandwidth Using Stepped-Impedance Stubs . . . . . . . C. H. Kim and K. Chang Bandpass–Bandstop Filter Cascade Performance Over Wide Frequency Tuning Ranges . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell
3872
3882 3892 3903 3909 3918 3925 3936 3945
(Contents Continued on Page 3870)
(Contents Continued from Page 3869) Analysis of Metal–Insulator–Metal Structure and Its Application to Sensor . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Tamura and H. Kagata Piezoresistive Position Sensing for the Detection of Hysteresis and Dielectric Charging in CMOS-MEMS Variable Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . N. Zahirovic, R. R. Mansour, and M. Yu Antibiased Electrostatic RF MEMS Varactors and Tunable Filters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Chen, X. Liu, A. Kovacs, W. J. Chappell, and D. Peroulis An Experimental Concentric Near-Field Plate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. F. Imani and A. Grbic Cost-Effective 60-GHz Antenna Package With End-Fire Radiation for Wireless File-Transfer System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Suga, H. Nakano, Y. Hirachi, J. Hirokawa, and M. Ando Electronic Devices and Device Modeling Safe Operating Area of GaAs HBTs Based on Sub-Nanosecond Pulse Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . R. Jin, C. Chen, S. Halder, W. R. Curtice, and J. C. M. Hwang Hybrid and Monolithic RF Integrated Circuits A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M.-H. Tsai, S. S. H. Hsu, F.-L. Hsueh, and C.-P. Jou Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . T. R. Cunha, E. G. Lima, and J. C. Pedro Analysis, Design, and Evaluation of LDMOS FETs for RF Power Applications up to 6 GHz . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D. Gruner, R. Sorge, O. Bengtsson, A. A. Tanany, and G. Boeck Broadband HBT Doherty Power Amplifiers for Handset Applications . . . . . . . . . . . . . . . . . . . D. Kang, D. Kim, J. Moon, and B. Kim 3–5 GHz UWB Impulse Radio Transmitter and Receiver MMIC Optimized for Long Range Precision Wireless Sensor Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Xia, C. L. Law, Y. Zhou, and K. S. Koh A V -Band Switched Beam-Forming Antenna Module Using Absorptive Switch Integrated With 4 2 4 Butler Matrix in 0.13-m CMOS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . W. Choi, K. Park, Y. Kim, K. Kim, and Y. Kwon A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . K. Chuang, D. Yeh, F. Barale, P. Melet, and J. Laskar 10-Gbit/s Quadrature Phase-Shift-Keying Modulator and Demodulator for 120-GHz-Band Wireless Links . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . H. Takahashi, T. Kosugi, A. Hirata, K. Murata, and N. Kukutsu Instrumentation and Measurement Techniques A 10- High-Voltage Nanosecond Pulse Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C. Merla, S. El Amari, M. Kenaan, M. Liberti, F. Apollonio, D. Arnaud-Cormos, V. Couderc, and P. Leveque A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A. Paffi, M. Liberti, V. Lopresto, C. Merla, R. Lodato, G. A. Lovisolo, and F. Apollonio Visual Observations of Characteristic Behaviors of RF Waves in CRLH-TLs and Their Applications to Dispersion Characterizations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . M. Tsuchiya, T. Hashiba, and T. Shiozawa RF Applications and Systems 22-pJ/bit Energy-Efficient 2.4-GHz Implantable OOK Transmitter for Wireless Biotelemetry Systems: In Vitro Experiments Using Rat Skin-Mimic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. Jung, S. Zhu, P. Liu, Y.-J. E. Chen, and D. Heo A Novel Vital-Sign Sensor Based on a Self-Injection-Locked Oscillator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F.-K. Wang, C.-J. Li, C.-H. Hsiao, T.-S. Horng, J. Lin, K.-C. Peng, J.-K. Jau, J.-Y. Li, and C.-C. Chen A Novel Passive RFID Transponder Using Harmonic Generation of Nonlinear Transmission Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . F. Yu, K. G. Lyon, and E. C. Kan Millimeter-Wave Interferometric Angular Velocity Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . J. A. Nanzer Analysis and Improvement of Direct-Conversion Transmitter Pulling Effects in Constant Envelope Modulation Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C.-H. Hsiao, C.-J. Li, F.-K. Wang, T.-S. Horng, and K.-C. Peng Information for Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
3954 3961 3971 3982 3989
3996
4004 4012 4022 4031 4040 4052 4060 4072
4079 4086 4094
4102 4112 4121 4128 4137 4147
CALLS FOR PAPERS
Special Issue on RF Nanoelectronics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2010 INDEX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
4148
Available online at http://ieeexplore.ieee.org
Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3871
Editorial
W
ITH THIS issue, this TRANSACTIONS continues a long history of publishing a special issue based on papers presented at the IEEE Microwave theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS). The IEEE MTT-S IMS is the largest symposium in the world dedicated to the topic of microwave theory, components, and applications. The latest IEEE MTT-S IMS was held on May 23–28, 2010, Anaheim, CA. All of the authors of accepted papers for the conference were invited to submit an expanded version of their conference paper for publishing in this issue, and although every paper accepted for the IEEE MTT-S IMS was reviewed by a committee of experts in the field, every paper in this issue was reviewed again to assure that it meets the high standards of this TRANSACTIONS. In fact, every paper in this issue was handled by the Editor-in-Chief, the Associate Editors, and the reviewers the same as all other submissions.
The highest hurdle that submitted papers must leap is the IEEE requirement that every paper contain sufficient new technical material, which does not mean a longer introduction and list of references. Since the IEEE MTT-S IMS conference paper is a four-page paper with significant technical content, it is difficult for authors to write another paper in such a short time that meets this criterion. 110 papers were submitted and the 31 papers in this Special Issue were determined to contain sufficient new technical material and to be important to the microwave engineering community. While it does not give a full representation of the conference experience, I hope that they give you a glimpse of the exciting topics covered at the IEEE MTT-S IMS.
Digital Object Identifier 10.1109/TMTT.2010.2088190
0018-9480/$26.00 © 2010 IEEE
George E. Ponchak, Editor-in-Chief Nasa Glenn Research Center Cleveland, OH 44135 USA
Copyright of IEEE Transactions on Microwave Theory & Techniques is the property of IEEE and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.
3872
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation Mohammed Al-Qedra, Member, IEEE, Jonatan Aronsson, Student Member, IEEE, and Vladimir Okhmatovski, Senior Member, IEEE
Abstract—The problem of interconnect modeling embedded in multilayered substrate is initially formulated in terms of the volume integral equation (IE) with respect to 3-D conduction current density. One out of three degrees of freedom in volumetric current variation is then eliminated by approximating the current behavior over the coordinate normal to the conductor surface according to the skin-effect. The remaining two degrees of freedom in the volumetric current variation constitute the unknown current distribution on the conductor surface for which a governing surface electric field integral equation is obtained directly from the volume IE via restriction of the volumetric operator’s range to the conductor surface. The resultant surface IE features a global to the conductor cross section surface impedance operator, which is shown to approximate the relationship between tangential electric and magnetic field components on the conductor surface. The proposed novel surface IE featuring multilayered media dyadic Green’s function is amenable to various discretization schemes including the Rao–Wilton–Glisson method of moments. In this paper the latter is implemented by casting the scattered field operator into Michalski–Zheng’s mixed-potential form in conjunction with enforcement of global relationships between the basis and testing functions in conductor cross sections according to the surface impedance operator. Numerical comparisons to alternative conductor loss models show that the method achieves volumetric solution accuracy within the framework of a boundary-element formulation. Index Terms—Integral equation (IE), interconnect, method of moments (MoM), multilayered media, skin-effect, spiral inductor, surface impedance.
I. INTRODUCTION
T
HE increasing density of interconnects in conjunction with growing operating frequencies made the electromagnetic analysis essential to successful design of digital, RF, Manuscript received July 03, 2010; revised September 14, 2010; accepted September 25, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by the Natural Sciences and Engineering Research Council (NSERC) of Canada. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. M. Al-Qedra was with the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada R3T5V6. He is now with the Robarts Research Institute, University of Western Ontario, London, ON, Canada N6A 5K8 (e-mail: [email protected]). J. Aronsson and V. Okhmatovski are with the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada R3T5V6 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2087350
and mixed-signal electronics. Solving interconnect analysis problems via method of moments (MoM) discretization of pertinent integral equations (IEs) offers several advantages that stem from the flexibility in choosing appropriate Green’s function [1], [2]. Typically, the Green’s function explicitly satisfies the radiation conditions, which eliminates the need for discretization of surrounding volumes and localizes the unknown field quantities to the material interface surfaces only. This includes the case of interconnects embedded in multilayered substrates for which the Green’s function can be precomputed numerically and reutilized in subsequent MoM solutions for various layout topologies [3]. A proper choice of the Green’s function in conjunction with selection of an appropriate acceleration algorithm [3], [4] makes the IE approach the provably fastest existing way to solve certain types of interconnect modeling problems [5]. In practical full-wave IE formulations for interconnect modeling the explicit discretization of wire volumes is avoided. The primary reason for that is the rapidly varying nature of the electromagnetic field inside the wire materials, which have high conductivity. Besides presenting a challenge of creating appropriate meshes, the discretization of such fields often leads to extremely large number of degrees of freedom in the resultant discrete models. To avoid explicit handling of the wire volumes, the IE methods resort to impedance boundary conditions (IBCs) [6], [7] relating tangential electric and magnetic field components on wire surfaces. The simplest IBC is the wave impedance of the conductor, which is also known as the Leontovich’s IBC, and is analytically derived for a well conducting half-space [8]. The Leontonvich’s IBC is local in nature and remains accurate under conditions of small curvature of the conductor surface and complete field attenuation along the normal to the surface direction prior to its arrival to another crossing point with the conductor surface. Though in the modeling of interconnect wires both of the above conditions are violated, the impact of insufficient field attenuation on the network parameter extraction accuracy is observed to be notably more pronounced. To eliminate the problem of local IBC breakdown in the situations where the field penetrates across conductor thickness, several global to the conductor cross section IBCs were introduced [9], [10]. We refer to them as “double-plane” formulations. The name stems from the fact that these IBC schemes localize the current flow to two infinitely thin sheets coinciding with the top and bottom surfaces of the interconnect layout. They ignore,
0018-9480/$26.00 © 2010 IEEE
AL-QEDRA et al.: NOVEL SKIN-EFFECT BASED SURFACE IMPEDANCE FORMULATION FOR BROADBAND MODELING OF 3-D INTERCONNECTS
however, the presence of the current flow on the wire sidewalls. The double-plane IBC schemes yield sufficient accuracy in extracted network parameters for predominantly thin conductors featuring the cross-sectional width at least five times larger than the pertinent thickness. As the substrate technology processes continue to evolve, the interconnect wires in various designs can no longer be considered thin. This trend is especially pronounced in on-chip interconnects as the technology moved from 130 to 90 to 65 nm and is continuing to downsize the characteristic dimensions of both the transistors and wires interconnecting them. The characterization of such “thick” wires with MoM motivated creation of more refined “global-within-cross-section” IBCs [11], [12]. Such IBCs account for the current flow on both top and bottom conductor surfaces as well as on the sidewalls and include relations between them. Both of the above IBCs, however, have been derived thus far for 2-D models only. Such models assume that current flows predominantly collinear with the wire axis and ignore vertical currents on the sidewalls. They also have to be augmented with alternative surface impedance models at the bends of the wires. The proper handling of the latter has a first-order impact on the MoM network parameter extraction accuracy in the layouts where the length of wire corners substantially contributes to the overall length of the interconnect. Spiral inductors are typical representatives of such cases. To address the above limitations of the “global-within-crosssection” IBCs a fully global IBC has been proposed in [7]. The IBC model in [7] has been termed “generalized IBC” (GIBC) by the authors. The GIBC model formulates the surface IE for interconnects via application of the standard surface equivalence principle for the field in the exterior to wire volume where the equivalent magnetic current is eliminated through its relationship to the equivalent electric current in the extinction theorem [1]. In contrast to the standard Poggio–Miller–Chang–Harrington–Wu–Tsai (PMCHWT) formulation [13]–[15] for the two region problem, the GIBC formulation does not experience a breakdown for high contrast material interfaces such as encountered in the interconnect modeling problems. The main disadvantage of the GIBC method is its complexity compared to the methods utilizing only the electric field integral equation (EFIE) integral operator. The usage of magnetic field kernels in addition to the electric field kernels in GIBC formulation makes it notably more difficult to implement in conjunction with multilayered media Green’s function. In this paper, we propose a novel surface EFIE formulation and a pertinent “global-within-cross-section” IBC, which are obtained from the volume EFIE (VIE) [5] as result of volumetric conduction current approximation according to the skin-effect. Specifically, we approximate the field inside the wires along the coordinate normal to the wire surface according to the exponential skin-effect behavior. Such approximation reduces the unknown 3-D current from the wire volumes to the unknown surface current density on the wire surface and localizes the domain of VIE operator to the conductor surface. Subsequent localization of the VIE operator’s range to the conductor surface reduces VIE to the proposed surface EFIE. We have previously applied the same approach to derivation of the surface IEs for magneto-quasi-statics in both 2-D [16] and 3-D [17].
3873
In this paper, the method is demonstrated for full-wave surface EFIE derivation with multilayered media Green’s function as a sequel to the introduction of the method with the free-space Green’s function in [18]. The numerical examples suggest that the proposed surface IE solution achieves accuracy of network parameter extraction comparable to the volumetric solution [19] and GIBC approach. II. NOVEL BOUNDARY-ELEMENT MODEL FOR BROADBAND DESCRIPTION OF VOLUMETRIC CURRENT A. Electric Field Volume IE in Layered Media The electric field produced by extraneous time–harmonic electric sources occupying volume in nonmagnetic layered substrate satisfies the vector wave equation [1] (1) where is position-dependent wavenumber in is cyclic frequency of the timethe entire space are permittivity, permeharmonic field; ability, and wavenumber of free-space, respectively; and . In the above equation and everywhere below, the time convention is assumed. The electric field governed by (1) is subject to the radiation conditions in layered media [1], where the tangential magnetic field continuity is expressed as follows: (2) (3) and tangential electric field continuity (4) (5) at each th interface of dielectric substrate and at the boundary of the interconnect , respectively. The dyadic Green’s function of the multilayered substrate , i.e., electric field produced at point by unity strength electric dipole situated in the substrate at location , is governed by a wave equation similar to (1) (6) where
is the identity dyadic (idem factor). In (6), is the position-dependent wavenumber of the dielectric substrate such that the difference is nonzero . The Green’s only inside the volume of interconnect function satisfies the radiation conditions, as well as continuity of the tangential magnetic field (7) and tangential electric field continuity (8)
3874
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
at the material interfaces of the substrate. One can rearrange terms in wave equation (1) to make its left-hand-side operator to match that in the equation for the Green’s function (6) as
(9) Since the Green’s function governed by (6) satisfies the same continuity conditions at substrate interfaces (7) and (8) as the continuity conditions (2) and (4) imposed on the electric field in (9) and also and are subject to the same radiation conditions, the solution of (9) can be written as (10)
Fig. 1. Local coordinate system at the cross section of 3-D interconnect.
B. Skin-Effect-Based Volumetric Current Approximation In a local coordinate system associated with a straight segment of lossy wire featuring rectangular cross section (Fig. 1), the electric field can be approximated as follows:
where is volumetric polarization current density (11) and term
(16)
represents the known incident field where
(12) produced by the extraneous current sources. In case of the comconstimonly used delta-gap excitation mechanism [20], tutes the volume of the infinitely small gap at the port of the transmission line where a predefined electric field is applied to create voltage drop across the gap driving the current into the transmission line. The relation for the electric field (10) at any point in space expressed in terms of the electric field defined in a volume containing nonzero polarization currents is known as the volume equivalence principle [1]. In addition to satisfying the same boundary conditions (2) and (4) as the conditions (7) and (8) satisfied by the Green’s function, the electromagnetic field defined via (10) can be also shown to satisfy the continuity of the tangential magnetic and electric field components at the interface of the nonzero polarization current volume with the medium described by the Green’s function. By restricting the observation points in (10) to the volume of nonvanishing polarization current , one obtains the volume IE with respect to the electric field inside volume [1]
is the conductor bulk conductivity and is the wavenumber of conductor mateare surface rial. In (16), functions defined at the top, bottom, left, and right walls of the conductor cross section and denoted by the superscripts and , respectively. The approximation (16) allows the electric field to assume arbitrary distribution on the conductor surface while forcing it to attenuate according to the skin-effect as the observation point moves inside the conductor away from the surface. It is noted that the vector of electric field is to be predominantly parallel to the conductor corners as the normal to the edge electric field near corners requires a different approximation, as suggested in [21]. The electric field is related to conduction current density entering in volume IE (15) via Ohm’s law . The current density in a conductor cross section can be written as
(17) Introducing surface current density function on the top wall via normalization
(13) For materials with complex permittivity featuring high conductivity , the polarization current is close to . Recalling Ohm’s the conduction current , i.e., law, (14)
(18) and using similar normalizations for the surface current densities on the bottom, left, and right walls , respectively, we approximate volumetric current density at arbitrary observation point in the form
one can see that for good conductors the volume IE (13) reduces to the volume IE with respect to the conduction current (15)
(19)
AL-QEDRA et al.: NOVEL SKIN-EFFECT BASED SURFACE IMPEDANCE FORMULATION FOR BROADBAND MODELING OF 3-D INTERCONNECTS
Above, are the unknown surface current densities at the points of radius-vector normal projections onto the top, bottom, left, and right walls of the conductor, respectively (Fig. 1). It is important to note here that the proposed approximation (19) does not account for the higher order behavior of the field at the conductor corners. This, however, has little effect on the accuracy of current extraction in low-order MoM schemes such as Rao–Wilton–Glisson (RWG) [22], or [23], which utilize -refined [24] zeroth-order basis functions to approximate edge effect like current behavior at the corners. C. Reduction of Volume IE to Surface IE
3875
(15) to a surface EFIE governing the unknown surface current density
(22) and the surface impedance operator relates the tangential electric field at a given point on the conductor surface to the corresponding values of the at the top, bottom, left, and right surface current density walls as follows: In (22),
Considering the local coordinate system in Fig. 1 and substituting from (19) into the integral term in (15) yields
(23) where denotes transposition. In practice, the solution of 3-D surface EFIE (22) with layered is obtained via a low-order MoM media Green’s function scheme utilizing, triangular elements [3], mixed triangular and rectangular elements [25], or general polygonal elements [22]. Depending on the discretization scheme, the Green’s function in such MoM schemes either handled directly in its “current-toelectric-field” relationship form (6) [2], or cast into the mixed potential form [26] leading to the following surface EFIE [26]: (20) where , and are the outer normals to the top, and bottom, left, and right walls, respectively. In (20), are projection of the radius-vector onto the top, bottom, left, and right conductor walls with areas and , respectively. Assuming small cross-sectional dimension and using Green’s function approximations (24) and , we can evaluate analytically the integrals over cross-sectional coordinates and to arrive at the surface integral approximation for the volumetric integral operation in (20)
in The vector potential dyadic Michalski–Zheng’s formulation C [26] has the following components:
(21) where is the union of top, bottom, left, and right wall surfaces. By localizing the observation point in (15) to the conductor surface and using approximation (21), we reduce the volume IE
(25)
3876
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
each of which together with the scalar potential Green’s funcare represented in the form tion of Sommerfeld integrals with the respective integrands allowing transmission line definition, as described in [27] and [28]. D. Physical Interpretation of Surface Current Density Surface Impedance Operator
Since the skin-effect current approximation similar to (19) can be introduced for arbitrary rectangular sub-cross section of area within a physical cross section of area with net current through it expressed in terms of similar contour integrals
and (30)
The section illustrates the interpretation of the proposed surface impedance model in (23) as the relationship between the tangential components of the electric and magnetic field on the conductor surface. Consider a rectangular cross section of area of a 3-D conductor with a local coordinate system, as shown in Fig. 1. The net current, , through the cross section can be found from the flux of conduction current density (26) The skin-effect-based approximation (19) for the -component in the local coordinate system , of the current , is shown in Fig. 1, where
(27) where notation is introduced for the -component of the surface current density at the projection point of the observation point to the top wall of the conductor surface, and similar notations are introduced for the -component of at the projection of the observation point to the bottom, left, and right conductor surface walls, respectively (Fig. 1). Substituting (27) into (26), we get the net current approximation as the following contour integral:
we thus conclude from (30) that (31) is an arbitrary rectangular sub-cross section of physwhere ical cross section (Fig. 1). From (31), we establish that the surface current density entering into the skin-effect current density expression (19) is on approximately equal to the tangential magnetic field is not exact the surface of conductor . This equality since the skin-effect representation (19) does not account for the higher order field behavior at the conductor corners, and thus, has an approximate nature. Within the framework of the same in approximation (19), the surface impedance operator the proposed model (23) has the conventional meaning of the relationship between the tangential electric and magnetic field components on the conductor surface . III. RWG DISCRETIZATION OF THE SURFACE IMPEDANCE OPERATOR A. Discretization of
Along Straight Wire Segments
Though the surface EFIE formulation (22) with the proposed surface impedance model (23) can be numerically solved using different 3-D MoM schemes [25], [22], in this paper we discuss MoM discretization of (24) utilizing the RWG functions [29]. A detailed discussion of the scalarized RWG discretization of the integral operators with layered media vector and scalar potential Green’s functions in (24) can be found in [3]. Here we only present the details of RWG discretization of the surface . To simplify the deimpedance operator term scription of the discretization and without loss of generality, we consider a straight wire segment meshed with cascaded rings of triangular elements, as shown in Figs. 2(a) and 3. Thus, each element on the top wall overlaps with a single element at the bottom wall and similarly each element on the right wall overlaps with only one element on the left wall. Let the interconnect surface be meshed with triangular patches and the unknown RWG surface current density in (22) be discretized with basis functions [29] each of which is composed of two ramp functions (half-RWG) [3]
(28) On the other hand, with the displacement current inside the interconnect being negligible, the net current can be also found from Ampere’s Law (29)
(32) where index supporting ramp
denotes which wall the th triangle is situated on,
AL-QEDRA et al.: NOVEL SKIN-EFFECT BASED SURFACE IMPEDANCE FORMULATION FOR BROADBAND MODELING OF 3-D INTERCONNECTS
3877
ramp functions
(34) Fig. 2. (a) Mesh ring: interconnect building block and (b) surface impedance model at the wire bends relates overlapping top and bottom wall triangles using double-plane model [10] while imposing local surface impedance Zs i = e at the sidewall elements.
=
10
and the corresponding values of the surface impedance operator (23) are
(35) on th From (33)–(35), we notice that each th ramp top wall observation triangle has nonzero inner products with each of three ramps on overlapping triangles . This yields the following 12 nonzero entries in each row of the discretized surface impedance operator matrix Fig. 3. Example of mth source triangle on the right wall and nth observation triangle on the top wall yielding non-zero ramp function to ramp function interactions in the discretized operator (23).
index runs over three ramps on each triangle as shown in Fig. 3, is th edge length of th triangle on wall is area of th triangle on wall is th vertex of th triangle on wall , and represent corresponding unknown coefficients in the MoM. Next, let us substitute the ramp function discretization (32) of the unknown surface current density into the first row discretized surface impedance operator matrix of . Then corresponding to the ramp-to-ramp interactions via testing of the resultant electric field with the th ramp function on th top wall triangle , we obtain
(36)
(37)
(33) is in . In (33), are the surface current values at the projection of observation point onto points in the source triangles situated at the top, bottom, left, and right walls, respectively, and [see Figs. 3 and 2(a)]. The current values overlapping with on each source triangle are defined as a superpositions of three where
observation
point
(38)
3878
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 4. Triangular surface mesh of RWG MoM used in definition of surface impedance model (23) for a generic on-chip spiral inductor.
Fig. 5. Side view for the spiral inductor depicted in Fig. 4 positioned in planar layered medium with material properties depicted for each layer. For this techm, z m, z m, z m, nology process z m. z
= 0545
= 20
= 10
= 035
= 045
(39) In (36)–(39), a
-point quadrature rule [30] with weights is used to evaluate integrals over numeri-
cally. B. Discretization of
at Wire Junctions
At the board and package level interconnects, the wire junctions contribute only a small fraction to the overall wire length. Hence, assuming vanishing surface impedance at the triangles near junctions has little effect on the accuracy of network parameters extraction. In various chip level interconnects, however, such as spiral inductors the length of the junctions may be significant and more accurate handling of the conductor loss in those wire regions may be required. In our MoM formulation, the modeling of wire bends is handled as follows. The double-plane model [10] is used for overlapping top and bottom wall triangles [see Fig. 2(b)], while a local surface impedance is used for the triangles situated on the sidewall at the wire junction. Thus, for the triangles at the wire junction, (23) becomes
(40)
Fig. 6. Extracted inductance and Q factor for inductor in Figs. 4 and 5.
The RWG MoM discretization of the operator (40) leads to the inner product integrals similar to (36) and (37). IV. NUMERICAL RESULTS A. On-Chip Spiral Inductor In the first numerical experiment, we analyze a copper spiral inductor with wires featuring 10- m square cross section. The structure is discretized with 800 triangular elements, as shown in Fig. 4. This example was initially studied in [18] for the case of free-space Green’s function. In this paper, we demonstrate performance of the proposed surface IBC method in the presence of the layered media Green’s function. Fig. 5 shows a side view for the spiral inductor in Fig. 4 embedded in a stack of planar dielectric layers for a typical technology process. The material dielectric and conductor properties are also depicted in Fig. 5. In Fig. 6, the extracted inductance and quality factor ( ) obtained using the Michalski–Zheng’s mixed potential integral equation (MPIE) formulation C [26] and RWG MoM discretization [29]
AL-QEDRA et al.: NOVEL SKIN-EFFECT BASED SURFACE IMPEDANCE FORMULATION FOR BROADBAND MODELING OF 3-D INTERCONNECTS
3879
TABLE I SONNET SIMULATION RESULTS FOR THE SPIRAL INDUCTOR WITH MULTISHEET MODEL. THE PROPOSED METHOD RESULTS ARE SHOWN FOR COMPARISON
Fig. 7. Real and imaginary parts of Y depicted in the figure.
for the two rectangular copper loops
discussed in Section III are shown. For comparison inductance and factor extracted using 3-D magneto-quasi-static extractor FastHenry [31] are included in Fig. 6. The comparison to FastHenry results demonstrates accurate behavior of the proposed model at low frequencies. To validate the model at high frequencies the inductance and factor for the same inductor were extracted using Leontovich IBC and included for comparison in Fig. 6. A good agreement between the models is observed at high frequencies. To validate the model at intermediate frequencies where both a quasi-static FastHenry-based model and high-frequency Leontovich IBC model experience a breakdown, we simulated
Fig. 8. Volumetric current density distributions (in A/m ) of the aggressor (bottom) and victim (top) loops visualized at the port cross section at f MHz, GHz, GHz, and GHz.
1
10
100
= 10
the on-chip spiral inductor using Sonnet commercial software at 3, 5, and 10 GHz with 7, 9, 12, and 15 nonuniformly distributed sheets spanning the conductor cross section, each utilizing the resistor model option [32]. Though such a model is computationally expensive, it is known to provide an accuracy of a full volumetric solution [19]. The results of inductance and -factor extraction obtained using multisheet model are presented in Table I and added to Fig. 6 for comparison against the results extracted with the proposed method. In the seven-sheet model, three sheets are placed at both top and bottom sides of the conductor cross section with a separation distance equal to half the skin depth , and the remaining single sheet is placed
3880
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE II CPU TIME AND MEMORY FOR MLFMM SIMULATIONS WITH PROPOSED METHOD VERSUS GIBC MODEL FROM [7] FOR THE COUPLED LOOPS AT 10 GHz
at the center of the conductor with thickness m . Analogous to the seven-sheet model, in the nine-sheet model four sheets are placed at both top and bottom sides of the conductor cross section with a separation distance equal to half the skin depth, and the remaining sheet with thickness m is placed at the center. In the 12-sheet model, four sheets are placed at both top and bottom sides of the conductor cross section with uniform separation distance between each two consecutive sheets of half a skin depth. The remaining four sheets are placed at the center of the conductor cross section to improve sampling of the sidewall current. The thickness of each sheet is half a skin depth, except for the fifth and eighth m . Analogous sheet where the thickness is to the 12-sheet model, in the 15-sheet model, five sheets are placed at both the top and bottom sides of the conductor cross section with uniform separation distance between each two consecutive sheets of half a skin depth. The remaining five sheets are placed at the center of the conductor cross section. The thickness of each sheet is half a skin depth, except for the m . sixth and tenth sheet where the thickness is For comparison, extraction results obtained with a double-plane model ignoring sidewall currents are also included in Fig. 6. The double-plane model is seen to exhibit accurate -factor extraction only up to 2 GHz. It also produces inaccurate inductance extraction at low frequencies as seen via comparison against FastHenry volumetric solution (Fig. 6).
method (FMM) [33] are shown in Table II. The loops depicted in Fig. 7 are duplicated in the -plane (with every loop having 496 triangular elements) into 4 8, 8 8, 16 8, and 16 16 configurations to mimic a large-scale interconnect simulation scenario, as described in [7]. Table II shows the CPU time per iteration and memory associated with discretization and evaluain (24). For compartion of surface impedance operator ison, the CPU time and memory expenditures required for evaluation of near and far interactions per vector and scalar potential operators in (24) are also shown. One can see that the CPU time and memory used by the discretized surface impedance operator are small compared to requirements for evaluation of combined near and far interactions corresponding to the vector and scalar potential operators. We note here that, in practical implementation, the sparse matrix corresponding to the surface impedance discretization is neither stored separately or separately multiplied with a vector at each iteration of an iterative matrix solver. Instead the sparse matrix is combined with the sparse matrix storing the near interactions for vector and scalar potentials. Thus, the presence of the proposed surface impedance operator makes a negligible impact on the overall CPU time and memory usage of an FMM accelerated RWG MoM solver. The simulations were conducted on an Intel X5355 2.67GHz processor released in November 2006.
B. Rectangular Loop Pair
A new boundary-element framework for accurate broadband full-wave characterization of 3-D interconnects embedded in layered media has been demonstrated. The method incorporates the skin-effect behavior of the cross-sectional fields to reduce the volume IE to a surface EFIE augmented with an appropriate surface impedance operator.
In order to test the proposed model on a package-level interconnect, a pair of rectangular copper loops [7] is modeled with 2752 triangular elements. The simulation results for parameter were presented in [18]. Here we include the extracparameter for completeness (Fig. 7) and also tion results for show the volumetric current density distribution over the rectangular loop cross section visualized at the port side for both the aggressor loop (bottom) and the victim loop (top) (Fig. 8). The cross-sectional current depiction shows physically consistent approximation of the current at low, intermediate, and high frequencies where development of skin and proximity effects is observed. As seen in Fig. 7, the Leontovich IBC properly describes the current behavior only beyond 25 GHz when the conductor is several skin depths thick. The current at low frequencies can be accurately captured by quasi-static extractors [31] . The proposed boundary-eluntil electrical length exceeds ement model (22) and (23), on the other hand, is seen to provide accurate extraction from 10 MHz to 100 GHz and is in good agreement with the global GIBC model [7]. The CPU time and memory usage in the proposed surface impedance model compared to the other time and memory expenditures in RWG MoM accelerated with the fast multipole
V. CONCLUSION
REFERENCES [1] W. C. Chew, Waves and Fields in Inhomogeneous Media. New York: Wiley, 1999. [2] C.-T. Tai, Dyadic Green Functions in Electromagnetic Theory. Piscataway, NJ: IEEE Press, 1994. [3] V. Okhmatovski, M. Yuan, I. Jeffrey, and R. Phelps, “A three-dimensional precorrected FFT algorithm for fast method of moments solutions of the mixed-potential integral equation in layered media,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3505–3517, Dec. 2009. [4] Advanced Design System (ADS). Agilent Technol., Santa Clara, CA, 2009. [Online]. Available: http://edocs.soco.agilent.com/display/ads 2009/Momentum [5] W. C. Chew, J. M. Jin, E. Michielssen, and J. M. Song, Fast and Efficient Algorithms in Computational Electromagnetics. Boston, MA: Artech House, 2001. [6] K. M. Coperich, A. E. Ruehli, and A. Cangellaris, “Enhanced skin-effect for partial-element equivalent-circuit (PEEC) models,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 9, pp. 1435–1442, Sep. 2000.
AL-QEDRA et al.: NOVEL SKIN-EFFECT BASED SURFACE IMPEDANCE FORMULATION FOR BROADBAND MODELING OF 3-D INTERCONNECTS
[7] Z. G. Qian, W. C. Chew, and R. Suaya, “Generalized impedance boundary condition for conductor modeling in surface integral equation,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2354–2364, Nov. 2007. [8] J. A. Stratton, Electromagnetic Theory. New York: McGraw-Hill, 1941. [9] J. D. Morsey, V. I. Okhmatovski, and A. C. Cangellaris, “Finite-thickness conductor models for full-wave analysis of interconnects with a fast integral equation method,” IEEE Trans. Adv. Packag., vol. 27, no. 1, pp. 24–33, Feb. 2004. [10] F. Ling, V. I. Okhmatovski, W. Harris, S. McCracken, and A. Dengi, “Large-scale broadband parasitic extraction for fast layout verification of 3-D RF and mixed-signal on-chip structures,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 264–273, Jan. 2005. [11] E. Tuncer, “Extraction of parameters for high speed digital interconnects,” Ph.D. dissertation, Elect. Comput. Eng. Dept., The Univ. Texas at Austin, Austin, TX, 1995. [12] D. De Zutter and L. Knockaert, “Skin effect modeling based on a differential surface admittance operator,” IEEE Trans. Microw. Theory Tech., vol. 35, no. 8, pp. 2526–2538, Aug. 2005. [13] L. N. Medgyesi-Mitschang, J. M. Putnam, and M. B. Gedera, “Generalized method of moments for three-dimensional penetrable scatterers,” J. Opt. Soc. Amer. A, Opt. Image Sci., vol. 11, pp. 1383–1398, Apr. 1994. [14] J. R. Mautz and R. F. Harrington, “ -field, -field, and combinedfield solutions for conducting body of revolution,” Arch. Elektr. Ubertragung, vol. 32, pp. 157–164, Apr. 1978. [15] R. F. Harrington, “Boundary integral formulations for homogenous material bodies,” J. Electromagn. Waves Appl., vol. 3, no. 1, pp. 1–15, 1989. [16] M. A. I. Al-Qedra and V. I. Okhmatovski, “Full-periphery surface impedance for skin-effect approximation in electric field integral equation,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 9–11, Jan. 2009. [17] M. Al-Qedra, J. Aronsson, and V. Okhmatovski, “Surface integral equation for inductance extraction in 3-D interconnects,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 5, pp. 250–252, May 2010. [18] M. Al-Qedra and V. Okhmatovski, “A novel skin-effect based surface impedance model for accurate broadband characterization of interconnects with method of moments,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 23–28, 2010, pp. 700–703. [19] J. Rautio and V. Demir, “Microstrip conductor loss models for electromagnetic analysis,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 915–921, Mar. 2003. [20] G. V. Eleftheriades and R. Mosig, “On the network characterization of planar passive circuits using the method of moments,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 3, pp. 438–445, Mar. 1996. [21] E. M. Deely, “Surface impedance near edges and corners in three-dimensional media,” IEEE Trans. Magn., vol. 26, no. 2, pp. 712–714, Mar. 1990. [22] L. Knockaert, J. Sercu, and D. De Zutter, “Generalized Poisson–Neumann polygonal basis functions for the electromagnetic simulation of complex planar structures,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 954–961, Mar. 2004. [23] J. Rautio, “A time–harmonic electromagnetic analysis of shielded microstrip circuits,” Ph.D. dissertation, Elect. Comput. Eng. Dept., Syracuse Univ., Syracuse, NY, 1986. [24] A. F. Peterson, S. L. Ray, and R. Mittra, Computational Methods for Electromagnetics. Piscataway, NJ: IEEE Press, 1998. [25] J. X. Zheng, “Electromagnetic modeling of microstrip circuit discontinuities and antennas of arbitrary shape,” Ph.D. dissertation, Elect. Comput. Eng. Dept., Univ. Colorado, Boulder, CO, 1990. [26] K. A. Michalski and D. Zheng, “Electromagnetic scattering and radiation by surfaces of arbitrary shape in layered media. I. Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [27] K. A. Michalski and J. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [28] F. Ling, “Fast electromagnetic modeling of multilayer microstrip antennas and circuits,” Ph.D. dissertation, Elect. Comput. Eng. Dept., Univ. of Illinois at Urbana-Champaign, Urbana, IL, 2000.
H
E
3881
[29] S. Rao, D. Wilton, and A. Glisson, “Electromagnetic scattering by surfaces of arbitrary shape,” IEEE Trans. Antennas Propag., vol. AP-30, no. 3, pp. 409–418, May. 1982. [30] S. Wandzura and H. Xiao, “Symmetric quadrature rules on a triangle,” Comput. Math. Appl., vol. 45, no. 12, pp. 1829–1840, Jun. 2003. [31] M. Kamon, M. J. Tsuk, and J. K. White, “FASTHENRY: A multipoleaccelerated 3-D inductance extraction program,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 9, pp. 1750–1758, Sep. 1994. [32] “The Sonnet User’s Manual,” Sonnet Softw. Inc., Liverpool, NY, 2009. [33] H. Cheng, W. Y. Crutchfield, Z. Gimbutas, L. F. Greengard, J. F. Ethridge, J. Huang, V. Rokhlin, N. Yarvin, and J. Zhao, “A wideband fast multipole method for the Helmholtz equation in three dimensions,” J. Comput. Phys., vol. 216, no. 1, pp. 300–325, Jul. 2006.
Mohammed Al-Qedra (S’01–M’10) was born in Dubai, United Arab Emirates (UAE), in 1981. He received the Bachelor of Science degree (with distinction) in electrical engineering from the American University of Sharjah, Sharjah, UAE, in 2003, the Master of Science degree in microwave engineering from the Technische Universität München, Munich, Germany, in 2005, and the Ph.D. degree in electrical and computer engineering from the University of Manitoba, Winnipeg, MB, Canada, in 2010. From 2004 to 2005, he was an Electromagnetic Compatibility (EMC) Engineer with the Mobile Phones Department, Research and Development, Siemen. He is currently a Postdoctoral Fellow with the Robarts Research Institute, University of Western Ontario, London, ON, Canada. His research interests are in applied and computational electromagnetics including developing efficient computer-aided design (CAD) methods for modeling of integrated circuit components and interconnects. Jonatan Aronsson (S’08) was born in Olofström, Sweden. He received the M.S. degree in engineering physics from the Lund Institute of Technology, Lund, Sweden, in 2003, and is currently working toward the Ph.D. degree in electrical and computer engineering at the University of Manitoba, Winnipeg, MB, Canada. He spent the 2002–2003 academic year as a Visiting Student with the University of Waterloo, Waterloo, ON, Canada. From 2004 to 2006, he was a Systems Manager and Programmer with the University of Waterloo. Since 2006, he has been with the University of Manitoba, where he is an HPC Applications Analyst. His current research interest is in fast and parallel algorithms for computational electromagnetics. Vladimir I. Okhmatovski (M’99–SM’09) received the M.S. degree (with distinction) in radiophysics and the Cand.Sci. (Ph.D.) degree in antennas and microwave circuits from the Moscow Power Engineering Institute, Moscow, Russia, in 1996 and 1997, respectively. In 1997, he joined the Radio Engineering Department, Moscow Power Engineering Institute, as an Assistant Professor. From 1998 to 1999, he was a Postdoctoral Research Associate with the National Technical University of Athens. From 1999 to 2003, he was a Postdoctoral Research Associate with the University of Illinois at Urbana-Champaign. From 2003 to 2004, he was with the Department of Custom Integrated Circuits Advanced Research and Development, Cadence Design Systems Inc. In 2004, he joined the Department of Electrical and Computer Engineering, University of Manitoba, Winnipeg, MB, Canada, where he is currently an Associate Professor. His research interests are in fast algorithms of computational electromagnetics, interconnect modeling, and high-performance computing.
3882
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept Miguel Durán-Sindreu, Student Member, IEEE, Gerard Sisó, Student Member, IEEE, Jordi Bonache, Member, IEEE, and Ferran Martín, Senior Member, IEEE Abstract—This paper is focused on the design of generalized composite right/left handed (CRLH) transmission lines in a fully planar configuration, that is, without the use of surface-mount components. These artificial lines exhibit multiple, alternating backward and forward-transmission bands, and are therefore useful for the synthesis of multi-band microwave components. Specifically, a quad-band power splitter, a quad-band branch line hybrid coupler and a dual-bandpass filter, all of them based on fourth-order CRLH lines (i.e., lines exhibiting 2 left-handed and 2 right-handed bands alternating), are presented in this paper. The accurate circuit models, including parasitics, of the structures under consideration (based on electrically small planar resonators), as well as the detailed procedure for the synthesis of these lines using such circuit models, are given. It will be shown that satisfactory results in terms of performance and size can be obtained through the proposed approach, fully compatible with planar technology. Index Terms—Composite right/left handed transmission lines, dual-band filters, metamaterials, multi-band components, open complementary split ring resonators, open split ring resonators.
I. INTRODUCTION
T
HE concept of composite right/left handed transmission line was introduced in [1], [2] to designate those artificial lines exhibiting backward (or left handed) wave propagation at low frequencies and forward (or right handed) wave propagation at high frequencies. Such lines have been implemented in microstrip [3], CPW [4], LTCC [5] and MMIC [6] technologies, among others, by loading a host line with series capacitances and shunt inductances. Alternatively, CRLH lines can be implemented by loading a host line with electrically small resonators, such as split ring resonators (SRRs) [7], complementary split ring resonators (CSRRs) [8], [9], or other resonators inspired on them [10]. All these artificial lines have been applied to the design of microwave components where size, performance and/or the possiManuscript received June 30, 2010; revised September 17, 2010; accepted September 22, 2010. Date of publication October 25, 2010; date of current version December 10, 2010. This work was supported in part by MEC-Spain under Contract TEC2007-68013-C02-02 METAINNOVA, in part by Generalitat de Catalunya under Project 2009SGR-421 and Project VALTEC08-1-0009, and in part by MCI-Spain under Project CONSOLIDER EMET CSD2008-00066. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. The authors are with GEMMA/CIMITEC, Departament d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, 08193 Bellaterra, Spain (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2084586
bility to achieve novel functionalities are the key improving aspects, as compared to devices based on conventional transmission lines and stubs. A complete list of applications would be very exhaustive and can be found in some of the recently published books on the topic [11]–[14]. Nevertheless, we would like to highlight that most microwave applications of CRLH lines are based on the controllability of the dispersion diagram and characteristic impedance of such lines (dispersion and impedance engineering), which is superior than in conventional lines by virtue of the presence of the loading elements. Clear examples of applications of dispersion and impedance engineering by using CRLH lines are the design of compact enhanced bandwidth [15]–[18] and dual-band components [19]–[23]. The design of multi-band components (tri-band, quad-band, etc.) on the basis of dispersion and impedance engineering with CRLH lines is also possible, but a larger number of loading elements is necessary to achieve this higher order multi-band funcarbitrary tionality. Indeed, to achieve -band operation at transmission bands with independent frequencies, at least control of phase and characteristic impedance at such operating conditions and, frequencies are necessary [24]. This leads to hence, the structures needed to implement these -band devices elemust be described by circuit models containing at least ments. Following this idea, the generalized CRLH line concept was introduced [24]–[26]. One possible, although not exclusive, circuit model (unit cell) for a generalized CRLH line exhibiting four independent transmission bands (and hence described by 8 independent elements), is depicted in Fig. 1 [26]. This model is useful for the synthesis of structures exhibiting quad-band functionality, as reported in [25], [27]. It can also be used for the synthesis of dual-bandpass filters, as reported in [28]. In these works ([27], [28]) the generalized CRLH lines, which exhibit alternated left and right handed bands, are implemented in microstrip technology by combining semi-lumped (planar) and surface mount elements. The main purpose of this work is to go one step further and demonstrate that it is possible to implement quad-band components and dual-bandpass filters based on the generalized CRLH transmission line model in a fully planar configuration, i.e., without the presence of surface mount elements soldered on top of the substrate. Indeed, this was already demonstrated in [29], where a quad-band power splitter implemented in CPW technology was demonstrated. In the present paper, our aim is to demonstrate that it is also possible to implement fully planar quad-band components, as well as dual-bandpass filters,
0018-9480/$26.00 © 2010 IEEE
DURÁN-SINDREU et al.: PLANAR MULTI-BAND MICROWAVE COMPONENTS
3883
Fig. 1. Circuit model (unit cell) of the quad-band CRLH transmission line reported in [26].
in microstrip technology. Moreover, an exhaustive analysis of the circuit model of the considered structures, as well as the specific procedure for the synthesis of these generalized CRLH transmission lines, will be provided. This represents a significant progress as compared to the work in [29], and points out the potential of the proposed structures for the synthesis of multiband components with different functionalities fully compatible with standard planar technologies.
Fig. 2. Typical layout of a microstrip quad-band CRLH transmission line. Di: mm, b : mm, f : mm, e : mm, mensions are: a g : mm, i : mm, l : mm. The OCSRR dimensions are: r : mm, c : mm, and d : mm, where r ; c and d are the external radius, width and separation of the rings. All the meanders have a width of 0.16 mm. The interdigital capacitors have a width and separation between fingers of 0.16 mm. The radius of the vias is of 0.25 mm. The device has : been designed to behave as a 35.35 impedance inverter at the GSM f : GHz) and the GPS (f : : GHz) GHz, f GHz, f frequency bands. The considered substrate is the Rogers RO3010 with thickness h : : . mm and measured dielectric constant "
= 7 39 = 28
=18 = 0 254
= 3 47 = 22 = 0 16
= 3 37 = 3 17 = 18 76 = 12
= 0 73
( =09 = 1 57542
= 1 17642
= 10 5
II. TOPOLOGY, CIRCUIT MODEL AND SYNTHESIS OF THE QUAD-BAND CRLH MICROSTRIP TRANSMISSION LINES For the implementation of a quad-band CRLH transmission line described by the circuit of Fig. 1 in planar technology, it is necessary to consider electrically small structures that mimic the behaviour of the different resonators. In CPW technology, the series resonator of the series and shunt branches was implemented by means of an open split ring resonator—OSRR—(introduced in [30]), the parallel resonator of the shunt branch was realized by means of an open complementary split ring resonator—OCSRR—(presented in [31] for the first time), and the parallel resonator of the series branch was implemented by parallel connecting a capacitive patch and a meander inductor, as reported in [29]. All these resonators are electrically small and provide isolated responses which are accurately described by the ideal resonator’s response in a relatively wide band. However, in microstrip technology the series connected OSRRs can no longer be described by a simple model (as was shown in [10]), and the typical required values of the resonator’s inductances and capacitances further limit the implementation of such resonators by means of OSRRs and OCSRRs, as compared to CPW technology. Thus, the microstrip quad-band CRLH lines are implemented through a combination of OCSRRs (for the parallel resonator of the shunt branch), meander inductors, patch capacitances and interdigital capacitances. The typical layout of a quad-band microstrip CRLH line is depicted in Fig. 2, designed to behave as a 35.35 impedance inverter, according to the procedure explained in Section III. The shunt is implemented by means connected series resonator of the central meander strip and a patch capacitance, the series is implemented by resonator of the series branch means of an interdigital capacitor and a meander inductor; finally, the physical realization of the parallel resonator of the is achieved by means of an additional series branch meander inductor and a patch capacitance, as shown in Fig. 2.
Fig. 3. Accurate circuit model for the structure of Fig. 2 divided in the series branch (a) and shunt branch (b). The model is thus obtained by cascading the two-ports (a), (b) and (a).
The circuit model of the microstrip quad-band CRLH transmission line is identical to that of the CPW reported in [29], in spite of the fact that we use different semi-lumped resonators. This model is reproduced in Fig. 3 for coherence and completeness. Essentially this model is that shown in Fig. 1 with some and ). Nonetheless, even with the presence parasitics ( of these parasitics (which must be included in the model to accurately describe the structure), the quad-band functionality of the lines is preserved since their values tend to be small in comparison with the other design elements. The first step in the synthesis of the quad-band CRLH transmission line is to determine the element values of the generalized quad-band CRLH model of Fig. 1. To this end, we force the complex propagation constant, given by (1) to be purely imaginary at the design frequencies and to provide and the required phase shift at the desired frequencies, being the series and shunt impedances of the T-circuit model of the generalized CRLH line. For the design of impedance inverters (on which the different circuits of the at present work are based) the phase shifts must be set to and , and at and , being .
3884
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Simultaneously, the characteristic (or image) impedance, given by
III. APPLICATION TO THE DESIGN OF QUAD-BAND MICROWAVE COMPONENTS
(2)
In this section, the previous generalized CRLH transmission lines are applied to the design of several quad-band microwave transmission lines, including two components based on power splitters and a branch line hybrid coupler. Since the building block of these circuits is the impedance inverter, let us first consider the design of a quad-band impedance inverter.
is set to the required value at the four design frequencies. From this, we obtain 8 equations, and the element values are unequivocally determined. For the phase shifts considered above, the 8 equations can be expressed as follows: (3a) (3b) is the frequency index, and and are the reactances of the series and shunt branch of the and ), T-circuit model (i.e., given by where
(4a) (4b) Once the element values are known, we obtain the layout of each section so that the element parameters of the resonators (inferred from curve fitting between the electromagnetic and circuit simulation) coincide with those of the generalized model of Fig. 1. To this end we use the CSRR model reported in [32] (from which we can obtain the first estimate of the OCSSR dimensions), the well known parallel plate capacitor formula for the patch capacitances, and the straight-line inductor approximation [33] nH (5) for the inductive elements, where is the strip width, the strip length, the metal thickness (all given in m) and . Afterwards, an optimization process is required in order to obtain the layout providing the reactive values of the resonators given by expressions (3). By doing this, we also obtain the values of the parasitic elements of the accurate circuit model of Fig. 3. The next step is to re-calculate the resonator values in the electrical model of Fig. 3 (with the parasitic values inferred from the previous step) so that the required values of impedance and phase at the operating frequencies are obtained. Again, we solve (3), but in this case the series and parallel reactances are those of the equivalent T-circuit model of the quad-band CRLH line model shown in Fig. 3. These reactances can be calculated by obtaining the ABCD matrix of the whole structure of Fig. 3 and forcing it to be equal to the ABCD matrix of a T-circuit. Once we know all the element values, we modify the topology of the different resonators at the layout level in order to fit the electromagnetic simulation of each section of the structure to the circuit simulation (this does not substantially affect the parasitics). With this, we directly obtain the layout of the whole structure which provides the required values of characteristic impedance and phase at the operating frequencies.
A. Quad-Band Impedance Inverter The first impedance inverter has been designed to exhibit a characteristic impedance of at the GSM ( GHz, GHz) and the GPS ( GHz, GHz) frequency bands. The inverter has been designed following the procedure explained in the previous section. The element values of the ideal circuit (Fig. 1) that result given by (4) are nH, by solving (3) with and pF, nH, pF, nH, pF, nH, pF. With these values we have determined the first tentative layout, from which the element parasitics (as well as the other elements of the model of Fig. 3) have been inferred. Taking into account these parasitics, the expressions (3) are solved again, but with and that take into account these parasitics (not shown the in this paper). The last step has been to determine the final layout of the quad-band impedance inverter from the recalculated element values. This has been done through optimization using the Agilent Momentum electromagnetic simulator. The resulting topology is that depicted in Fig. 2. The considered substrate is mm and meathe Rogers RO3010 with thickness sured dielectric constant . The simulated transmission and reflection coefficients of this inverter, inferred from Agilent momentum by considering 35.35 port impedances, are depicted in Fig. 4. For comparison purposes, the insertion and return losses, inferred from circuit simulation of the model of Fig. 3 with the parasitics and recalculated element values are also depicted in Fig. 4. The recalculated elnH, pF, ement values are: nH, pF, nH, pF, nH, pF, pF, pF, nH. This figure reveals the good matching level of the ports at the design frequencies, also confirmed by the representation of the frequency dependent characteristic impedance. The phase of the transmission coefficient (also included in Fig. 4) inis achieved at each dicates that the required phase shift design frequency. Another aspect to highlight is the small inverter size, i.e., 18.76 mm 14.27 mm, which corresponds to at the first frequency band and at the fourth frequency band, being the guided wavelength. Hence, quad-band functionality is obtained with electrical dimensions of roughly the order of the conventional mono-band impedance inverter for the most restrictive frequency. B. Quad-Band Power Splitter The previous inverter has been used for the implementation access of a quad-band power splitter. To this end, two 50 lines to the output port have been added. The device has been
DURÁN-SINDREU et al.: PLANAR MULTI-BAND MICROWAVE COMPONENTS
3885
Fig. 6. Simulated and measured frequency response of the power splitter of Fig. 5.
Fig. 4. Simulated frequency response of the quad-band impedance inverter of Fig. 2. (a) Insertion and return losses; (b) real part of the characteristic impedance; (c) phase of S . Notice that the phase of S is of opposite sign to the electrical length. The characteristic impedance has been inferred from (2), where the series and shunt impedances (reactances) have been derived from the S-parameters through standard formulas [34].
The measured power splitting and matching (inferred from the Agilent E8364B vector network analyzer) are depicted in Fig. 6, where, for comparison purposes, we have also included the results obtained from electromagnetic simulation. The agreement between experimental data and simulation is good. is better than 10 dB for the The measured matching are 4 dB, four bands. The measured transmission losses 5.9 dB, 6.3 dB and 4.6 dB at the 1st, 2nd, 3rd and 4th bands, roughly exhibits the same values). We would respectively ( like to highlight that the quad-band power splitter has been fabricated from the previous inverter by merely cascading two access lines at the output port. No further optimization has been carried out. As was done in [29] in the design of CPW quad-band power splitters, we have modified the design of the device shown in Fig. 5 by adding band guards, in order to increase the operational bandwidth at each band, and thus guarantee the functionality of the splitter for the GSM and GPS signals. To this end, we have slightly shifted down the frequencies and and shifted and . The layout and the photograph of the fabricated up splitter are shown in Fig. 7, whereas the results of characterization of this splitter with band guards are depicted in Fig. 8. The device exhibits comparable performance to that of Fig. 5, although the bandwidth and the measured matching (in the 3rd and 4th bands) have been improved. Nevertheless, this latter aspect cannot be attributed to an improved design since electromagnetic simulations are comparable in both cases. Again, device dimensions are small, i.e., 18.87 mm 14.99 mm, which being the guided wavelength at corresponds to the first band. C. Quad-Band Branch Line Hybrid Coupler
Fig. 5. Photograph of the fabricated quad-band power splitter.
fabricated by means of a standard photo/mask etching technique (the photograph of the splitter is shown in Fig. 5).
With an eye towards the fabrication of a quad-band branch line hybrid coupler, we have designed a 50 quad-band inverter. The layout of such inverter is depicted in Fig. 9, where the relevant dimensions are indicated. The branch line couplers are composed of a pair of 50 and 35.35 impedance inverters. However, due to the connection (in a square shaped geometry) of the different inverters in the branch line, it has been necessary to slightly modify the topology of the 35.35 inverter of Fig. 2 for its application
3886
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
0 73
Fig. 7. Layout (a) and photograph (b) of the quad-band power splitter with : mm, b : mm, f : mm, band guards. Dimensions are: a e : mm, g : mm, i : mm, l : mm. The OCSRR : mm, c : mm, and d : mm, where dimensions are: r r ; c and d are the external radius, width and separation of the rings. All the meanders have a width of 0.16 mm. The interdigital capacitors have a width and separation between fingers of 0.16 mm. The radius of the vias is of 0.25 mm.
= 0 73
= 73 = 25
= 4 33 = 3 34 = 2 67 = 2 29 = 18 57 = 0 16 = 11
= 3 47 = 3 37 = 8 34 =22 = 21 64 =28 = 0 16 =12
Fig. 10. Layout of the 35.35 quad-band impedance inverter of the hybrid : mm, b : mm, f : mm, e coupler, Dimensions are: a : mm, g : mm, i : mm, l : mm. The OCSRR dimensions : mm, c : mm, and d : mm, where r ; c and d are are: r the external radius, width and separation of the rings. All the meanders have a width of 0.16 mm. The interdigital capacitors have a width and separation between fingers of 0.16 mm. The radius of the vias is of 0.25 mm.
= 3 17
=
Fig. 11. Photograph of the fabricated quad-band branch line hybrid coupler. The coupler ports are indicated.
Fig. 8. Simulated and measured frequency response of the power splitter of Fig. 7. The band guards are indicated in the figure.
= 3 04 = 19 =2
Fig. 9. Layout of the 50 quad-band impedance inverter of the hybrid coupler. Dimensions are: a : mm, b : mm, f : mm, e : mm, : mm, l : mm. The OCSRR g : mm, i : mm, k mm, c : mm, and d : mm, where r ; c dimensions are: r and d are the external radius, width and separation of the rings. All the meanders have a width of 0.16 mm. The interdigital capacitors have a width and separation between fingers of 0.16 mm. The radius of the vias is of 0.15 mm.
= 7 71
= 2 91 = 04 = 0 16
= 2 21 = 20 44 =06
= 0 41
in the design of the quad-band hybrid coupler. The layout and dimensions of this inverter are depicted in Fig. 10. From the previous inverters, we have implemented the quad-band branch line hybrid coupler depicted in Fig. 11. The simulated (by means of Agilent Momentum) and measured frequency response of the
device are depicted in Fig. 12. Matching in all the bands is good, and power splitting (except in the 3rd band) is reasonable, taking into account the complexity of the device and the critical dimensions of some of its constitutive semi-lumped elements. The measured return losses are 22 dB, 10.7 dB, 11 dB and 13 dB for the first, second, third, and fourth band, respectively. However, losses in the third band are not due to an improper design, as can be deduced from the electromagnetic simulation of the device with ohmic and dielectric losses excluded (shown in Fig. 13 together with the circuit simulation of the hybrid coupler). Indeed, losses can be mainly attributed to the finite conductivity of the metal, rather than to the effects of the dielectric (this has been verified by either switching off the ohmic or the dielectric losses in the simulations), which affects specially the third band since it is the narrowest. Further causes of device degradation can be variations of the actual device dimensions (due to fabrication related tolerances), as well as via metallization (the device contains 16 vias with soldered metallic pins). The agreement between the lossless electromagnetic and circuit simulations is good for both the magnitude and the phase, in spite of the layout and circuit model complexity. It is remarkable that the measured phase balance of the output ports at the design frequencies is that corresponding to a quadrature hybrid coupler (see Fig. 12(c)). This is expected on account of the phases of the transmission coefficients between the input and the output ports for and for ). for the branch line coupler ( Such phases, which have been inferred from electromagnetic
DURÁN-SINDREU et al.: PLANAR MULTI-BAND MICROWAVE COMPONENTS
Fig. 12. Measured and EM simulated frequency response of the hybrid coupler of Fig. 11. (a) Power splitting; (b) matching and isolation; (c) phase balance.
simulation without access lines, are depicted in Fig. 13(b), and are in good agreement with those inferred from circuit simulation. Like in conventional branch line couplers, bandwidth is not a controllable parameter in the proposed couplers. The reason is that the number of elements of the inverters is that required to achieve the characteristic impedance and phase at the four design frequencies. By implementing the impedance inverters with a higher number of unit cells, it would be potentially possible to enhance the bandwidth but with the penalty of much larger size. IV. DESIGN OF DUAL-BANDPASS FILTERS It is also possible to design multi-band band pass filters with the order-4 CRLH structure of Fig. 1. Nonetheless, if the purpose is to synthesize standard responses, such as the Chebyshev
3887
Fig. 13. EM simulation (with losses excluded) and circuit simulation of the hybrid coupler. (a) Power splitting. (b) Matching. (c) Phase response. The circuit elements corresponding to Fig. 3 are for the 50 inverter: L = 30:03 nH, C = 0:54 pH, L = 1:26 nH, C = 9:87 pF, L = 12:13 nH, C = 1:02 pF, L = 2:65 nH, C = 5:31 pF, C = 0:22 pF, C = 0:61 pF, L = 0:45 nH. For the 35.35 inverter: L = 20:89 nH, C = 0:76 pF, L = 0:89 nH, C = 13:92 pF, L = 8:6 nH, C = 1:44 pF, L = 1:87 nH, C = 7:98 pF, C = 0:37 pF, C = 0:62 pF, L = 0:45 nH.
filter response, it is only possible to obtain dual-band behaviour since more conditions are required, such as an equal-ripple and a fixed bandwidth at each band. This dual-band functionality can be obtained from the low pass filter prototype through convenient transformations. In a first step, a mono-band band pass response results from the well known transformation [35] (7) and being the angular frequency of the low pass and band are the fractional bandwidth and central pass filter, and the angular cutoff frefrequency of the band pass filter and quency of the low pass filter. Then, a second transformation is
3888
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
applied to the mono-band band pass filter to obtain dual-band behaviour [36] (8a) (8b) being the angular frequency of the dual-bandpass filter and the angular central frequencies of the first and second filter bands. Once these transformations are applied to the low pass filter prototype, the circuit of Fig. 1 is obtained, provided identical fractional bandwidths for the two bands, as well as the narrow band approximation (i.e., a fractional bandwidth, FBW, for each band less than 10%), are considered [36]. As reported in [36], the element values of the circuit of Fig. 1 are obtained from a set of equations dependent on the low pass filter proand on the relevant design paramtotype coefficients eters, namely, the angular central frequencies and the FBW (these equations are not reproduced here for simplicity). Using this procedure, a dual-bandpass filter that covers the GSM (1575.42 MHz)— (1176.45 (0.9 GHz–1.8 GHz) and the MHz) civil GPS frequency bands is presented in this paper. An order three Chebyshev response with 0.01 dB ripple, central freGHz and GHz, and 20% quencies of ) has been considered. fractional bandwidth (i.e., Even though the narrow band approximation is not satisfied, the filter response is in good agreement with the ideal mono-band Chebyshev response, where only small deviations in the transition bands are observed. From the above-mentioned filter specifications, the values of nH, the circuit elements (Fig. 1) are: pF, nH, pF, nH, pF, nH, pF. Using the design methodology applied to the quad-band inverters, the filter layout has been obtained (this is depicted in Fig. 14 together with the photograph of the fabricated prototype). In this case, filter optimization taking parasitics into account has been done by tuning the reactive elements at the circuit level. Specifically, the values that are changed (in reference of Fig. 3) are: nH, pF, pF, pF, nH. The frequency response and group delay of the filter, including measurement, electromagnetic simulation, circuit simulation of the accurate circuit model of Fig. 3 and the dual-band Chebyshev response (circuit of Fig. 1), are depicted in Fig. 15. As can be seen, good agreement between the different responses is obtained, with measured return losses better than 20 dB and a rejection level better than 20 dB up to 4.6 GHz given by expression (8b). Moreover, the size of the filter is 19.5 mm 13.5 mm, which corresponds to being the guided wavelength at . V. DISCUSSION To complete this work, let us briefly discuss the limitations relative to the arbitrariness in the selection of the frequencies for the design of quad-band components based on impedance inverters.
Fig. 14. Layout (a) and photograph (b) of the dual-bandpass filter. Dimensions : mm, b : mm, f : mm, e : mm, g : are: a mm, i : mm, l : mm. The OCSRR dimensions are: r : mm, c : mm, and d : mm, where r ; c and d are the external radius, width and separation of the rings. All the meanders have a width of 0.16 mm. The interdigital capacitors have a width and separation between fingers of 0.16 mm. The radius of the vias is of 0.15 mm.
= 3 52 = 2 19 = 0 16
= 2 85 = 2 64 = 19 4 = 06
= 0 49
= 7 71 = 1 95
We have demonstrated the quad-band functionality of several components, fully implemented in planar technology, at four commercial bands. The element values of the equivalent circuit model (Fig. 3) have been found to be moderate for the different designed quad-band impedance inverters, and this is the reason why it has been possible to implement the different circuits in planar technology. However, these elements depend on the relative values of the operating frequencies and, for certain designs, it may be difficult to implement these elements as semi-lumped components. Indeed, since the effects of the parasitics in the model of Fig. 3 are not very critical, we can use the simpler model of Fig. 1 to estimate the values of the different elements as a function of the design frequencies and characteristic impedance. We have thus solved (3) and (4) analytically, and we have obtained the results shown in (9a)–(9h) at the bottom of the next page. According to the previous expressions, to keep all the inductances small or moderate (large inductances limit device implementation in fully planar technology), it is necessary that any pair of consecutive operating frequencies presents a non-negligible frequency span (separation). On the contrary, some of the inductance values increase substantially and jeopardize the fully planar implementation of the device. To illustrate this, we have GHz and GHz (the GSM frequencies conset sidered in the reported prototype devices), and we have calculated the values of the inductances by varying the frequency difference between and , considering that this frequency span is centred at , which means that . The different inductances of the model of Fig. 1 are depicted for the case of in Fig. 16 as a function of Ohm (so that the results are easily scalable with the
DURÁN-SINDREU et al.: PLANAR MULTI-BAND MICROWAVE COMPONENTS
3889
Fig. 16. Variation of the inductances of the generalized model of Fig. 1 as a function of f .
Fig. 15. Insertion losses (a), return losses (b) and group delay (c) of the simulated and measured dual-bandpass filter of Fig. 7. The bands are indicated in the figure.
inverter impedance). In the extremes of the span, at least one of the inductances tends to infinity. Hence, we should avoid very
and . Under the considclose or very distant values of ered conditions (for the examples reported in the previous sections), the inductances that may limit the fully planar implemenand . Although the considered frequencies in tation are the reported examples do not exactly satisfy the conditions of and Fig. 16, and are roughly equidistant from close to the centre of the span in Fig. 16. This explains why we have been able to implement the reported devices by means of semi-lumped planar resonators. Another limitation for the implementation of planar quadband impedance inverters may come from the frequency difand lower frequencies. If ference between the upper these frequencies are very distant, the validity of the model of Fig. 3 (including parasitics) in the whole frequency span cannot be guaranteed, and hence the proposed design approach may be no longer valid. It is difficult to establish a maximum difference between and since the wideband behaviour of the lumped model of Fig. 3 depends on the considered substrate and element values. However, for most substrates and device specifications our experience dictates that it is possible to achieve fully planar devices with / ratios up to 2–3 (being difficult to establish an accurate limit within this interval). Therefore, according to this discussion, it is not always possible to implement quad-band devices in fully planar technology. Nevertheless, we have given the conditions (concerning
(9a) (9b) (9c) (9d) (9e) (9f) (9g) (9h)
3890
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
the relative positions of the design frequencies) that must be fulfilled for the viability of the fully planar implementation, and these conditions have been validated by means of the proposed examples. Obviously, it is not possible to establish clear limits (as has been mentioned), but in our opinion, the contents of this discussion can be useful as guidelines for those designers willing to implement planar multiband components based on impedance inverters. VI. CONCLUSION In conclusion, it has been shown in this paper that the generalized model of the composite right/left handed (CRLH) transmission lines can be applied to the design of fully planar multiband microwave components. Specifically, we have extended the work presented in [29] by applying the generalized CRLH transmission line concept to the design of multiband microwave components in microstrip technology, including quad-band impedance inverters, power splitters and branch line hybrid couplers, as well as dual-bandpass filters. As compared to the design of multiband components in CPW technology [29], the design of such components in microstrip technology has forced us to modify the topology of the generalized CRLH lines in order to achieve the required element values of the different resonators and to minimize the effects of the parasitics. Thus, the generalized CRLH lines of this work have been implemented through a combination of open complementary split ring resonators, meander inductors, patch capacitors and interdigital capacitors, avoiding the use of surface mount elements. Nevertheless, the circuit model, including parasitic effects, of the generalized microstrip CRLH lines has been found to be identical to that of CPW CRLH lines. The synthesis of these artificial lines has been presented. Specifically, we have reported the detailed procedure for the synthesis of quad-band impedance inverters, which are the building blocks of many microwave components, including the power splitters and hybrid couplers of this work. The reported devices, designed to operate at the GSM and GPS frequency bands, exhibit reasonable performance and device dimensions that are small on account of the semi-lumped components used in their implementations. Since the generalized model of the CRLH lines is identical to the model of an ideal order-3 dual-bandpass filter, we have also applied the planar CRLH lines of this work to the design of dual-bandpass filters in microstrip technology. Specifically, a Chebyshev band pass filter has been reported in this work as a proof-of-concept demonstrator. In spite of the circuit parasitics, the measured filter response has been found to be in good agreement with the ideal Chebyshev filter response. Filter performance has been found to be good and device dimensions small. The results of this work show the potential of generalized CRLH lines to the design of multiband microwave components and the possibility of implementing them in fully planar technology. Work is in progress towards the implementation of other planar quad-band microwave components and dual-band filters. REFERENCES [1] C. Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of metamaterials,” in Proc. IEEE-MTT Int. Microw. Symp., Philadelphia, PA, Jun. 2003, vol. 1, pp. 195–198.
[2] G. V. Eleftheriades, A. K. Iyer, and P. C. Kremer, “Planar negative refractive index media using periodically L-C loaded transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 50, pp. 2702–2712, Dec. 2002. [3] C. Caloz and T. Itoh, “Application of the transmission line theory of left-handed (LH) materials to the realization of a microstrip LH transmission line,” in Proc. IEEE-AP-S USNC/URSI Nat. Radio Sci. Meeting, San Antonio, TX, Jun. 2002, vol. 2, pp. 412–415. [4] A. A. Grbic and G. V. Eleftheriades, “Experimental verification of backward wave radiation from a negative refractive index metamaterial,” J. Appl. Phys., vol. 92, pp. 5930–5935, Nov. 2002. [5] I. B. Vendik, D. V. Kholodnyak, I. V. Kolmakova, E. V. Serebryakova, and P. V. Kapitanova, “Microwave devices based on transmission lines with positive/negative dispersion,” Microw. Opt. Technol. Lett., vol. 48, pp. 2632–2638, Dec. 2006. [6] J. Perruisseau-Carrier and A. K. Skrivervik, “Composite right/lefthanded transmission line metamaterial phase shifters (MPS) in MMIC technology,” IEEE Trans. Microw. Theory Techn., vol. 54, no. 4, pp. 1582–1589, Apr. 2006. [7] F. Martín, F. Falcone, J. Bonache, R. Marqués, and M. Sorolla, “Split ring resonator based left handed coplanar waveguide,” Appl. Phys. Lett., vol. 83, pp. 4652–4654, Dec. 2003. [8] F. Falcone, T. Lopetegi, M. A. G. Laso, J. D. Baena, J. Bonache, R. Marqués, F. Martín, and M. Sorolla, “Babinet principle applied to the design of metasurfaces and metamaterials,” Phys. Rev. Lett., vol. 93, p. 197401, Nov. 2004. [9] M. Gil, J. Bonache, J. Selga, J. García-García, and F. Martín, “Broadband resonant type metamaterial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 17, pp. 97–99, Feb. 2007. [10] M. Durán-Sindreu, A. Vélez, F. Aznar, G. Sisó, J. Bonache, and F. Martín, “Application of open split ring resonators and open complementary split ring resonators to the synthesis of artificial transmission lines and microwave passive components,” IEEE Trans. Microw. Theory Techn., vol. 57, no. 12, pp. 3395–3403, Dec. 2009. [11] , G. V. Eleftheriades and K. G. Balmain, Eds., Negative-Refraction Metamaterials: Fundamental Principles and Applications. New York: Wiley, 2005. [12] , N. Engheta and R. W. Ziolkowski, Eds., Metamaterials: Physics and Engineering Explorations. New York: Wiley, 2006. [13] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley, 2006. [14] R. Marqués, F. Martín, and M. Sorolla, Metamaterials With Negative Parameters: Theory, Design and Microwave Applications. New York: Wiley, 2007. [15] M. A. Antoniades and G. V. Eleftheriades, “A broadband series power divider using zero-degree metamaterial phase shifting lines,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 808–810, Nov. 2005. [16] H. Okabe, C. Caloz, and T. Itoh, “A compact enhanced bandwidth hybrid ring using an artificial lumped element left handed transmission line section,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 798–804, Mar. 2004. [17] D. Kholodnyak, E. Serebryakova, I. Vendik, and O. Vendik, “Broadband digital phase shifter based on switchable right- and left-handed transmission line sections,” IEEE Microw. Wireless Comp. Lett., vol. 16, no. 5, pp. 258–260, May 2006. [18] G. Sisó, J. Bonache, M. Gil, and F. Martín, “Application of resonanttype metamaterial transmission lines to the design of enhanced bandwidth components with compact dimensions,” Microw. Opt. Technol. Lett., vol. 50, pp. 127–134, Jan. 2008. [19] I.-H. Lin, M. DeVincentis, C. Caloz, and T. Itoh, “Arbitrary dual-band components using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 52, pp. 1142–1149, Apr. 2004. [20] I.-H. Lin, K. M. K. H. Leong, C. Caloz, and T. Itoh, “Dual-band subharmonic quadrature mixer using composite right/left-handed transmission lines,” IEE Proc. Microw. Antennas Propag., vol. 153, pp. 365–375, Aug. 2006. [21] S. H. Ji, C. S. Cho, J. W. Lee, and J. Kim, “Concurrent dual-band class-E power amplifier using composite right/left-handed transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1341–1347, Jun. 2007. [22] J. Bonache, G. Sisó, M. Gil, A. Iniesta, J. García-Rincón, and F. Martín, “Application of composite right/left handed (CRLH) transmission lines based on complementary split ring resonators (CSRRs) to the design of dual band microwave components,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 524–526, Aug. 2008.
DURÁN-SINDREU et al.: PLANAR MULTI-BAND MICROWAVE COMPONENTS
[23] J. Selga, G. Sisó, M. Gil, J. Bonache, and F. Martín, “Microwave circuit miniaturization with complementary spiral resonators (CSRs): Application to high-pass filters and dual-band components,” Microw. Opt. Technol. Lett., vol. 51, pp. 2741–2745, Nov. 2009. [24] G. Sisó, M. Gil, J. Bonache, and F. Martín, “Generalized model for multi-band metamaterial transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 11, pp. 728–730, Nov. 2008. [25] A. Rennings, S. Otto, J. Mosig, C. Caloz, and I. Wolff, “Extended composite right/left-handed metamaterial and its application as quadband quarter-wavelength transmission line,” in Proc. Asia-Pacific Microw. Conf. (APMC), Yokohama, Japan, Dec. 2006, pp. 1405–1408. [26] G. V. Eleftheriades, “A generalized negative-refractive-index transmission-line (NRL-TL) metamaterial for dual-band and quad-band applications,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 415–417, Jun. 2007. [27] A. C. Papanastasiou, G. E. Georghiou, and G. V. Eleftheriades, “A quad-band Wilkinson power divider using generalized NRI transmission lines,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 521–523, Aug. 2008. [28] M. Studniberg and G. V. Eleftheriades, “A dual-band bandpass filter based on generalized negative-refractive-index transmission-lines,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 1, pp. 18–20, Jan. 2009. [29] M. Durán-Sindreu, G. Sisó, J. Bonache, and F. Martín, “Fully planar implementation of generalized composite right/left handed transmission lines for quad-band applications,” in Proc. IEEE-MTT-S Int. Microw. Symp., Anaheim, CA, May 2010, pp. 25–28. [30] J. Martel, R. Marqués, F. Falcone, J. D. Baena, F. Medina, F. Martín, and M. Sorolla, “A new LC series element for compact band pass filter design,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 210–212, May 2004. [31] A. Velez, F. Aznar, J. Bonache, M. C. Velázquez-Ahumada, J. Martel, and F. Martín, “Open complementary split ring resonators (OCSRRs) and their application to wideband CPW band pass filters,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 4, pp. 197–199, Apr. 2009. [32] J. D. Baena, J. Bonache, F. Martín, R. Marqués, F. Falcone, T. Lopetegi, M. A. G. Laso, J. García, I. Gil, and M. Sorolla, “Equivalent circuit models for split ring resonators and complementary split rings resonators coupled to planar transmission lines,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1451–1461, Apr. 2005. [33] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [34] D. M. Pozar, Microwave Engineering. New York: Addison Wesley. [35] G. Matthaei, L. Young, and E. M. T. Jones, “Microwave Filter,” in Impedance- Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [36] X. Guan, Z. Ma, P. Cai, Y. Kobayashi, T. Anada, and G. Hagiwara, “Synthesis of dual-band bandpass filters using successive frequency transformations and circuit conversions,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 3, pp. 110–112, Mar. 2006.
Miguel Durán-Sindreu (S’09) was born in 1985 in Barcelona, Spain. He received the telecommunications engineering Diploma, specializing in electronics, and the telecommunications engineering degree from the Universitat Autònoma de Barcelona, Spain, in 2007 and 2008, respectively. He is currently working towards the Ph.D. degree in subjects related to metamaterials in the Universitat Autònoma de Barcelona, Spain.
3891
Gerard Sisó (S’08) was born in Barcelona, Spain, in 1981. He received the Diploma in industrial engineering, specializing in electronics from the Universitat Politècnica de Catalunya, Spain, in 2004 and the electronics engineering degree and Ph.D. degree in electronics engineering from the Universitat Autònoma de Barcelona, Spain, in 2006 and 2010 respectively. His research interests include microwave circuits based on metamaterial transmission lines, especially enhanced bandwidth and multi-band devices.
Jordi Bonache (S’05–M’06) was born in Barcelona, Spain, in 1976. He received the physics and electronics engineering degrees and Ph.D. degree in electronics engineering from the Universitat Autònoma de Barcelona, Bellaterra (Barcelona), Spain, in 1999, 2001, and 2007, respectively. In 2000, he joined the High Energy Physics Institute of Barcelona (IFAE), where he was involved in the design and implementation of the control and monitoring system of the MAGIC telescope. In 2001, he joined the Department d’Enginyeria Electrònica, Universitat Autònoma de Barcelona, where he is currently an Assistant Professor. His research interests include active and passive microwave devices and metamaterials.
Ferran Martín (M’04–SM’08) was born in Barakaldo (Vizcaya), Spain in 1965. He received the B.S. degree in physics and the Ph.D. degree from the Universitat Autònoma de Barcelona (UAB), Barcelona, Spain, in 1988 and 1992, respectively. From 1994 up to 2006 he has been Associate Professor in Electronics at the Departament d’Enginyeria Electrònica (Universitat Autònoma de Barcelona), and from 2007 he is Full Professor of Electronics. In recent years, he has been involved in different research activities including modelling and simulation of electron devices for high frequency applications, millimeter wave and THz generation systems, and the application of electromagnetic bandgaps to microwave and millimeter wave circuits. He is now very active in the field of metamaterials and their application to the miniaturization and optimization of microwave circuits and antennas. He is the head of the Microwave and Millimeter Wave Engineering Group (GEMMA Group) at UAB, and director of CIMITEC, a research Center on Metamaterials supported by TECNIO (Generalitat de Catalunya). He has organized several international events related to metamaterials, including Workshops at the IEEE International Microwave Symposium (years 2005 and 2007) and European Microwave Conference (2009). He has acted as Guest Editor for three Special Issues on Metamaterials in three International Journals. He has authored and co-authored over 300 technical conference, letter and journal papers and he is coauthor of the monograph on Metamaterials entitled Metamaterials with Negative Parameters: Theory, Design and Microwave Applications (Wiley, 2001). He has filed several patents on metamaterials and has headed several Development Contracts. Dr. Martín has received the 2006 Duran Farell Prize for Technological Research, he holds the Parc de Recerca UAB—Santander Technology Transfer Chair, and he has been the recipient of an ICREA ACADEMIA Award.
3892
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
New Isolation Circuits of Compact Impedance-Transforming 3-dB Baluns for Theoretically Perfect Isolation and Matching Hee-Ran Ahn, Senior Member, IEEE, and Tatsuo Itoh, Life Fellow, IEEE
Abstract—New isolation circuits and design equations of compact impedance-transforming 3-dB baluns are suggested for theoretically perfect isolation and perfect matching at all ports. Any balun consists of two impedance transformers, being 180 out of phase, and an isolation circuit. For compactness, the impedance transformers need to be reduced and the isolation circuits should depend on phase delay of the compact impedance transformers. The compact balun with 90 phase delay of the compact impedance transformer is called the compact -type balun and the one with non 90 phase delay is called the constant voltage-standing-wave-ratio type transmission-line impedance transformer (CVT) balun. Three isolation circuits are derived for the compact -type baluns and five for the CVT baluns. Using the isolation circuits derived, the two types of compact baluns are fabricated and measured at a design center frequency of 1.5 GHz. The measured results have good agreement with prediction, showing power divisions of 2.9 and 3.3 dB ( 2.8 and 3.25 dB), phase difference between two output signals of 181.8 178.5 , matching performance of 21.8, , and 33.8 dB ( 24, 22, and 28 dB), and isolation of better than 40 dB (27 dB) for the compact -type (CVT) balun.
5
5
(
)
5
31 8
Index Terms—Compact baluns for impedance transforming, compact coupled transmission-line sections with two short circuits in diagonal direction, compact impedance transformers, compact -type baluns and constant voltage-standing-wave-ratio (VSWR) type transmission-line impedance transformer (CVT) baluns, isolation circuits of compact 3-dB baluns.
5
I. INTRODUCTION ALUNS ARE used to convert balanced signals to unbalanced signals and vice versa. They often change impedances. Their classical form is a transmission-line transformer with electromagnetic coupling. In this case, the perfect matching at each port and perfect isolation between two output ports (balanced ports) can be achieved. As operating frequencies are increased higher, the classical form cannot be used any more due to large loss. To reduce the loss, a number of balun configurations have been introduced in the literature [1]–[22]. Compactness of the baluns is a high interest for microwave integrated circuit (MIC) and monolithic microwave integrated
B
Manuscript received June 30, 2010; revised September 02, 2010; accepted October 04, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the Department of Electrical Engineering, University of California at Los Angeles, Los Angeles, CA 90095 USA (e-mail: [email protected]: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2087348
circuit (MMIC) designs [1]–[3], [5]–[8], [14], [17]. Most of these papers, however, discussed only input matching (unbalanced port) and power divisions, while no compact balun has ever been designed for theoretically perfect isolation. Isolation circuits for the conventional baluns are suggested [3], [4], [15], [16], [19], but one [3] may be obtained only by optimization, while the others are for the Marchand baluns where coupled transmission-line sections are all 90 long. In this paper, isolation circuits and design equations of compact impedance-transforming 3-dB baluns will be presented for theoretically perfect isolation and perfect matching at all ports. Any balun consists of two impedance transformers, being 180 out of phase, and an isolation circuit. For compactness of the baluns, the impedance transformers together with the isolation circuit should be reduced. In general, impedance transformers to transform a real impedance into another are a quarter-wavelength or three-quarter-wavelength long and their phase delay is 90 or 90 . In the process of reducing the size, there are some compact impedance transformers, the phase delay of which remains unchanged, but some others whose phase delay is converted to arbitrary phase delays. Most compact impedance transformers of the baluns do not have 90 phase delay [2], [3], [7], [14], [17], [23]–[28] and the isolation circuits are determined by the phase delay of the compact impedance transformers. Dependence of the isolation circuits on the phase delay of the compact impedance transformers has never been studied to date. Hence, all the conventional compact baluns [2], [3], [5]–[8], [14], [17] have never shown theoretically perfect isolation and output matching. For compact impedance transformers with 90 phase delay, a quarter-wave impedance transformer may be reduced by using - or T-type equivalent circuit. For arbitrary phase delays, there have been constant voltage-standing-wave-ratio (VSWR) type transmission-line impedance transformers (CVTs) and constant conductance type transmission-line impedance transformers (CCTs) [7], [23]–[28]. To build a compact balun, a compact (phase delay) and another impedance transformer with are needed. For , a compact one with impedance transformer with a set of coupled transmission-line sections with two short circuits in diagonal direction may be used [29]. As an example for the isolation circuits, a compact impedance transformer reduced by using the -type equivalent circuit is employed. The resultant compact balun is called the compact -type balun. As another example, one of asymmetric impedance transformers CVT [23]–[28] is utilized and the resulting balun is called the CVT balun.
0018-9480/$26.00 © 2010 IEEE
AHN AND ITOH: NEW ISOLATION CIRCUITS OF COMPACT IMPEDANCE-TRANSFORMING 3-dB BALUNS
3893
Fig. 1. Impedance-transforming 3-dB balun.
To derive the isolation circuits of the compact baluns, circuit parameters are required for which complicated calculation process is inevitable due to nonsymmetric plane available in the balun. To make the calculation process simpler, the similarity between the in-phase power divider [24], [30] and the balun is employed. By this approach, three isolation circuits are derived for the compact -type balun and five for the CVT balun. To verify/apply the isolation circuits suggested in this paper, one for a conventional compact balun [14] is designed/simulated as the example of non 90 phase delay of the compact impedance transformer. The simulation results show theoretically perfect isolation. To validate the isolation circuits and design equations of the compact baluns, microstrip compact -type and CVT baluns are measured at a center frequency of 1.5 GHz. The measured results have good agreement with prediction. II. COMPACT IMPEDANCE-TRANSFORMING 3-dB BALUNS A. Compact Impedance Transformers A general impedance-transforming 3-dB balun is detailed in Fig. 1. It is terminated in real impedances of and for the impedance transforming and two impedance transformers into are connected in parallel at port to transform for the equal power division and perfect matching at port . The two impedance transformers and should be 180 out of phase for the balun performance. If the phase delay of is , the one for should be . assumed to be Compact impedance transformers with different phase delays are shown in Fig. 2. The phase delay of the compact impedance , is transformers [see Fig. 2(a) and (b)] is for Fig. 2(c) and (d) [23]–[28], and is for Fig. 2(e) [29]. With the combination of the compact impedance transformers (a) and (e), (b) and (e), (c) and (e), or (d) and (e) in Fig. 2, a compact balun may be built. In this paper, the combination of (a) and (e) will be treated as an example of and that of (c) and (e) as another example of . The balun built with the combination of (a) and (e) is called a compact -type balun and that with (c) and (e) a CVT balun. How to derive the isolation circuits will be discussed based on the two types of compact baluns. B. Isolation of Baluns The isolation circuits are determined depending on the type of the compact impedance transformer. To derive the isolation
Fig. 2. Compact impedance transformers. (a) 5-type compact impedance transformer. (b) T -type compact impedance transformer. (c) CVT. (d) CCT. (e) Compact 5-type impedance transformer with 180 phase shift.
circuits, the balun with port terminated in (Fig. 1), except the isolation circuit is denoted as . The isolation cirand is necessary not only cuit connected between ports and for isolation, but also for perfect output matching (ports ). The perfect isolation means (Fig. 1). The scattering parameter is proportional to the impedance paramor the admittance parameter of [23]. Since the eter of circuit, isolation circuit is connected in parallel with the is easier to derive. Considering the admittance parameter and circuits are 180 out of phase, parameters of are
(1) indicate circuit name and terminawhere subscripts and (Fig. 1). In (1), the parameters tion impedance of without the negative unit matrix show symmetric property and those of an impedance-transforming in-phase power divider terand [24], [30]. Thus, the well-known evenminated in and odd-mode excitation analyses may be used for finding ad. Depending on the compact -type mittance parameters of balun or the CVT balun, the isolation circuits should be different, as will be discussed.
3894
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 3. Isolation circuits. (a) Isolation circuit with two series resistances. (b) Isolation circuit with one series and one shunt resistances. (c) Isolation circuit with one shunt resistance.
III. ISOLATION CIRCUITS A. Compact
is an admittance parameter produced between ports where and and the subscript indicates a two-port circuit of (Fig. 1). Equation (5) results in . Note that the isolation impedance is the same as that of the isolation circuit of the in-phase power divider [24], [30]. For the in-phase power dividers, the isolation impedance is composed of only resistance. (5), a 180 transHence, to realize the negative sign of mission-line section is needed and the resulting circuits are depicted in Fig. 3. and one 180 Fig. 3(a) is made of two series resistances of transmission-line section with the characteristic impedance of connected in cascade [19]. The value of may be chosen arbitrarily and the transmission-line section is used only for 180 phase delay between ports and . One of the series resismay be moved to the center of the two 90 transtances of mission-line sections. The resulting configuration is in Fig. 3(b) and two 90 transmission-line where one other resistance of sections are connected in cascade and a shunt resistance of to the ground is located between the two transmission-line seccan also be moved to the center of tions. Two resistances of the two 90 transmission-line sections. The resulting circuit is to the ground the one in Fig. 3(c) where a shunt resistance of is located between the two transmission-line sections. parameters of in Fig. 3(a) are The
-Type Balun
In the case of the compact -type balun, the circuit without negative unit matrix in (1) is an in-phase power divider. The parameters is general relation between admittance and
(6)
Those of
in Fig. 3(b) are
(2) In (2), if the sign of parameters in (1) is changed due is changed, to the negative unit matrix, the sign of and remains unchanged. Therefore, admitbut that of tance parameters are first derived from an in-phase power divider using symmetry property and adding negative sign only to . The resulting admittance parameters then become those of the baluns. In this way, the admittance parameters of (Fig. 1) are
(7)
To have the same frequency performance for the and [see Fig. 3(a) and (b)], the following relation yields: (8) In a similar way, the resistance of
[see Fig. 3(c)] is
(3) (9) is the output termination impedance when it is aswhere is the input port and ports and the sumed that port output ports (Fig. 1). For the perfect matching at ports and and perfect isolation between them, the admittance param(Fig. 1) should be eters of the isolation circuit (4) In general, if the impedance across the isolation circuit of the , the relation between and balun is assumed to be the admittance parameter of is (5)
In an impedance Smith chart, if an impedance load is moved toward the generator by 180 , the moved load becomes its admittance value, or, the inverse of the impedance value. If a se[see Fig. 3(a)] is moved along the 90 ries resistance of transmission-line section (180 in a Smith chart), then the reshould be connected to the ground [see Fig. 3(b)] sistance just like the relation between an original network and its dual is assumed to be unity (8), the value of is network. If the inverse of . The purpose of one 180 transmission-line section [see Fig. 3(a)] is to achieve 180 phase delay between and . The characteristic impedance of the transmisports [see Fig. 3(a)] influences the bandwidth of sion-line section the isolation circuit, but any value of may be acceptable for
AHN AND ITOH: NEW ISOLATION CIRCUITS OF COMPACT IMPEDANCE-TRANSFORMING 3-dB BALUNS
3895
the performance of the isolation circuit at a design center frequency. The transmission-line sections of two other cases [see Fig. 3(b) and (c)], however, operate not only for 180 phase delay, but also impedance transformers to transform into [see Fig. 3(b)] or into [see Fig. 3(c)]. All the transmission-line sections (Fig. 3) may be reduced by using -or -type equivalent circuit [see Fig. 2(a) and (b)]. Focusing on only the (Fig. 3) may be size reduction, the characteristic impedance chosen as small as possible since the circuit size may be reduced more with the lower characteristic impedance of . B. CVT Baluns The asymmetric impedance transformers of CVTs [see Fig. 2(c)] do not have 90 phase delay at the design center frequency even if they transform a real impedance into an, the isolation other one. Due to the property of circuit of the CVT baluns should consist of one 180 transmission-line section, resistance, and capacitance or inductance. In Section III-A, the isolation circuits of the compact -type balun were treated. To sum up, the isolation circuits of the balun are (Fig. 1) and can related with the admittance parameters of be found easily from an in-phase power divider based on (1). In a similar way, the isolation circuits of the CVT in-phase power dividers [23], [24] are needed for those of the CVT baluns. If perfect isolation between ports and is guaranteed (Fig. 1), and is achieved automatically. perfect matching at ports This is the same for the in-phase power dividers [24], [30]. of the Therefore, how to obtain the isolation impedance CVT in-phase power divider will be treated using two aspects: from the admittance parameter of the CVT in-phase power divider (without the isolation circuit) and from the perfect output matching condition including the isolation circuit. The first method is introduced [23], [24], but the application is limited [see Fig. 2(c)]. For the further only to the case of size reduction, the in-phase CVT power divider with [see Fig. 2(c)] will be synthesized for . How to implement five isolation circuits of the CVT baluns will then be discussed . Using one of the using the calculated isolation impedance isolation circuits of the CVT baluns, the isolation circuits for the conventional compact balun with no isolation [14] will be designed and simulated. 1) CVT In-Phase Power Divider: Impedance-transforming CVT in-phase power dividers are detailed in Fig. 4 where the circuit without the isolation circuit is expressed as - . For the even-mode excitation of - [see Fig. 4(a)], the input admittance looking into the transmission-line section with [see Fig. 4(a)], is calculated as (10) where
Fig. 4. Impedance-transforming CVT in-phase power divider. (a) CVT in-phase divider. (b) Odd-mode equivalent circuit.
For the odd-mode excitation of is admittance
[see Fig. 4(a)], the input
-
(11) where -
. The admittance parameter [see Fig. 4(a)] contributed by ports and is
of
(12) The isolation impedance of divider is related with
for the CVT in-phase power
(13) The odd-mode equivalent circuit of the CVT in-phase divider is depicted in Fig. 4(b) where the isolation circuit is included and is written as . For input admittance looking into port perfect matching at port , the input admittance of should be equal to the termination admittance and the is related with isolation impedance (14) and , which Referring to [23]–[28], . The second term in (14) is always negagives therefore contive (inductive). The isolation impedance of sists of not only resistance, but also capacitance to match the . If is 0 , becomes 90 real termination impedance and the CVT becomes a quarter-wave impedance transformer. with The second term in (14) vanishes and . Using (12), (13), or (14), for (Fig. 4) was calculated with the characteristic impedance of
3896
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE I ISOLATION IMPEDANCE Z OF THE CVT IN-PHASE POWER DIVIDER WITH EQUAL TERMINATION IMPEDANCES OF 50
varied. In this case, the CVTs transform 100 into 50 . The cal, the CVT is culation results are given in Table I. When the same as a quarter-wave impedance transformer and the isolation circuit consists of one 100- resistance. If the length of is longer, the isolation circuit consists of not only resistance, but also capacitance as long as the characteristic impedance of is less than 70.71 . 2) Isolation Circuits of CVT Baluns: As shown in Table I, consists of positive resistance and negative reactance. To , a resistance of and a capacitance of are realize assumed to be connected in cascade. In a similar way to the com, the isolation circuits of pact -type balun with CVT baluns are described in Fig. 5. One of them [see Fig. 5(a)] has one 180 transmission-line section with the characteristic and two sets of and connected in casimpedance of and cade, where the impedance of a series connection of is equal to . In this case, the characteristic impedance of is arbitrarily chosen. If one impedance of is moved to the center of the two transmission-line sections, the isolation circuit in Fig. 5(b) is obtained. In this case, the resistance of and the inductance of are related with the charactervia istic impedance of
(15a) (15b) If the characteristic impedance is assumed to be unity, then is inverse of and the value of the inducthe resistance of is equal to that of the capacitance (15). If another tance and [see Fig. 5(b)] is moved to the series connection of center of the two transmission-line sections, the isolation cirand [see cuit in Fig. 5(c) is found. In a similar way, Fig. 5(c)] are calculated as
Fig. 5. Isolation circuits of CVT baluns. (a) 180 transmission-line section and two sets of series connection of R and C . (b) Two 90 transmission-line sections and one series connection of R and C and one shunt-parallel connection of R and L . (c) Two 90 transmission-line sections and one shunt-parallel connection of R and L . (d) Two 90 transmission-line sections and one series connection of R and C and one shunt-series connection of R and L . (e) Two 90 transmission-line sections and one shunt-series connection of R and L .
(17a) (17b)
(16a)
(17c)
(16b)
(17d)
If the 90 transmission-line sections are shortened using a -type equivalent circuit, one or two open stubs are inevitable at the end of the transmission-line sections. For this case, it is better to change the shunt-parallel connection of and into a shunt-series connection. For the shunt-series connections in Fig. 5(d) and (e), the resistances and induc, , , and are related with the isolation tances of impedance of such as
and indicate real and imaginary values of the where of the CVTs [see complex number. Since the phase delay Fig. 2(c)] is greater than 90 [23], the isolation impedance of is composed of resistance and negative reactance (Table I). , the isolation impedance of consists of If resistance and positive reactance. Hence, the inductances (caare employed instead of the capacitances) for pacitances (inductances) in the isolation circuits in Fig. 5.
AHN AND ITOH: NEW ISOLATION CIRCUITS OF COMPACT IMPEDANCE-TRANSFORMING 3-dB BALUNS
3897
Fig. 6. Conventional compact balun with no isolation circuit [14].
The isolation circuits for and in Figs. 3 and 5 were derived for the compact impedance-transforming 3-dB baluns, but may be utilized for any impedancetransforming 3-dB balun, regardless of the circuit size. The resistances should be realized with lumped elements, but not so sensitive to the frequency behavior. The series capacitances or the shunt inductances in Fig. 5 may be realized with distributed elements. Therefore, the application of the isolation circuits suggested in this paper has no restriction in microwave frequencies. C. Application of Isolation Circuits to Conventional Baluns In the previous sections, how to calculate using the in-phase power dividers and how to implement the isolation . Most circuits of the compact baluns were treated for conventional compact baluns [2], [3], [5]–[7], [14], [17] with no theoretically perfect isolation have the compact impedance and one of them [14] is shown transformers with in Fig. 6. In this case, one set of coupled transmission-line performs two functions of power sections terminated in port dividing and 180 phase shift at the same time. To see any in-phase power divider from the conventional balun [14] is therefore difficult. In this case, admittance parameters of the (Fig. 6) should be directly calculated. (Fig. 6), one set of design data When , , , is given as , and [14]. From the direct calcula(Fig. 6), (adtion of the admittance parameters of and ) is computed as mittance parameter between ports . The isolation impedance of is therefore from (5). The required and [see Fig. 5(a)] are therefore 9.679 and 8.18 pF at a center frequency of 1 GHz. Using the isolation circuit given in Fig. 5(a), the frequency responses of the conventional compact balun (Fig. 6) were simulated at the design center frequency of 1 GHz. The simulation results are plotted in Fig. 7 with the characteristic impedances of [see Fig. 5(a)] varied. Perfect isolation can be achieved and the isolation bandwidth is inversely (Fig. 7). proportional to
Fig. 7. Isolation frequency responses of the conventional compact balun in [14].
A. Compact
-Type Baluns
The compact -type balun [see Fig. 8(a)] is described together with the compact isolation circuit [see Fig. 8(b)]. The characteristic impedance and the electrical length of the transand . The electrical length of a mission-line section are and its evenset of coupled transmission-line sections is and odd-mode impedances are and . The capacitances and are connected from each port to ground and of , produced from the open stubs [see Fig. 2(a) and (e)]. The design equations [29] are (18a) (18b) (18c) (18d) (18e) (18f) and is the coupling coeffiwhere cient. Three isolation circuits are possible, but that given in Fig. 3(c) is reduced using the -type equivalent circuit [see Fig. 2(a)]. For the isolation circuit [see Fig. 8(b)], the characteristic impedance and the electrical length of the transmission-line section are and , and is the susceptance produced by each open stub at the end [see Fig. 2(a)]. The design equations of the isolation circuit [see Fig. 8(b)] are (19a) (19b)
IV. DESIGN OF COMPACT BALUNS As the isolation circuits (Figs. 3 and 5) are determined depending on the phase delay of the compact impedance transformers, design methods of the compact baluns also depend on the phase delay of the compact impedances.
(19c) is the output termination impedance of the balun where may be determined depending on the (Fig. 8) and [see Fig. 3(c)]. value of
3898
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE II DESIGN DATA OF A CVT BALUN TERMINATED IN EQUAL IMPEDANCES OF 50
Fig. 8. Compact 5-type balun. (a) Compact 5-type balun. (b) Compact isolation circuit of the compact 5-type balun.
For the isolation circuits, five isolation circuits are available, but the fifth one [see Fig. 5(e)] is used for the size reduction [see Fig. 9(b)]. In the MIC fabrication, capacitance and inductance may be realized with distributed elements, but resistance should be implemented with lumped elements. One of the problems is that an arbitrary value of resistance cannot be obtained from the should be first deterchip resistors. Hence, the resistance determined, mined among variables [see Fig. 9(b)]. With and are calculated using the following equations: (21a) (21b)
Fig. 9. CVT balun. (a) CVT balun. (b) Compact isolation circuit of the CVT balun.
B. CVT Baluns A CVT balun consisting of CVTs is detailed in Fig. 9 together with its compact isolation circuit [see Fig. 9(b)]. Since the characteristics of the coupled transmission-line sections are deterand the electrical mined by the characteristic impedance of length of [see Fig. 9(a)], the design equations of the evenand and the susceptance and odd-mode impedances of are given as of (20a) (20b) (20c) where
.
The characteristic impedance of and the susceptance of [see Fig. 9(b)] are calculated in a way similar to the compact isolation circuit [see Fig. 8(b)] of the compact -type balun. The CVT balun terminated in equal impedances of 50 was (Table I) designed, for which the isolation impedance of and (Fig. 9), the characwas used. With and the electrical length of the other teristic impedance transmission-line section [see Fig. 9(a)] are and [23], [24] and the isolation impedance of is therefore from Table I. With the isola, if is chosen as 10 [see Fig. 9(b)], tion impedance the characteristic impedance of is 31.62 from (21a) and is 5.78 from (21b). If the two 90 the reactance of transmission-line sections with are reduced to [see Fig. 9(b)], the characteristic impedance of becomes 92.45 from (19b). In this case, the susceptance of each open stub at the end [see Fig. 9(b)] is 0.0297 from and (19c). Since the even-and odd mode impedances of [see Fig. 9(a)] are determined by the coupling coefficient and electrical length of in (20), the coupled transmission-line sections can be reduced further [29]. [see Fig. 9(a)] is reduced gradAs the electrical length of ually, the variation of the even- and odd-mode impedances was investigated and the results are given in Table II together with is the their mutual capacitance. The mutual capacitance in [31] defined as , where is same as the phase velocity. In this investigation, the coupling coefficient of the coupled transmission-line sections is fixed at 10 dB and the free-space phase velocity of is used. When the electrical is 37.39 , the same as , the even- and odd-mode length of impedances are 42.6 and 22.2 and the mutual capacitance
AHN AND ITOH: NEW ISOLATION CIRCUITS OF COMPACT IMPEDANCE-TRANSFORMING 3-dB BALUNS
3899
is 36.19 pF. With reducing the length of , the even- and odd-mode impedances increase gradually together with the sus, while the mutual capacitance of decreases ceptance of gradually. When , the even- and odd-mode impedances are 75.6 and 39.1 and its mutual capacitance is 20.39 pF. All the examples in Table II have the same coupling coefficient are different from of 10 dB, but the mutual capacitances each other. The last one with the even- and odd-mode impedances of 75.6 and 39.1 is the easiest to be realized because the mutual capacitance is the lowest, as in Table II. In general, the coupling coefficient of a set of coupled transmission-line sections has been a barometer to the fabrication, but the case in Table II is a good example that the mutual capacitance should be considered for the fabrication together with the coupling coefficient. Based on the design data (Table II), four CVT baluns were simulated at the design center frequency of 1 GHz and the results are plotted in Fig. 10. For the simulations, with the fixed coupling coefficient of 10 dB, ideal capacitors, resistor and inductors were used while varying the electrical length of from 20 to 35 at equal intervals of 5 . The frequency response is in Fig. 10(a), those of the matching performance at each of in Fig. 10(e). The simulaport in Fig. 10(b)–(d) and that of tion results show that frequency responses are almost identical, is different from each other. Freeven if the electrical length quency responses are not symmetric with respect to the center frequency and acceptable bandwidths are located from around the center frequency to the frequencies higher than 1 GHz.
S
Fig. 10. Simulation results of CVT baluns. (a) Power division of (b)–(d) Matching at each port. (e) Scattering parameter of S .
.
V. MEASUREMENTS A. Compact
-Type Balun
To verify the the design methods of the compact baluns, a and microstrip compact -type balun with was designed at a design center frequency of 1.5 GHz , and and fabricated on a substrate (RT 6202, mm). To realize the coupled transmission-line sections in planar structure without any wire bonding, the coupling coefficient and the electrical length of the coupled transmission-line sec. The retions (Fig. 8) were chosen as 12 dB and sulting even- and odd-mode impedances are 67 and 37.12 and the ideal mutual capacitance is then 20.02 pF. Since the even- and odd-mode impedances are obtained under the assumption of TEM mode propagation, the average value of evenand odd-mode phase velocities may be used for the fabrication. In general, commercial circuit simulators like ADS give all information about physical dimensions of microstrip, coplanar waveguide (CPW), or all kinds of coupled transmission-line structures with the even- and odd-mode impedances calculated. By the ADS circuit simulator, the width and the gap size of the coupled transmission-line sections on the substrate of RT 6202 mm and mm. The transmission-line are length was determined as (Fig. 8, Table III). The sum and was realized with one capacitance of , and of and with another capacitance of . The the sum of , , and are 4.8, 9.9, and 7.46 required capacitances of pF and those used for the fabrication are 4.7, 10, and 7.5 pF. For
TABLE III FABRICATION DATA FOR A MICROSTRIP COMPACT ON A SUBSTRATE RT 6202, " : AND H
= 2 94
5-TYPE BALUN
= 0:762 mm)
the isolation circuit, the capacitance of due to [see Fig. 8(b)] is 7.24 pF, but a 7-pF capacitor was employed. The [see Fig. 8(b)] was chosen as 10 . isolation resistance of The design and fabrication data are given in Table III. Using the data, the compact -type balun was fabricated (Fig. 11). is 40 and a quarter-wave The termination impedance of impedance transformers are therefore needed to transform 40 into 50 . The feeding lines are each 20 at the design center frequency of 1.5 GHz (Fig. 11). The results measured and predicted are compared in Fig. 12 where the magnitudes of scattering parameters are plotted in Fig. 12(a) and the phase responses of
3900
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 11. Fabricated compact 5-type balun (data from [1]).
and are plotted in Fig. 12(b). The measured scattering dB, parameters for matching performance are , and dB, and is better than 40 dB at the design center frequency of 1.5 GHz. The meaand are sured transmission scattering parameters of 3.38 and 2.94 dB and the phase difference between and [see Fig. 12(b)] is 181 . The slight difference between measured and predicted results is due to the additional capacitance or inductance produced by soldering or touching pads and also due to fabrication errors of the transmission-line widths, length, and the coupled transmission-line sections.
Fig. 12. Results measured and predicted are compared (data from [1]). (a) Magnitude of scattering parameters. (b) Phase difference between S and S .
B. CVT Balun For the CVT balun, the data in Table II may be used. A mi(Fig. 9) was designed at crostrip CVT balun with a design center frequency of 1.5 GHz and fabricated on a sub, mm). The castrate (RT/Duroid 5870, is 4.13 pF and the capacitance produced by pacitance the open stub at the end of the isolation circuit [see Fig. 9(b)] is 3.15 pF. All the capacitance values required for the fabrication are not easily obtained from the chip capacitors. The capacipF may be realized with a combination of a tance of transmission-line section and a chip capacitor with 3 pF. The capF may be replaced with 3 pF. All the pacitance of capacitance values required for the fabrication are the 3 and one [see Fig. 9(b)]. The inductance nH 6 pF from for the isolation circuit [see Fig. 9(b)] may be realized with a short stub. The fabricated CVT balun is displayed in Fig. 13 and the results measured and predicted are compared in Fig. 14. The scattering parameters measured and predicted are compared in Fig. 14 where the magnitudes of the scattering paand rameters are in Fig. 14(a) and the phase responses of are in Fig. 14(b). The measured scattering parameters proare dB, dB, and duced from port dB at 1.5 GHz. The measured scattering parameters
Fig. 13. Fabricated CVT balun.
for the matching at ports and are dB and dB. The scattering parameter for the isolation beand is dB. The phase difference tween port and is 178.5 at the design center frequency of between 1.5 GHz [see Fig. 14(b)]. Compared with the predicted results in Fig. 14, good agreement and acceptable measurement results
AHN AND ITOH: NEW ISOLATION CIRCUITS OF COMPACT IMPEDANCE-TRANSFORMING 3-dB BALUNS
3901
The isolation circuits were proposed for the compact impedance-transforming 3-dB baluns, but can be applied for any impedance-transforming 3-dB baluns, regardless of the circuit size. Using the isolation circuits in this paper, one of the most difficult problems in designing compact baluns is expected to be solved.
Fig. 14. Results measured and predicted are compared. (a) Magnitude of scattering parameters. (b) Phase difference between S and S .
are found. The slight deviation between measured and predicted results is due to the unexpected capacitance or inductance produced during the fabrication and also due to fabrication errors of the transmission-line widths, lengths, and the coupled transmission-line sections. VI. CONCLUSIONS In this paper, the isolation circuits for the compact impedance-transforming 3-dB baluns were suggested, which had never been employed in conventional compact baluns. To derive the isolation circuits, the similarity between the in-phase power divider and balun was exploited and the isolation circuits were shown to be different depending on the phase delays of the compact impedance transformers of the baluns. To derive the isolation circuits, two types of compact -type and CVT baluns were chosen. Three isolation circuits were shown to be possible for the compact -type balun and five for the CVT balun. To verify the isolation circuits derived, design equations of the two types of compact baluns were presented and microstrip compact -type and CVT baluns were fabricated and measured.
REFERENCES [1] H.-R Ahn and T. Itoh, “Isolation circuit of impedance-transforming 3-dB compact baluns for near perfect output matching and isolation,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 113–116. [2] T. Yang, M. Tamura, and T. Itoh, “Compact hybrid resonator with series and shunt resonances used in miniaturized filter and balun filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 390–402, Feb. 2010. [3] C.-H. Lien, C.-H. Wang, C.-S. Lin, P.-S. Wu, K.-Y. Lin, and H. Wang, “Analysis and design of reduced-size Marchand rat-race hybrid for millimeter-wave compact balanced mixers in 130-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1966–1977, Aug. 2009. [4] A. C. Chen, A.-V. Pham, and R. E. Leoni, III, “A novel broadband even-mode matching network for Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 2973–2980, Dec. 2009. [5] K.-T. Chen and S.-J. Chung, “A novel compact balance-to-unbalanced low-temperature co-fired ceramic bandpass filter with three coupled lines configuration,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1714–1720, Jul. 2008. [6] C.-S. Lin, P.-S. Wu, M.-C. Yeh, J.-S. Fu, H.-Y. Chang, K.-Y. Lin, and H. Wang, “Analysis of multicondutor coupled-line Marchand baluns for miniature MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [7] H.-R. Ahn and B. Kim, “Left-handed small impedance transformers and their application to arbitrary phase differentiated lumped baluns for impedance transforming,” in Asia–Pacific Microw. Conf. Dig., Bangkok, Thailand, Dec. 2007, pp. 789–792. [8] W. M. Fathelbab and M. B. Steer, “New classes of miniaturized planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1211–1220, Apr. 2005. [9] R. Phromloungsri, S. Srisathit, M. Chongcheawchamnan, and I. D. Robertson, “Novel technique for performance improvement in impedance-transforming planar Marchand baluns,” in Eur. Microw. Conf. Dig., 2005, pp. 533–536. [10] Z.-Y. Zhang, Y.-X. Guo, L. C. Ong, and M. Y. W. Chia, “A new planar Marchand balun,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 1207–1210. [11] H.-R. Ahn, “Comments on ‘Converting baluns into broad-band impedance-transforming 180 hybrids’,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 228–230, Jan. 2004. [12] K. S. Ang and Y. C. Leong, “Authors’ reply comments on converting baluns into broadband impedance-transforming 180 hybrids,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 230–233, Jan. 2004. [13] K. S. Ang, Y. C. Leong, and C. H. Lee, “Multisection impedance-transforming coupled-line baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 536–541, Feb. 2003. [14] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [15] M. Chongcheawchamnan et al., “On miniaturization isolation network of an all-ports matched impedance-transforming Marchand baluns,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 7, pp. 281–283, Jul. 2003. [16] K. S. Ang and Y. C. Leong, “Converting baluns into broadband impedance-transforming 180 hybrids,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1990–1995, Aug. 2002. [17] C. W. Tang and C. Y. Chang, “A semi-lumped balun fabricated by low temperature co-fired ceramic,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 2201–2204. [18] C.-W. Tang, J.-W. Sheen, and C.-Y. Chang, “Chip-type LTCC-MLC baluns using the stepped impedance method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2342–2349, Dec. 2001. [19] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 402–406, Feb. 2001.
3902
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
[20] M. Chongcheawchamnan, K. S. Ang, J. N. H. Wong, and I. D. Robertson, “A push-pull power amplifier using novel impedance-transforming baluns,” in IEEE Eur. Microw. Conf. Dig., 2000, pp. 1–4. [21] K. S. Ang, I. D. Robertson, K. Elgaid, and I. G. Thayne, “40 to 90 GHz impedance-transforming CPW Marchand balun,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 1141–1144. [22] K. Nishikawa, I. Toyoda, and T. Tokumitsu, “Compact and broadband three-dimensional MMIC balun,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 96–98, Jan. 1999. [23] H.-R. Ahn, Asymmetric Passive Components in Microwave Integrated Circuits. New York: Wiley, 2006, ch. 11, 2. [24] H.-R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their applications to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [25] H.-R. Ahn and B. Kim, “Modified small asymmetric impedance transformers,” in Proc. IMWS Art of Miniaturizing RF Microw. Passive Compon., Chengdu, China, Dec. 2008, pp. 71–74. [26] H.-R. Ahn and I. Wolff, “Asymmetric three-port 45 power dividers composed of CVTs and CCTs,” in Eur. Microw. Conf. Dig., London, U.K., 2001, vol. 3, pp. 165–168. [27] H.-R. Ahn and B. Kim, “Small-sized wideband CVT-and CCT-ring filters,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 3, pp. 1607–1610. [28] H.-R. Ahn and B. Kim, “Left-handed lumped transmission lines and left-handed small impedance transformers,” Microw. Opt. Technol. Lett., vol. 50, no. 9, pp. 2269–2271, Sep. 2008. [29] H.-R. Ahn and B. Kim, “Small wideband coupled-line ring hybrids with no restriction on coupling power,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1806–1817, Jul. 2009. [30] H.-R. Ahn and I. Wolff, “Three-port 3-dB power divider terminated by different impedances and its application to MMICs,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 786–794, Jun. 1999. [31] H.-R. Ahn and I. Itoh, “Impedance-transforming symmetric and asymmetric DC blocks,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2463–2474, Sep. 2010. Hee-Ran Ahn (S’90–M’95–SM’99) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1988, 1990, and 1994, respectively. She is currently a Visiting Scholar with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). From July 2005 to August 2009, she was with the Department of Electronics and Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Korea. From March 2003 to February 2005,
she was with the Division of Electrical Engineering, Department of Electrical Engineering and Computer Science (EECS), Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, as a Visiting Professor. From 1996 to 2002, she was with the Department of Electrical Engineering, Duisburg–Essen University, Duisburg, Germany, where she was involved with the Habilitation dealing with asymmetric passive components in microwave circuits. From 1991 to 1995, she was Part-Time Lecturer with Sogang University, Seoul, Korea. She authored Asymmetric Passive Component in Microwave Integrated Circuits (Wiley, 2006). Her interests include high-frequency and microwave circuit designs and biomedical application using microwave theory and techniques.
Tatsuo Itoh (S’69–M’69–SM’74–F’82–LF’06) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana–Champaign, in 1969. After working for the University of Illinois at Urbana–Champaign, SRI, and the University of Kentucky, he joined the faculty of The University of Texas at Austin, in 1978, where he became a Professor of electrical engineering in 1981. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering with The University of Texas. In January 1991, he joined the University of California at Los Angeles (UCLA), as Professor of electrical engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics (currently Northrop Grumman Endowed Chair). He has authored or coauthored 375 journal publications, 775 refereed conference presentations, and 43 books/book chapters in the area of microwaves, millimeter waves, antennas, and numerical electromagnetics. He has generated 70 Ph.D. students. Dr. Itoh was elected as a member of the National Academy of Engineering in 2003. He is a member of the Institute of Electronics and Communication Engineers of Japan and Commissions B and D of USNC/URSI. He was the editor-in-chief of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He was president of the IEEE Microwave Theory and Techniques Society (IEEE MTT–S) in 1990. He was the editor-in-chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was elected as an Honorary Life Member of the IEEE MTT-S in 1994. He was the chairman of Commission D, International URSI (1993–1996). He serves on advisory boards and committees of a number of organizations. He was a Distinguished Microwave Lecturer on Microwave Applications of Metamaterial Structures for the IEEE MTT-S (2004–2006). He was the recipient of a number of awards including the 2000 IEEE Third Millennium Medal and the 2000 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Distinguished Educator Award.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3903
A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure Kwok-Keung M. Cheng, Senior Member, IEEE, and Wei-Chi Ip
Abstract—This paper presents a novel design of a microwave power divider with enhanced spurious suppression. The proposed circuit features simple implementation with moderate line impedance, flexible layout design, and extended stopband bandwidth with three controllable transmission zeros. Moreover, explicit closed-form expressions are available for the evaluation of circuit parameters. For verification, the simulated and measured results of a 1-GHz power divider implemented on microstrip are shown. Index Terms—Harmonic suppression, microstrip, planar circuits, power divider, spurious suppression.
I. INTRODUCTION OWER dividers and combiners are frequently adopted in microwave and millimeter-wave systems, such as the feeding network for an antenna array and the power splitting/combining networks for amplifier modules. The major drawback of the conventional Wilkinson power divider is the presence of spurious response due to the adoption of quarter-wavelength transmission lines. In the past, this problem has been partially overcome [1]–[8] by using defected ground structure (DGS) or electromagnetic bandgap (EBG) cells for the suppression of one or two harmonic frequency bands. Unfortunately, these circuits usually require either backside etching or additional lumped reactive element, which is undesirable for low-cost and mass production environment. Moreover, explicit design formulas are often not available and the desired responses are mainly obtained by computer optimization [4]–[8]. In [1]–[3], modified Wilkinson divider structures based upon either shunt stub or extended line were demonstrated for third-harmonic suppression. Recently, a new circuit topology [9] has been proposed by the authors with the introduction of transmission zeros located at the second and third harmonic frequencies. This design offers broadband spurious suppression without the need of backside etching or lumped reactive components. However, its major drawbacks are limited fundamental bandwidth (about 12%) and the requirement of low impedance 17 . line In this paper, a novel power divider topology with enhanced spurious suppression performance is presented. In compared
P
Manuscript received August 26, 2010; accepted October 01, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the Department of Electronic Engineering, The Chinese University of Hong Kong, Shatin, Hong Kong (e-mail: [email protected]. hk). Digital Object Identifier 10.1109/TMTT.2010.2086473
Fig. 1. Circuit configuration of proposed power divider.
with [9], the proposed configuration offers several advantages including: 1) simple structure with moderate line impedance (30–90 ); 2) flexible layout with an occupied area of approx; 3c) a fundamental bandwidth of almost imately 25%; and 4) enhanced spurious attenuation ( 25 dB) over an octave frequency range with the presence of three controllable transmission zeros. In addition, explicit closed-form equations are made available for reducing the number of design variables. For illustration, the simulated and measured performance of an experimental power divider designed to operate at a center frequency of 1 GHz is given in Section III. II. PROPOSED CIRCUIT AND ANALYSIS Fig. 1 shows the schematic diagram of the proposed power divider, which consists of a resistor, several branch-line sections, and shunt stubs (open circuited). Unlike most previous designs, the two output ports are physically separated from each other by , which helps to increase layout using two extended lines design flexibility and to reduce proximity effect. , , , , , and are free It is further assumed that , , , and are unknowns to be detervariables, while mined. By applying the even- and odd-mode formulation, these unknown parameters can simply be derived from the electrical properties of an ideal power divider (perfect return loss and port . isolation) evaluated at the fundamental frequency A. Even-Mode Analysis Fig. 2 depicts the equivalent circuit of the proposed divider under even-mode excitation. It can be seen that the extended line and the two shunt stubs ( and ) are responsible for the creation of three transmission zeros. For example, an electrical length of 45 , 30 , or 22.5 corresponds to the suppression of
0018-9480/$26.00 © 2010 IEEE
3904
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 3. Equivalent circuit: odd mode. Fig. 2. Equivalent circuit: even mode.
B. Odd-Mode Analysis the second, third, or fourth harmonic frequencies, respectively. , and ) may According to (1)–(3), these frequencies ( , be arbitrarily assigned by the proper selection of , , and
Under odd-mode excitation, the equivalent circuit of the proposed divider is redrawn in Fig. 3. With the assumption that the two output ports are perfectly matched and isolated, a second expression can thus be formulated as
(1)
(11)
(2) (3)
For analysis purposes, the even-mode circuit may be regarded as the cascaded connection of a two-port network (boxed) and a . It is also known that, the output admittance of shunt stub is equal to at . Mathematithe even-mode circuit cally, this admittance can be derived and expressed as follows:
Similarly, the real and imaginary parts of (12) may be equated to give
(12) (13) After some algebraic manipulations, (12) is reduced to (14) and (15)
(4) (14)
where
(15) (5) (6) (7)
Subsequently, by solving (9), (10), and (13) in conjunction with the reciprocal property, the unknown coefficients ( and ) can thus be obtained as
(8)
(16) (17)
By equating the real and imaginary parts of (4), we get
(18) (9) (10)
(19)
CHENG AND IP: NOVEL POWER DIVIDER DESIGN WITH ENHANCED SPURIOUS SUPPRESSION AND SIMPLE STRUCTURE
3905
TABLE I CIRCUIT PARAMETERS OF ILLUSTRATIVE DESIGNS
C. Selection of Circuit Parameters The main objective of the above formulation is to reduce the number of free variables to be adjusted without heavily relying on computer optimization. For a given set of circuit parame, , , , and ), the unknown values of , , , ters ( , of the proposed structure can be calculated by using and (14)–(19) and (21)–(27). The next step is to identify the optimal design with acceptable bandwidth and stopband attenuation. The search also needs to take into account the physical constraints such as line impedance (e.g., 30–90 ), as well as small circuit size. As mentioned before, additional flexibility is offered by the selection of , , and , which determine the locations of the three transmission zeros. By permutation, there are a total of six possible arrangements. Note that the rejection of the second, third, and fourth harmonic frequencies are highly desirable in practice. Through circuit simulation, it is observed that when the distinct ) of (14) is employed, the operating bandwidth root (i.e., of the fundamental band can be substantially widened. As a result, we have
Fig. 4. Simulated responses. (a) Example 1. (b) Example 2.
On the other hand, (8) may be rearranged to give
where
(20) (28) (21) (22) (23) (24)
Finally, the expressions for the remaining parameters, as depicted in (25)–(27), are found by the expansion of (20) and comparing coefficients
(25) (26) (27)
It can be proven that the selection of the positive sign in (16) will eventually lead to a compact solution with a smaller value of . According to (27), for positive value of , is given by (29) the lower bound of (29) It is also found that a larger value of is desirable for increased spurious suppression. Hence, should be given the highest achievable impedance for a selected fabrication process. A simulation study has been carried out based upon different . For illustration, Fig. 4 shows the circuit permutations simulated frequency responses of two designs. For reference, the corresponding circuit parameters of these examples were calculated and tabulated in Table I. It is clearly seen that the two designs exhibited tradeoff between operating bandwidth and level of spurious suppression.
3906
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 5. Simulated circuit performance versus loss. (b) Insertion loss and port isolation.
R. (a) Input and output return
Fig. 6. Simulated circuit performance versus Z . (a) Input return loss and insertion loss. (b) Output return loss and port isolation.
In order to study the effect of fabrication tolerances on the RF performance of the proposed divider, further investigation was performed using the circuit simulator. Figs. 5 and 6 show the frequency plots of Example 1 versus variation in ( 10%) ( 5%). These graphs indicate that the performance of and the proposed design is not severely affected by the uncertainties in circuit construction such as the precise values of linewidth and resistance. III. EXPERIMENTAL RESULTS For experimental verification, a power divider designed to operate at 1 GHz (Example 1) was prototyped and characterized. This circuit was fabricated on Duroid substrate with a dielectric constant of 3.38 and thickness of 0.813 mm. At first, an initial design was obtained from the derived formulas and confirmed with circuit simulator. And in order to accommodate the parasitic effects of junction discontinuities and lumped component, co-simulation based upon an electromagnetic (EM) solver and device model was incorporated. Fine adjustment of the physical lengths of the branch lines was then applied, mainly to tune the center frequency of the divider. Fig. 7 shows the top view of the physical layout with dimensions included. The core design was . found to occupy a substrate area of approximately Further size miniaturization is possible through the meandering of lines. Scattering parameter measurements were performed by
Fig. 7. Photograph of the prototype.
using a four-port network analyzer (Agilent E5071A) over the frequency range from 10 MHz to 4.5 GHz. Figs. 8–10 show the simulated (EM) and measured performance of the prototype. Fig. 8 indicates that an octave stopband bandwidth (1.94–4.26 GHz) has been achieved with a minimum attenuation of 25 dB. The suppression levels, evaluated at the
CHENG AND IP: NOVEL POWER DIVIDER DESIGN WITH ENHANCED SPURIOUS SUPPRESSION AND SIMPLE STRUCTURE
3907
TABLE II BRIEF SUMMARY OF REPORTED POWER DIVIDER DESIGNS
Fig. 8. Simulated and measured insertion loss and input return loss.
face-mounted resistor. For comparative purposes, Table II gives a brief summary of some previously reported divider topologies. IV. CONCLUSION
Fig. 9. Simulated and measured output return loss.
The design and implementation of a novel power divider with enhanced suppression performance has been described. It offers a high level of attenuation over a wide stopband bandwidth. The proposed configuration does not require backside etching or lumped reactive component, and allows compact and low cost solution to be constructed. Excellent RF performance has been experimentally demonstrated, which includes low insertion loss, wide fundamental bandwidth (return loss and port isolation), and rejection of multiple harmonic frequencies. This design can easily be scaled up in frequency (e.g., millimeter wave) due to the adoption of microstrip lines of moderate impedance. REFERENCES
Fig. 10. Simulated and measured port isolation and output phase difference.
second-, third-, and fourth-harmonic frequencies (2.02, 3.01, and 3.99 GHz), were well above 40 dB. Inside the fundamental band, the divider was found to exhibit an insertion loss of 3.25 0.02 dB, minimum return loss (both input and output) and port isolation of 20 dB, over a fractional bandwidth of about 25%. The output phase difference (Fig. 10) was found to be negligibly small, except at frequencies well above the second-harmonic band. It is believed that the small discrepancies between the simulated and measured results were mainly caused by the fabrication tolerances and the high-frequency behavior of the sur-
[1] K. H. Yi and B. Kang, “Modified Wilkinson power divider for nth harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 5, pp. 178–180, May 2003. [2] J. S. Kim, M. J. Park, and K. B. Kong, “Modified design of Wilkinson power divider for harmonic suppression,” Electron. Lett., vol. 45, no. 23, pp. 1174–1175, Nov. 5, 2009. [3] K. Srisathit, P. Jadpum, and W. Surakampontorn, “Miniature Wilkinson divider and hybrid coupler with harmonic suppression using T-shaped transmission line,” in Asia–Pacific Microw. Conf. Dig., Dec. 2007, pp. 1–4. [4] D. J. Woo and T. K. Lee, “Suppression of harmonics in Wilkinson power divider using dual-band rejection by asymmetric DGS,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 6, pp. 2139–2144, Jun. 2005. [5] C. M. Lin, H. H. Su, J. C. Chiu, and Y. H. Wang, “Wilkinson power divider using microstrip EBG cells for the suppression of harmonics,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 10, pp. 700–702, Oct. 2007. [6] J. Zhang, L. Li, J. Gu, and X. Sun, “Compact and harmonic suppression Wilkinson power divider with short circuit anti-coupled line,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 661–663, Sep. 2007. [7] J. Yang, C. Gu, and W. Wu, “Design of novel compact coupled microstrip power divider with harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 572–574, Sep. 2008. [8] J. Wang, J. Ni, Y. X. Guo, and D. Fang, “Miniaturized microstrip Wilkinson power divider with harmonic suppression,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 7, pp. 440–442, Jul. 2009.
3908
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
[9] W. C. Ip and K. K. M. Cheng, “A novel power divider design with enhanced harmonic suppression and simple layout,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 125–128.
Kwok–Keung M. Cheng (S’90–M’91–SM’06) received the B.Sc. degree (First-Class Honors) and Ph.D. degree in electronic engineering from King’s College, University of London, London, U.K., in 1987 and 1993, respectively. In 1996, he joined the Department of Electronic Engineering, The Chinese University of Hong Kong, Hong Kong, as an Assistant Professor, becoming an Associate Professor in 2001 and Professor in 2006. From 2004 to 2006, he was the Associate Dean of Engineering (Student Affairs), The Chinese University of Hong Kong. He has been the lead author of about 20 technical papers published by the IEEE TRANSACTION IN MICROWAVE THEORY AND TECHNIQUES. He was a contributing author of MMIC Design (IEE Press, 1995) and RFIC and MMIC Design and Technology (IEE Press, 2001). His current research interests
include the design of monolithic microwave integrated circuits, power amplifiers, advanced couplers, filters, and antennas. Dr. Cheng is a Chartered Engineer (Institution of Engineering and Technology). He has been an associate editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS since 2008. He was the recipient of the 1988 Convocation Susquicentennial Prize in Engineering presented by the University of London.
Wei-Chi Ip received the B.Eng. degree in electronic engineering from The Chinese University of Hong Kong, Shatin, Hong Kong, in 2009, and is currently working toward the M.Phil degree in electronic engineering at The Chinese University of Hong Kong. His research interests include microwave passive component design and RF filter design.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3909
A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes Simone Bastioli, Student Member, IEEE, Cristiano Tomassoni, and Roberto Sorrentino, Fellow, IEEE
Abstract—An innovative class of very compact and selective waveguide dual-mode filters is presented in this paper. The basic structure is the TM dual-mode cavity. Such a cavity employs both resonant and nonresonating modes so as to provide two reflection and two transmission zeros. The high design flexibility in terms of transmission zero positioning and response bandwidth has been demonstrated by means of several single cavity designs. The design transmission zeros is of th-order multiple cavity filters with presented and discussed. Different filter topologies are obtained depending on the waveguide structure used to connect adjacent cavities. An efficient mode-matching analysis method is proposed and verified for fast filter optimization. An eighth-order filter with eight transmission zeros has been designed, manufactured, and tested to demonstrate the potentialities of the filter class proposed. Index Terms—Bandpass filters, dual mode, elliptic filters, transmission zeros (TZs), waveguide filters.
I. INTRODUCTION
I
N SPITE of the excellent performance in terms of loss and power handling, size and mass are well known drawbacks of waveguide-based filters. In order to alleviate such problems, dual-mode and multimode cavities exploiting multiple resonant modes within a single physical cavity have been widely employed, especially for satellite applications. The most common dual-mode architecture is based on the circular waveguide [1] by exploiting two degenerate modes with orthogonal polarizations. The same concept is implemented in rectangular waveguide, where the cross section and is sized so as to produce the degeneracy of the modes [2]. Starting from these basic concepts, several dual-mode waveguide filters have been proposed in the literature [3]–[6]. The cavity volume, however, can be more efficiently used by employing TM resonant modes in combination with TE modes, thus leading to triple- and/or quadruple-mode cavities [7], [8]. Although the size reduction is significant, triple- and quadruplemode designs are very sensitive and suffer from poor temperature stability [9]. Manuscript received June 30, 2010; revised September 16, 2010; accepted September 22, 2010. Date of publication October 28, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. S. Bastioli and R. Sorrentino are with RF Microtech s.r.l., Perugia 06125, Italy (e-mail: [email protected]; [email protected]). C. Tomassoni is with the Dipartimento di Elettronica e Informazione (DIEI), University of Perugia, Perugia 06125, Italy (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086068
Most TE dual-mode configurations proposed in the literature lead to elliptic and pseudoelliptic filter responses obtained by properly coupling the resonant modes of a single or of adjacent cavities. In any case, the number of transmission zeros is usually limited with respect to the filter order , especially for high . In order to overcome such a limitation and realize even more compact dual-mode filters, a rectangular TM dual-mode cavity configuration has been proposed in [10] and [11]. Such a cavity employs both resonant and nonresonating modes so as to provide two reflection and two transmission zeros. Although the TM dual-mode cavity can be the building block for designing th-order filters with transmission zeros, practical designs . Moreover, a clear descriphave been limited thus far to tion of the coupling and routing schemes occurring when multiple cavities are cascaded needs to be addressed. This paper discusses in detail the properties of the TM dual-mode filter class. The design flexibility is demonstrated by means of several cavity designs along with the corresponding coupling matrix descriptions. Cavity performance parameters factor, length, and size are introduced based on the cavity to compare the TM dual-mode cavities with respect to the dual-mode cavities. An efficient conventional electromagnetic (EM) analysis method based on purely modal techniques is presented and validated. Approaches are presented for the realization of higher order filters by combining multiple TM dual-mode cavities: different filter topologies are obtained depending on the waveguide structure used to connect adjacent cavities. Finally, to show the potentialities of this class of filters, an eighth-order filter with eight transmission zeros has been designed, manufactured, and tested. II. TM DUAL-MODE CAVITY The use of nonresonating modes in combination with resonant cavity modes has been proven in [12] and [13], where single-mode cavities are arranged so as to produce one transmission zero besides the reflection zero. The TM dual-mode cavity combines the advantages of the dual-mode approach with the functionalities provided by the use of nonresonating modes. The structure of a TM dual-mode cavity is depicted in Fig. 1. and The degenerate resonant modes in the cavity are , whose resonant frequencies are given by
0018-9480/$26.00 © 2010 IEEE
(1)
3910
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 1. TM dual-mode cavity. (a) Perspective view. (b) Front view. Fig. 3. HFSS simulation and coupling matrix response of a 10-GHz all-pole TM dual-mode cavity.
The first design is an all-pole TM dual-mode cavity designed GHz with % according to the following at normalized coupling matrix:
Fig. 2. Magnetic coupling. (a) Coupling between TE at input waveguide and TM . (b) coupling between TE at output waveguide and TM . (c) Coupling between TE at feeding waveguides and TM . (d) Topology.
where and are the cavity width and height, respectively. and cavity modes, nonresonating Besides the modes can also be excited. The latter are waveguide modes propagating along the axial direction of the cavity, thus creating an additional input-to-output path. The nonresonating modes and . that are mainly involved are The TM dual-mode cavity is excited by two orthogonal feeding waveguides. The coupling mechanism between the mode of the feeding waveguides and the cavity dominant mode excites modes is illustrated in Fig. 2: the input the resonant cavity mode, while it is uncoupled to the cavity mode [see Fig. 2(a)]; on the other hand, the cavity mode output waveguide excites only the resonant [see Fig. 2(b)]. As far as the nonresonating modes are concerned, they are coupled to both input and output waveguides [see Fig. 2(c)], thus creating a direct input-to-output coupling. Stepped corners are used within the cavity as intra-coupling discontinuities. The resulting coupling and routing scheme is shown in Fig. 2(d). of the input and output Depending on the positions and waveguides, the resonant and nonresonating modes can be properly excited so as to realize either all-pole or elliptic filtering functions with a pair of real or imaginary frequency transmission zeros [11]. In the following, some design examples are reported.
The all-pole response can easily be obtained if the nonresonating modes are not excited. Such a situation occurs when the input and output slots are located at the center of the respective cavity wall. Fig. 3 shows the comparison between the coupling matrix response (dashed lines) and the Ansoft HFSS full-wave simulation (solid line) of the optimized structure. The second and third examples are two elliptic TM dual-mode GHz with %, according cavities designed at to the following normalized coupling matrices:
The former realizes two symmetric transmission zeros at GHz and GHz, while the latter generates a transmission zero pair for group delay equalization on the real axis of the complex plane. The elliptic functions are obtained when the input and output slots are properly offset with respect to the cavity center. The relative position of the input and output waveguides with respect to the stepped corners determines the . Figs. 4 and 5 show the comparison between the sign of coupling matrix responses (dashed lines) and the Ansoft HFSS full-wave simulation (solid line) of the optimized cavities. Finally, in order to show the design flexibility in terms of transmission zero positioning and bandwidth, Figs. 6 and 7 show
BASTIOLI et al.: NEW CLASS OF WAVEGUIDE DUAL-MODE FILTERS
3911
Fig. 4. HFSS simulation and coupling matrix response of a 10-GHz TM dualmode cavity with two real frequency transmission zeros.
Fig. 7. HFSS simulations of two elliptic TM dual-mode cavities with relatively mm and b : mm) and wide (dashed line, narrow (solid line, a mm and b a mm) passband.
Fig. 5. HFSS simulation and coupling matrix response of a 10-GHz TM dualmode cavity with two imaginary frequency transmission zeros.
Fig. 8. Simulated unloaded Q factor versus the cavity length for a 10-GHz TM dual-mode cavity having silver surfaces.
= 19
= 15 =5
III. UNLOADED
Fig. 6. HFSS simulations of elliptic TM dual-mode cavities designed with different position p for the input/output waveguides.
the full-wave simulations of some elliptic TM dual-mode cavities. Although the control is not fully independent, the position of the feeding waveguides mainly controls the transmission zero locations, while the size of the coupling slots is mainly used to modify the response bandwidth. In particular, the position allows the control of the ratio between and , while the slot dimensions and allow the increase or decrease of both and .
= 25
FACTOR AND
CAVITY SIZE
and cavity modes are Since the resonant independent of the longitudinal direction, the cavity length can be chosen as small as desired in order to obtain compact structures. Even for short , the resulting unloaded factor is factor for still reasonably high. Fig. 8 shows the unloaded MS/m) versus a 10-GHz cavity (silver surfaces, the cavity length. According to (1), the cavity cross section is 33.4 33.4 mm . mm, that approximately correAs an example, for , the unloaded factor is 5550. The resulting sponds to mm . A ratio between factor and volume is conventional dual-mode rectangular cavity with 22.86 22.86 mm cross section and 19.8 mm length has about 11190 unloaded factor at 10 GHz. The resulting ratio between factor and volume is mm . Although the ratios are similar, the TM dual-mode cavity yields a sig): nificantly higher ratio between factor and cavity length ( mm , while the the TM dual-mode cavity yields dual-mode cavity has mm . Table I provides a complete set of performance parameters based on factor and size of 10-GHz TM dual-mode cavities. ratio, if mm, the TM dual-mode Regarding the dualcavity is slightly more efficient than the
3912
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE I
Q FACTOR DATA OF10-GHz TM DUAL-MODE CAVITIES
Fig. 10. Topologies of filters with second-order blocks cascaded by: (a) single or (b) multiple NRNs.
V. FILTER DESIGN USING MULTIPLE CAVITIES
Fig. 9. (a) Structure segmentation of a TM dual-mode cavity and (b) corresponding equivalent network.
mode cavity, and vice versa for mm. On the other hand, the ratio of a TM dual-mode cavity is considerably higher than dual-mode cavity, independently of . that one of The TM dual-mode cavity offers a valuable solution whenever a moderate increase of the insertion loss can be tolerated to obtain very short filters. IV. MODE-MATCHING ANALYSIS Although the TM dual-mode cavity has a nonseparable cross section (due to the presence of the stepped corners), a convenient and simple structure segmentation makes it possible to employ purely modal techniques. Fig. 9(a) shows the proposed segmentation as applied to a single TM dual-mode cavity: the whole structure is segmented into five regions suitable for the application of conventional modal analyses. Regions 4 and 5, in fact, are simple steps that can be characterized by the classical in-line mode-matching technique. Similarly, regions 2 and 3 are conventional waveguide -plane steps with the smaller port short-circuited at a certain distance. Finally, region 1 is a rectangular cavity connected through four apertures to regions 4 and 5 at the sides, and, at the top and bottom, to regions 2 and 3. The analysis of such a cavity can be carried out by using the generalized admittance matrix (GAM) approach [14]. In this manner, a multiport equivalent network is obtained for each region into which the structure has been segmented, thusobtaining the overall equivalent network of Fig. 9(b). The proposed segmentation approach can easily be extended to multiple cavity structures, providing an efficient and accurate EM analysis suitable for fast design and optimization of complex TM dual-mode filter structures, as discussed in the following.
An all-pole TM dual-mode cavity, i.e., that not employing the nonresonating mode capability, has the same topology as a dual-mode cavity. In this condition, conventional multiple cavities can be coupled so as to realize direct- and/or cross-coupling as with TE dual-mode filters. A design example is reported in [11]. More interesting is the case when elliptic TM dual-mode cavities using nonresonating modes are cascaded. In this condition, the TM dual-mode cavity can be used as a second-order building block for modular design of higher order filters. A convenient way to cascade multiple blocks is to use nonresonating nodes (NRNs) [15]. Fig. 10 shows two filter topologies using NRNs between second-order blocks [16]. In such topologies, the NRNs are shown as circles instead of square boxes. From the circuit point of view, an NRN consists of a constant shunt susceptance. Irrespective of the number of NRNs employed between adjacent blocks, both topologies transmission yield th-order filtering functions with up to zeros. Each second-order block generates and completely controls its own transmission zero pair. As done in [13] and [15], for TM single-mode cavity filters, a way to realize the nonresonating connection between adjacent cavities consists of using a relatively thick coupling slot, as illustrated in the two-cavity TM dual-mode structure of Fig. 11(a). Such a slot must be nonresonating in the vicinity of the pass-band (strongly detuned resonator), thus behaving as a constant susceptance. As long as the thickness of the coupling slot is relatively large, like e.g., a quarter wavelength, such a slot acts as a NRN with good approximation. In this condition, apart from a minor interaction due to the presence of weak spurious coupling, each cavity mainly controls its own transmission zero pair. Thick coupling slots between adjacent cavities can therefore be used to implement the topology of Fig. 10(a), having a single NRN between adjacent second-order blocks. The nonresonating connection between adjacent cavities can also be realized using quarter-wave waveguide sections [16], as in the filter structure of Fig. 11(b). In this case, the uniform quarter-wave waveguide section is a unitary inverter, while its reference planes at the two adjacent coupling slots are NRNs. Also in this case, each cavity mainly controls its own transmission zero pair. Such a connection between adjacent cavities is suitable for the implementation of the topology of Fig. 10(b), where a pair of NRNs connected by an inverter is used between adjacent second-order blocks. The two-cavity structure of Fig. 11(b) has been optimized to design a fourth-order bandpass filter with four transmission
BASTIOLI et al.: NEW CLASS OF WAVEGUIDE DUAL-MODE FILTERS
3913
Fig. 11. (a) Two-cavity TM dual-mode filters using thick coupling slot or (b) quarter-wave waveguide section between the cavities.
Fig. 13. HFSS and mode-matching simulations of the designed two-cavity filter with quarter-wave waveguide section [see Fig. 11(b)].
Fig. 12. Topology of a two-cavity fourth-order TM dual-mode filter using quarter-wave waveguide section.
zeros. The center frequency is 10.2 GHz with 100-MHz bandwidth. Referring to the topology of Fig. 12, the filter response is described by the normalized coupling matrix shown at the corresponding bottom of this page. The inmost coupling to the quarter-wave waveguide inverter has been set to 1, considerably simplifying the filter design procedure [16]. Each cavity can first be individually designed from the corresponding submatrix, and then cascaded to the other through a quarter-wave waveguide section. The quarter-wave length has to take into account the reactive loading effects of the coupling slots. To the authors’ experience, this represents a convenient starting point for the final full-wave optimization of the whole filter. Fig. 13 shows the HFSS and mode-matching simulations of the optimized structure. Observe the excellent agreement between the results. As expected, two pairs of transmission zeros yield a highly selective response. VI. EXPERIMENTAL RESULTS A very compact and highly-selective four-cavity TM dualmode filter has been designed and tested to prove the suitability of the proposed approach to the design of challenging filter responses. The filter center frequency is 10 GHz with 1.5% fractional bandwidth. The structure of the optimized filter is depictedin Fig. 14(a). All cavities aredesignedtoexploitthenonresonatingmodecapability
Fig. 14. Four-cavity eighth-order TM dual-mode filter with short coupling slots. (a) Filter structure. (b) Topology.
so as to generate four pairs of transmission zeros. To the best of the authors’ knowledge, this is the first eighth-order dual-mode filter with eight transmission zeros without using a direct-coupling between the input and the output of the structure.
3914
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE II FILTER DIMENSIONS
Fig. 16. Disassembled prototype of the four-cavity TM dual-mode filter.
Fig. 15. Filter structure. (a) Design parameters of a filter half. (b) Symmetry between the two halves: the second half is obtained by rotating the first one around the indicated axis. WR-90 waveguides centred with respect to the first and last coupling slots constitute the filter interfaces.
The length of the cavities has been set to 5 mm: considering copper surfaces, this choice yields a 5500 unloaded factor. After a preliminary individual design of each cavity to properly locate resonances and transmission zeros, the cavities have been first cascaded together by means of relatively thick coupling slots, as illustrated in Section V. The efficient EM analysis technique described in Section IV has then been used for a fast full-wave optimization of the whole filter. As is apparent in the final structure of Fig. 14(a), during the filter optimization, the thicknesses of the coupling slots between adjacent cavities have been forced to be very short (0.5 mm) so as to obtain a very compact filter. If the thickness of the coupling slots is small, strong spurious coupling phenomena occur within the filter. This is due to the nonresonating modes that propagate through the cavities and the slots along the filter. As a result, multiple cross-coupling coefficients occur among the input/output and the cavity resonant modes. In this condition, the inner coupling slots are not conveniently described by the NRN model anymore, and simple in-
verters can be used. Similar phenomena have been observed in [17] for TM single-mode filters. The designed filter with short coupling slots can be properly described by the coupling scheme in Fig. 14(b). Such a cross-coupled topology can realize eighth-order filtering functions with eight transmission zeros as the eighth-order version of the topologies of Fig. 10. It can easily be demonstrated that the coupling coefficients of the cross-coupled topology can be directly calculated from those of Fig. 10(b) when the NRN susceptances are zero. In contrast with the latter, however, in the cross-coupled topology the four transmission zero pairs are not independently controlled by the individual cavities anymore: the whole set of coupling and cross-coupling determines the position of the transmission zeros. The coupling matrix implementing the response of the designed filter is shown in the equation at the bottom of the following page. All cross-coupling mechanisms are ascribed to nonresonating modes that bypass single and/or multiple caviis due to the nonresonating modes ties. As an example, that bypass the first and the second cavities making a coupling at the input and the between the fundamental cavity mode in the third cavity. Since the cross-coupling magnitude decreases with the number of bypassed cavities and slots, prescribed relationships between some of the coupling coefficients must be expected: as an example, . Moreover, due to the positioning of the coupling slots, some cross-coupling mechanisms are not present in the structure: in particular, the resonant cavity mode having magnetic field direction perpendicular with respect to a coupling
BASTIOLI et al.: NEW CLASS OF WAVEGUIDE DUAL-MODE FILTERS
3915
Fig. 17. Measurement and mode-matching simulation of the four-cavity TM dual-mode filter (inset, assembled prototype).
slot will not be excited by the nonresonating modes coming cavity mode from that slot. As an example, although the in the second cavity can be excited by the nonresonating modes , it cannot be excited by the coming from the filter input nonresonating modes coming from the output ). Since the transmission zero pairs are not independently controlled, more effort is required for the design and full-wave optimization of a filter with short coupling slots. This fact further highlights the importance of using efficient EM analysis techniques. To give an idea, the mode-matching based technique described in Section IV takes only 41 s for an accurate simulation of the eighth-order filter (HFSS takes about 25 min). According to the coupling matrix, in the optimized structure, the first and the second cavities, along with their slots, are equal to the fourth and third cavities, respectively. With reference to Fig. 15, Table II quotes all the filter dimensions.
The filter has been manufactured by machining several copper layers, as shown in Fig. 16. Thicker layers (5 mm) constitute the cavities of the filter, while thinner layers (0.5 mm) contain the coupling slots. The layers have then been soldered together. The assembled prototype is shown in the inset of Fig. 17. Apart from the feeding waveguide flanges (standard WR-90 interface), the filter length is 22 mm, that approximately corresponds to one-half of the guided wavelength. The measurements of the prototype are shown in Fig. 17, along with the corresponding mode-matching simulation. The agreement between the two has been obtained after a little tuning involving only the cavity resonant frequencies: to this purpose, a pair of orthogonal screws has been inserted into each cavity. Since the screws are located at the maxima of the magnetic field, the resonant frequencies increase with the screw penetration. Thanks to the eight transmission zeros, the filter response is highly selective and provides at the same time a
3916
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
The filter class has been experimentally validated by a 10-GHz four-cavity eighth-order filter with eight transmission zeros. Besides generating the maximum allowable number of transmission zeros, the overall length of the filter is just one half of the guided-wavelength. REFERENCES
Fig. 18. Broadband measurement of the four-cavity TM dual-mode filter.
high out-of-band rejection. The measured return loss is better than 16 dB, while the insertion loss is 0.6 dB (inset of Fig. 17). factor is estimated to be about 4500. The actual unloaded Observe that the return loss at the center of the passband is slightly lower than expected. This is mainly due to the fact that the tuning screws actually alter the intra-coupling between resonant cavity modes. The introduction of screws located at 45 (within the stepped corners) would enhance the tuning capability and solve such a problem. A more complete tuning capability would be obtained by inserting additional screws or discontinuities at the input and output of the filter, as well as into the inner coupling slots. Anyway, since the inner coupling slots are realized within very thin metallic sheets, high precision manufacturing processes could be adopted with moderate costs. This would avoid the tuning of the inner coupling slots. Fig. 18 shows a broadband response demonstrating a spurious-free stopband extending up to 12.6 GHz. Such a performance is comparable with that of some TE dual-mode filters [6]. The spurious responses can mainly be ascribed to the resonances occurring within the coupling slots, as well as to the mode. It must be pointed out that if the higher order nonresonating modes are partially or not exploited (i.e., not all the available transmission zero pairs are generated), the spurious performance of a TM dual-mode filter can be significantly improved. VII. CONCLUSION The TM dual-mode filter class allows the realization of very compact and highly selective waveguide filters. The TM dual-mode cavity is itself an elliptic response structure able to generate two transmission zeros besides the two poles. In contrast with conventional TE dual-mode filters, th-order transmission zeros can be realized, being at the filters with same time very compact along the longitudinal direction. By a proper structure segmentation, an efficient mode-matching analysis can be used for fast filter design and optimization. Multiple cavity configurations have been designed and discussed. Depending on the waveguide structure used as interconnecting section between adjacent cavities, such as thick or thin coupling slots and quarter-wave waveguide sections, different topologies must be used to properly describe the filter structure.
[1] A. E. Williams, “A four-cavity elliptic waveguide filter,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 12, pp. 1109–1114, Dec. 1970. [2] A. E. Atia and A. E. Williams, “New types of waveguide bandpass filters for satellite transponders,” COMSAT Tech. Rev., vol. 1, no. 1, Fall, 1971. [3] R. V. Snyder, “Multicavity dual mode filter,” U.S. Patent 3936775, Sep. 30, 1974. [4] X. P. Liang, K. A. Zaki, and A. E. Atia, “Dual-mode coupling by square corner cut in resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 40, no. 12, pp. 2294–2302, Dec. 1992. [5] V. Boria, M. Guglielmi, and P. Arcioni, “Accurate CAD for dual-mode filters in circular waveguide including tuning elements,” in IEEE MTT-S Int. Microw. Symp. Dig., 1997, pp. 1575–1578. [6] M. Guglielmi, P. Jarry, E. Keherve, O. Roquebrun, and D. Schmitt, “A new family of all-inductive dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 10, pp. 1764–1769, Oct. 2001. [7] W. C. Tang and S. K. Chaudhuri, “A true elliptic function filter using triple mode degenerate cavities,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 11, pp. 1449–1454, Nov. 1984. [8] R. Bonetti and A. Williams, “Application of dual TM modes to tripleand quadruple-mode filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1143–1149, Dec. 1987. [9] I. C. Hunter, L. Billonet, B. Jarry, and P. Guillon, “Microwave filters—Applications and technology,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 794–805, Mar. 2002. [10] S. Bastioli, L. Marcaccioli, C. Tomassoni, and R. Sorrentino, “Ultracompact highly-selective dual-mode pseudoelliptic filters,” IET Electron. Lett., vol. 46, no. 2, pp. 147–149, Jan. 2010. [11] S. Bastioli, L. Marcaccioli, and R. Sorrentino, “TM dual-mode pseudoelliptic filters using nonresonating modes,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 880–883. [12] S. Amari and U. Rosenberg, “Characteristics of cross (by-pass) coupling through higher/lower order modes and their applications in elliptic filter design,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 10, pp. 3135–3141, Oct. 2005. -mode fil[13] U. Rosenberg, S. Amari, and J. Bornemann, “Inline TM ters with high design flexibility by utilizing bypass couplings of nonresonating TE modes,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1735–1742, Jun. 2003. [14] G. Conciauro, M. Guglielmi, and R. Sorrentino, Advanced Modal Analysis. New York: Wiley, 2000. [15] S. Amari, U. Rosenberg, and J. Bornemann, “Singlets, cascaded singlets and the nonresonating node model for modular design of advanced microwave filters,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 5, pp. 237–239, May 2004. [16] S. Amari and U. Rosenberg, “New building blocks for modular design of elliptic and self-equalized filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 721–736, Feb. 2004. [17] S. Amari, U. Rosenberg, and J. Bornemann, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1978, Aug. 2002. Simone Bastioli (S’10) was born in Spoleto, Italy, on June 19, 1981. He received the Master degree (with honors) in electronic engineering and Ph.D. degree in electronic engineering from the University of Perugia, Perugia, Italy, in 2006 and 2010, respectively. In 2005, he was an Intern with Ericsson AB, Mölndal, Sweden, where he was involved with the design of waveguide filters and transitions for microwave applications. In 2009, he carried out a portion of his doctoral research with RS Microwave Inc., Butler, NJ. In 2010, he joined RF Microtech s.r., Perugia, Italy (a spin-off of the University of Perugia), where he is currently a Microwave Designer and Researcher. His research activities include the design of microwave filters and diplexers, as well as low-temperature co-fired ceramic (LTCC) and reconfigurable circuits.
BASTIOLI et al.: NEW CLASS OF WAVEGUIDE DUAL-MODE FILTERS
Dr. Bastioli is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and a member of the European Microwave Association (EuMA). In 2008, he was the recipient of the Best Student Paper Award (First Place) presetned at the IEEE MTT-S International Microwave Symposium (IMS), Atlanta, GA. In 2008, he was also the recipient of the Young Engineers Prize presented at the European Microwave Conference, Amsterdam, The Netherlands. In 2009, he was the recipient of the Hal Sobol Travel Grant presented at the IEEE MTT-S IMS, Boston, MA. In 2010, he was a finalist at the Student Paper Competition of the IEEE MTT-S IMS, Anaheim, CA.
Cristiano Tomassoni was born in Spoleto, Italy, in 1969. He received the Laurea degree and Ph.D. degree in electronics engineering from the University of Perugia, Perugia, Italy, in 1996 and 1999, respectively. In 1999, he was a Visiting Scientist with the Lehrstuhl für Hochfrequenztechnik, Technical University of Munich, Munich, Germany. In 2001, he was a Guest Professor with the Fakultät für Elektrotechnik und Informationstechnik, Otto-von-Guericke University, Magdeburg, Germany. He is currently an Assistant Professor with the University of Perugia. His main area of research is the modeling and design of waveguide devices and antennas. His research interests also include the development of hybrid methods for the design of microwave components.
Roberto Sorrentino (M’77–SM’84–F’90) received the Doctor degree in electronic engineering from the University of Rome “La Sapienza,” Rome, Italy, in 1971. In 1974, he became an Assistant Professor of microwaves with the University of Rome “La Sapienza.” He was an Adjunct Professor with the University of Catania, the University of Ancona, and the University of Rome “La Sapienza” (1977–1982), where he then was an Associate Professor from 1982 to 1986. In 1983 and 1986, he was a Research
3917
Fellow with The University of Texas at Austin. From 1986 to 1990, he was a Professor with the University of Rome “Tor Vergata.” Since November 1990, he has been a Professor with University of Perugia, Perugia, Italy, where he was the Chairman of the Electronic Department, Director of the Computer Center (1990–1995), and Dean of the Faculty of Engineering (1995–2001). In 2007, he founded RF Microtech s.r.l., Perugia, Italy (a spinoff of the University of Perugia). He has authored or coauthored over 100 technical papers in international journals and 200 refereed conference papers. He edited a book for the IEEE Press and coauthored three books on advanced modal analysis (2000), microwave filters (2007), and RF and microwave engineering (2010). His research activities have been concerned with various technical subjects such as the EM wave propagation in anisotropic media, the interaction of EM fields with biological tissues, but mainly with numerical methods and computer-aided design (CAD) techniques for passive microwave structures, and the analysis and design of microwave and millimeter-wave circuits. In recent years, he has been involved in the modeling and design of RF microelectromechanical systems (RF-MEMS) and their applications on tunable and reconfigurable circuits and antennas. Dr. Sorrentino was the International Union of Radio Science (URSI) vice chair (1993–1996) and chair (1996–1999) of Commission D (Electronics and Photonics). Since 2007, he has been the president of the Italian Delegation of URSI. In 1998, he was one of the founders of the European Microwave Association (EuMA) and was its President from its constitution until 2009. In 2002, he was among the founders and first president of the Italian Electromagnetic Society (SIEm), which he chaired until 2008. From 1998 to 2005, he was a member of the High Technical Council, Italian Ministry of Communications. From January 1995 to April 1998, he was the editor-in-chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS. From 1998 to 2005, he was on the Administrative Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is a member of Technical Committees MTT-15 on Field Theory and MTT-1 on Computer-Aided Design. In 1993, he was the recipient of the IEEE MTT-S Meritorious Service Award. In 2000, he was one of the recipients of the IEEE Third Millennium Medal. In 2004, he was the recipient of the Distinguished Educator Award of the IEEE MTT-S.
3918
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Analysis of a Reconfigurable Bandpass Circular Patch Filter Ariana Lacorte Caniato Serrano, Student Member, IEEE, Fatima Salete Correra, Tan-Phu Vuong, Senior Member, IEEE, and Philippe Ferrari, Member, IEEE
Abstract—This paper presents an analysis of a reconfigurable patch filter based on a triple-mode circular patch resonator with four radial slots. The analysis has been carried out thanks to the development of a new theoretical approach of the tunable patch filter based on the coupling matrix. The coefficients of the coupling matrix related to the tunable behavior have been identified and some rules for their evolution have been derived. For a proof-of-concept, a bandpass filter has been designed with a continuous tunability obtained with varactors connected across the slots. State-of-the-art results have been obtained, with a frequency tuning range of 27% from 1.95 to 2.43 GHz and a change in fractional bandwidth from 8.5% to 31.5% for the respective frequencies. In the entire tuning range, the return loss is better than 10 dB and the maximum insertion loss is 2 dB. Due to the newly developed coupling matrix, measurements, simulations, and theory showed great agreement. Index Terms—Bandpass filters, coupling matrix, microwave filters, triple-mode resonators, tunable filters, varactors.
I. INTRODUCTION
HE WIDE diversity of services in the RF/microwave spectrum demands increasingly higher performance, such as low insertion loss, high selectivity, reduced size, weight, and cost; and flexibility such as tunable or reconfigurable filters. For decades, several topologies of tunable filters have been reported in the literature using YIGs, varactors [1]–[3] and, more recently, microelectromechanical systems (MEMS). The use of varactors and MEMS became interesting, especially for their integration with planar resonators facilitating the miniaturization of the filter. Thus, several tunable planar filters have been reported in the literature using microstrip resonators, such as coupled lines, interdigital, hairpin, or comb-lines [4]–[7].
T
Manuscript received July 02, 2010; revised September 20, 2010; accepted September 25, 2010. Date of publication October 28, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. A. L. C. Serrano is with the IMEP-LAHC, Grenoble Institute of Technology (INPG), 38016 Grenoble, France, and also with the Laboratory of Microelectronics, Polytechnic School, University of São Paulo, CEP 05424-970 São Paulo, Brazil (e-mail: [email protected]; [email protected]). F. S. Correra is with the Laboratory of Microelectronics, Polytechnic School, University of São Paulo, CEP 05424-970 São Paulo, Brazil (e-mail: [email protected]). T.-P. Vuong is with the IMEP-LAHC, Grenoble Institute of Technology (INPG), 38016 Grenoble, France (e-mail: [email protected]). P. Ferrari is with the IMEP-LAHC, University Joseph Fourier, 38016 Grenoble, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2085442
On the other hand, planar patch resonators are very attractive for applications in satellite and mobile communication systems where low insertion loss and high power handling are required [8], [9]. In spite of that, there are not many publications on tunable filters using patch resonators, only a few reconfigurable patch filters with external structures connected by a p-i-n diode, resulting in two discrete different responses [5], [10]. Tuning nonsingle-mode patch filters is not simple because their equivalent circuits are not obvious to extract due to their geometry. The passband of this type of filter is formed by resonant modes, and each one can be considered as a single resonator. The coupling between these modes is achieved by adding perturbations to the geometry, such as slots. Without an effective method to isolate the equivalent capacitance and inductance of each mode, it is difficult to analyze the effect of a tuning element on their equivalent circuit. In view of this, we present a detailed study of a continuously tunable circular patch filter, its modeling, and the corresponding analysis in terms of coupling scheme and coupling matrix, explained in Sections II and III. Since the decade of 1990, dual-mode patch resonators have been used in patch filter designs for miniaturization purposes [11], [12]. In this paper, we use a triple-mode circular patch resonator, which allows the design of a bandpass patch filter with higher level of miniaturization, a wider bandwidth, and low insertion loss. To continuously tune this filter, tuning elements as varactors were connected across its slots, changing the center frequency, bandwidth, and selectivity of the filter. This topology was implemented in [13] and has advantages such as low cost, ease of fabrication with no critical dimensions for conventional fabrication process, great miniaturization, and low insertion loss. Theoretical curves, simulations, and measurements are presented. Some discussions are provided in Section IV, followed by the conclusions in Section V.
II. THEORETICAL ANALYSIS—UNLOADED PATCH The basic triple-mode patch filter without any tuning element was designed as described in [13]. The three different resonant modes that form this filter are the two split fundamental degen- and - ) and the second mode erate modes ( ). ( In a circular patch resonator, the patterns for the electric fields of the degenerate modes are orthogonal. However, they can rotate depending on the perturbation inserted in the resonator. This rotation happens in our case, where the radial slots are positioned at 45 away from the horizontal axis. This is corroborated by the electromagnetic (EM) simulation presented in [13],
0018-9480/$26.00 © 2010 IEEE
SERRANO et al.: ANALYSIS OF RECONFIGURABLE BANDPASS CIRCULAR PATCH FILTER
3919
Fig. 1. (a) Conventional coupling scheme of the degenerate modes in a circular patch resonator. (b) Interpretation of the coupling scheme of the degenerate modes for the patch filter under analysis.
M in (2)] frequency
Fig. 3. Measured, simulated and theoretical [from matrix responses of the unloaded triple-mode circular patch filter.
The coupling matrix related to the coupling scheme presented in Fig. 2(b) is given by
Fig. 2. (a) Topology of the triple-mode circular bandpass patch filter with tuning elements in the middle of each slot. (b) Complete coupling scheme for the filter.
(1)
where the current distributions of the degenerate modes are rotated 45 from the horizontal axis. This observation leads to the choice of the coupling scheme for this filter. The analysis of nonsingle-mode patch filters considers each mode as a single resonator with a different resonant frequency. The conventional coupling scheme of a circular patch topology using only the degenerate modes is shown in Fig. 1(a). Due to the symmetry of the filter, the behavior of this structure can be interpreted with a 45 rotation, shown in Fig. 1(b). Both schemes are equivalent, however, as explained, the current distribution indicates that the second scheme better represents the model of this filter. Three other observations can be made from the current distribution (in [13]) of this filter. First, it confirms that the mode has zero current—minimum or maximum electric field—at Port 1 and Port 2, indicating that this mode is coupled to both ports, completing the necessary couplings for the three modes of the filter. Second, it shows that the split fundamental - and - correspond to the odd and modes also has an even mode, respectively. Third, the mode odd symmetry with respect to the symmetry axis of this structure, shown in Fig. 2(a). This implies that the sign of the coupling coefficients to source and to load will be opposite for the odd mode and the same for the even mode. Hence, the final coupling scheme is shown in Fig. 2(b).
with , and . In this matrix, each line and column is related to a node of the coupling scheme. Each element of the matrix corresponds to the coupling between the nodes that are related to its line and and are column. The nonzero diagonal elements the self-couplings of asymmetric filter responses. They account for differences in the resonant frequency of each mode from the center frequency. Initially, we can say that there is no coupling between the input and output as they are directly connected to . Using such a configuration, the resonator, and thus, the coupling matrix can be analytically calculated by the synthesis proposed in [14], with an in-band return loss of 10 dB, resulting in (2), shown at the bottom of this page. and The transmission and the reflection -parameters can be expressed in terms of the coupling matrix , as defined in [14]. Fig. 3 compares the theoretical response produced by matrix (2), the EM simulated and the experimental frequency responses (presented in [13]) of the filter with center frequency at 2.43 GHz, and bandwidth of 650 MHz without tuning elements. All curves are in very good agreement, except for the lower rejection band. The theoretical curve has better rejection than the measured and simulated ones because the input and output are directly connected to the resonator. This way, the filter acts as a low-pass filter at low frequencies, which is not accounted in the matrix elements.
(2)
3920
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
III. THEORETICAL ANALYSIS—PATCH WITH TUNING ELEMENTS If we now consider the patch filter with the tuning elements positioned across the slots, as used in [13], it is possible to verify how the varactors affect the coupling coefficients of the matrix (1). First, it is useful to say that the pole at the lowest frequency - mode and to in (1). in Fig. 3 corresponds to the - mode and The pole in the middle corresponds to the to in (1). And lastly, the pole at higher frequency correand to in (1). To go further, a caresponds to fully study of the behavior of the filter carried out by simulations opened the possibility to determine what coefficients may change by changing the bandwidth or the center frequency of the filter. A comparison between simulated and theoretical [from (1)] responses has been carried out when varying the capacitances of only one pair of varactors at a time. In order to simplify the description, the equivalent capacitance of the pair of varactors , , , and , respecin each slot will be referenced as -, tively [see Fig. 2(a)]. Also, the frequency of modes - , and will be referred as and , respectively. and have the same effect on the response of the filter, due to its symmetry. If these capacitances are changed separately, the return loss of the filter becomes unacceptable. Therefore, the influence of both capacitors varying with the same values is plotted in Fig. 4. and , The increase of these capacitances approximates while lowering . This is modeled by increasing the coeffi, which separates and , and , which decients creases , by an amount of , added to the coupling matrix shown in (3), at the bottom of this page. and Fig. 4 shows the simulation results by varying both with the same values, and the corresponding theoretical reso close to that it is sponse. A small capacitance brings not possible anymore to distinguish each other. These varactors also slightly degrade the return loss at the resonant frequency of mode, which was modeled in (3) by a small differthe and . ence between
Fig. 4. Simulated and theoretical [from matrix (3)] frequency responses of the triple-mode circular patch filter loaded at slot A and C.
A different behavior can be seen in Fig. 5 when changing . The increase of this capacitance lowers and , and slightly increases . To accurately model the influence of this capacishould be increased by for an increase in capacitance, tance, accounting for the reduction of . For the same increase in capacitance, should be increased by , where , to account for the increasing separation between and . The corresponding matrix is then given by (4), shown at the bottom of this page. affects the filter’s response in yet another way. In this increases, remains unchanged while and case, when decrease. This means that and should all be increased, modeled by a new variable in (5), shown at the bottom of the following page. The response for this matrix with different values of is presented in Fig. 6 with the respective simulation curves obtained . by varying In Fig. 6, it can be observed that a transmission zero is brought close to the upper side of the passband. In order to model this is introduced transmission zero, a negative value of in (5). This study shows that when using the capacitances across the four slots, the diagonal coefficients will vary in different ways. More precisely, simulations with this configuration show that
(3)
(4)
SERRANO et al.: ANALYSIS OF RECONFIGURABLE BANDPASS CIRCULAR PATCH FILTER
3921
Fig. 5. Simulated and theoretical [from matrix (4)] frequency responses of the triple-mode circular patch filter loaded only at slot B.
Fig. 7. Measured (bias = 20 V), simulated (C = C = C = C = 0:2 pF), and theoretical [from matrix (6)] frequency responses of the triple-mode
Fig. 6. Simulated and theoretical [from matrix (5)] frequency responses of the triple-mode circular patch filter loaded only at slot D.
Fig. 8. Measured (bias = 5 V), simulated (C = C = C = C = 0:43 pF), and theoretical [from matrix (7)] frequency responses of the triple-
both frequency and bandwidth decrease when increasing all the capacitances. This was confirmed by the measurement results carried out in [13], where the same capacitances were used with a single bias voltage. The bias configuration using only one voltage is very simple, although it limits the degree of freedom related to the control of the resonant modes. However, what is important to point out is that the behavior of the filter is very well modeled by the coupling scheme proposed in Figs. 7–9, corresponding to the matrices (6)–(8), shown at the bottom of the following page. These figures show the comparison of measurements, simulations and theoretical curves for an applied bias voltage of 20, 5, and 1.5 V, respectively. The frequencies of the modes are well modeled, as well as the transmission zero, which is brought close to the upper side of the passband by a weak coupling between the input and output. This is an important observation and will be further discussed in Section IV.
The theoretical curves consider a lossless resonator with . This is the reason of an infinite unloaded quality factor the difference between the theoretical and measured/simulated in-band losses in Figs. 7–9. The loss increase in the theoretical curves considering all dissipative elements can be estimated at of each resonant the midband of the filter by using the finite mode. This can be calculated from simulations using a very weak coupling between the feed lines and the resonator [15]. value for each bias The three modes have nearly the same voltage applied to all varactors: for 20 V, decreasing for 5 V and to for 1.5 V. The estimated to is in-band loss increase considering the resonators finite consistent with the loss shown in those figures. Fig. 10 shows the behavior of the coefficients that are mostly influenced by the capacitances at the four slots. To accurately and should increase model the behavior of the filter,
circular patch filter loaded at all slots.
mode circular patch filter loaded at all slots.
(5)
3922
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 11. Center frequency and bandwidth as a function of the capacitances at the four slots.
= 15
=
=
=
=
Fig. 9. Measured (bias : V), simulated (C C C C : pF), and theoretical [from matrix (8)] frequency responses of the triple-mode circular patch filter loaded at all slots.
09
Fig. 12. Illustration of a layout of the filter folded at its symmetry axis in order to increase the coupling between input and output and achieve a better selectivity for the filter.
Fig. 10. Coupling coefficients M capacitances at the four slots.
;M
;M
;
and M
as a function of the
at the same rate, while increases at a higher rate when increasing the capacitances. This means that decrease faster than the two other frequencies, reducing the center frequency of the filter and its bandwidth, as shown in Fig. 11. IV. DISCUSSIONS The above analysis shows that this patch filter can be reconfigured by varactors in terms of its center frequency, bandwidth, and rejection by bringing a transmission zero close to the upper
side of the passband. Several other observations can be made from this analysis. This transmission zero brought by an increasing coupling between the input and output can be physically realized by changing the layout to improve the selectivity of this filter. For example, if the layout is folded at the symmetry axis (as illustrated in Fig. 12) and a small rectangular cut is opened in the ground plane right between the input and output, the necessary coupling for changing the position of the transmission zero can be created. This can be easily fabricated in a multilayer process without major problems and by using plated through holes for the connections of the two layers of the patch at the symmetry axis. Furthermore, it is possible to realize a filter with independent control of central frequency and bandwidth. Keeping a constant center frequency and varying the bandwidth can be achieved by
(6)
(7)
(8)
SERRANO et al.: ANALYSIS OF RECONFIGURABLE BANDPASS CIRCULAR PATCH FILTER
3923
achieved with the use of a coupling matrix with all the parameters depending on the bandwidth and the center frequency, but also on the command for tunability (the capacitances in the case of this work). This is an issue for the development of efficient topologies of future tunable filters. REFERENCES
Fig. 13. Theoretical responses for different bandwidths with the same center frequency.
Fig. 14. Theoretical responses for different center frequencies with the same bandwidth.
changing both and in the same manner and in the and opposite direction. For example, by reducing by both (and keeping the difference unchanged), while by , the bandwidth of the filter will increasing be reduced, as shown in Fig. 13, without changing the center frequency. The variation of the bandwidth illustrated above is not feasible in this filter using such configuration of varactors because the capacitance of each slot changes more than one coefficient at the same time and always in the same way (increasing or decreasing all of them). However, changing the central frequency and keeping the and , bandwidth constant can be achieved by changing and in the same direction and by the and changing same amount , as shown in Fig. 14. As all coefficients should be changed in the same direction, this can be possibly done by using independent bias voltages for each pair of varactors. V. CONCLUSION A detailed study of a reconfigurable patch filter was presented with a new theoretical analysis of its coupling scheme. A very good agreement was shown between theory, simulations, and measurements. The direct analysis of the coupling matrix explained well the filter behavior for different tuning schemes. It also provided insights for improvements in the layout configuration in order to achieve better selectivity and a higher degree of freedom by changing the bias configuration of the varactors. To go further, a fundamental outlook would be to develop a new theory for the design of tunable filters. Perhaps this could be
[1] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [2] R. Zhang and R. R. Mansour, “Novel digital and analogue tunable lowpass filters,” IET Microw. Antennas Propag., vol. 1, no. 3, pp. 549–555, Jun. 2007. [3] S. J. Park and G. Rebeiz, “Low-loss two-pole tunable filters with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1137–1148, May 2008. [4] Y. Chun and J.-S. Hong, “Electronically reconfigurable dual-mode microstrip open-loop resonator filter,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 7, pp. 449–451, Jul. 2008. [5] C. Lugo and J. Papapolymerou, “Dual-mode reconfigurable filter with asymmetrical transmission zeros and center frequency control,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 9, pp. 499–501, Sep. 2006. [6] E. Pistono, M. Robert, L. Duvillaret, J. Duchamp, A. Vilcot, and P. Ferrari, “Compact fixed and tune-all bandpass filters based on coupled slow-wave resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2790–2799, Jun. 2006. [7] M. Sa and R. Go, “Small-size planar tunable combline filter using decoupling walls,” Electron. Lett., vol. 43, pp. 1–2, Apr. 2007. [8] J. S. Hong and S. Li, “Theory and experiment of dual-mode microstrip triangular patch resonators and filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1237–1243, Apr. 2004. [9] L. Zhu et al., “Miniaturized dual-mode bandpass filter using inductively loaded cross-slotted patch resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 1, pp. 838–840, Dec. 2005. [10] C. Lugo and J. Papapolymerou, “Single switch reconfigurable bandpass filter with variable bandwidth using a dual-mode triangular patch resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., Long Beach, CA, 2005, pp. 779–782. [11] R. Mansour, “Design of superconductive multiplexers using singlemode and dual-mode filters,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 7, pp. 1411–1418, Jul. 1994. [12] L. Zhu, P. Wecowski, and K. Wu, “New planar dual-mode filter using cross-slotted patch resonator for simultaneous size and loss reduction,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 5, pp. 650–654, May 1999. [13] A. L. Serrano, T. P. Vuong, F. S. Correra, and P. Ferrari, “A tunable bandpass patch filter with varactors,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 1752–1755. [14] S. Amari, U. Rosenberg, S. Member, and J. Bornemann, “Adaptive synthesis and design of resonator filters with source/load-multiresonator coupling,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1969–1978, Aug. 2002. [15] G. Matthaei, L. Young, and E. Jones, “Special procedures to aid in the practical development of coupled resonator bandpass filters, impedance-matching networks, and time-delay networks,” in Microwave Filters, Impedance-Matching Networks, and Coupling Structures. Dedham, MA: Artech House, 1980, ch. 11, sec. 6, pp. 674–675. Ariana Lacrote Caniato Serrano (S’10) was born in São Paulo, Brazil, in 1976. She received the Electrical Engineering and M.Sc. degrees from the Polytechnic School, University of São Paulo, São Paulo, Brazil, in 1999 and 2007, respectively, and is currently working toward the Ph.D. degree at both the University of São Paulo, São Paulo, Brazil and the Grenoble Institute of Technology (INPG), Grenoble, France. She possesses professional experience with telecommunications systems (with Nortel Networks), and with the development of RF hardware, especially radars and satellites (with Thales/Omnisys from 2003 to 2008). Her current research interests include planar microwave filters and reconfigurable and tunable circuits.
3924
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fatima Salete Correra was born in São Paulo, Brazil. She received the Electrical Engineer degree from the University of São Paulo, São Paulo, Brazil, in 1977, and the M.Sc. and Ph.D. degrees from the Polytechnic School, University of São Paulo, São Paulo, Brazil, in 1984 and 1991, respectively. In 1978, she joined the Laboratory of Microelectronics, Polytechnic School, University of São Paulo, in 1978. Since 2008, she has been a Professor with the Polytechnic School, University of São Paulo. Her research interests include nonlinear analysis of microwave circuits, modeling of active devices, and design of passive circuits using EM simulation.
Tan-Phu Vuong (S’98–M’01–SM’09) was born in Saigon, Vietnam. He received the Ph.D. degree in electrical engineering from the Institut National Polytechnique (INP), Toulouse, France, in 1999. From 2001 to 2008, he was an Associate Professor with ESISAR, Grenoble INP, France. Since 2008, he has been a Professor with PHELMA, Grenoble INP, France. His research interests include modeling of passive microwave and millimeter-wave integrated circuits. He is currently with the IMEP-LAHC, Minatec, Grenoble, France, where his research activities include the design of
small antennas and printed antennas for mobile, RF identification (RFID), and ultra-wideband (UWB) systems.
Philippe Ferrari (M’10) was born in Ugine, France, in 1966. He received the B.Sc. degree in electrical engineering and Ph.D. degree from the Institut National Polytechnique de Grenoble (INPG), Grenoble, France, in 1988 and 1992, respectively. In 1992, he joined the Laboratory of Microwaves and Characterization, University of Savoy, Savoy, France, as an Assistant Professor of electrical engineering, and was involved in the development of RF characterization techniques. From 1998 to 2004, he was the Head of the laboratory project on nonlinear transmission lines and tunable devices. Since September, 2004, he has been a Professor with the University Joseph Fourier, Grenoble, France, and since 2007 has continued his research with the Institute of Microelectronics Electromagnetism and Photonic (IMEP-LAHC), Grenoble, France. His main research interest is the conception, realization, and characterization of tunable and miniaturized devices such as filters, phase shifters, and matching.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3925
Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz Ali H. Khalil, Damien Passerieux, Dominique Baillargeat, Nicolas Delhote, Serge Verdeyme, Laetitia Estagerie, and Jérôme Puech
Abstract—This paper proposes, for the first time to the best of our knowledge, two challenging LTCC multi-pole bandpass filters operating at 150 GHz for radioastronomy applications. Low-temperature co-fired ceramic (LTCC) technology is employed to realize these filters because it assures the high precision and compactness needed at this high frequency. The two filters are optimized by 3-D electromagnetic simulations and are validated by experimental results. Different positive and negative couplings, which are achieved by different coupling apertures, are studied. The five-pole Chebyshev filter has 8.4 dB of insertion loss in a 1.31% fractional bandwidth for 6.7 mm 2.45 mm lateral dimensions. The fourpole quasi-elliptic filter is conceived to decrease insertion loss by decreasing the number of resonant cavities while conserving the same selectivity by introducing two transmission zeros around the passband. Beside 5.5 dB of insertion loss, this filter occupies a more compact circuit area (3.32 mm 2.37 mm) and insures a very good spurious free region (16 GHz) around 150 GHz. Experimental results are in good agreement with the theoretical ones and validate the use of LTCC technology at such high frequencies over 100 GHz. Index Terms—Bandpass filter, coupling coefficient, low-temperature co-fired ceramic (LTCC) technology, quasi-elliptic function, resonant cavity, submillimeter wave filters.
I. INTRODUCTION
R
ADIOASTRONOMY applications have created a need for new circuit technologies and new techniques for evaluating the performance of these circuits. The principle goal of radioastronomy receiver designers is to reduce the mass and volume, while conserving remarkable sensitivity performances at these high frequency ranges. The passive filter is one of the key elements in the millimeter-wave receiver chain where the performances such as high selectivity, low insertion loss, and a compact size are very restricting. Manuscript received July 02, 2010; revised September 14, 2010; accepted September 23, 2010. Date of publication November 01, 2010; date of current version December 10, 2010. This work was supported by the National Space Agency of France (CNES), France, under Grant R-S07/OT-0002-031. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. A. Khalil, D. Passerieux, D. Baillargeat, N. Delhote and S. Verdeyme are with the Research Institute XLIM, Unité Mixte de Recherche Centre National de la Recherche Scientifique, University of Limoges, 87060 Limoges, France (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). L. Estagerie and J. Puech are with the National Space Agency of France (CNES), 31401 Toulouse, France (e-mail: [email protected]; jerome. [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2085012
Different fabrication techniques are employed to realize filters in millimeter and submillimeter wave ranges. LTCC (low temperature cofired ceramic) is one of these technologies; it is widely used for the fabrication of three-dimensional (3-D) structuring and vertical integration of circuits. Thus, 3-D integration capabilities are a very good option for increasing component density in order to reduce size and lower cost [1]. Indeed, the operating frequencies of filters realized by LTCC were limited to the millimeter wave ranges. Several approaches are proposed for designing LTCC filters functioning around 60 GHz [2]–[4]. To the best of our knowledge, the work presented in [5] is the first attempt to construct an LTCC filter working at a frequency over 100 GHz, thus, in the submillimeter wave range. This bandpass filter was optimized to function around 150 GHz with 1.6% transmission band for radioastronomy applications. As a result of this study, which is confirmed by experimental measurements, it is validated that LTCC technology could be an alternative technology for applications on frequencies over 100 GHz; thus a new field is opened regarding filters in the submillimeter wave range. New filtering specifications are proposed around 150 GHz with better performances concerning the selectivity and the spurious free region around the passband. With 150 GHz central frequency, 1.33% band pass ratio, 10 dB return loss in the passband, 8 dB maximum insertion loss, the out of band rejections GHz. Regarding this latter, are supposed to be 20 dB for more poles are needed to increase the selectivity of the filter [6]. Thus, more resonant cavities are needed if we suppose the topology of the filter presented in [5]. This paper is an extended version of the work proposed in [7]. More details will be given concerning the design of 150 GHz LTCC filters as well as another filter compared to [7]. We present here a five-pole Chebyshev filter based on the coupling of five rectangular resonant cavities. The ideal response and the theoretical coupling matrix are first obtained. Then we will examine the unloaded quality factor of the operating resonance mode of the cavity with respect to the number of layers in the filter and the spurious free region that could be assured. An analysis on the coupling strength varying with the dimensions of the iris between the cavities will be the following point in the section. The final optimized response, the corresponding global dimensions of the filter and the computed coupling matrix are then presented. After realization by employing LTCC technology in the technical research center of Finland “VTT”, the results of the experimental measurements examined at Xlim laboratory
0018-9480/$26.00 © 2010 IEEE
3926
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 1. 3-D model of the five-pole Chebyshev filter.
are demonstrated. An analysis on the experimental results will be presented in the last paragraph of Section II. Section III of the paper is dedicated to the theoretical and experimental studies of a four-pole quasi-elliptic filter, which is optimized to improve the level of the insertion loss resulting from the five-pole filter. It is formed of two adjacent coupled resonant cavities stacked over another pair of adjacent cavities. The electromagnetic mode resonating in these cavities is the same mode functioning in the five-pole filter. The following paragraph in the section is assigned to study the different couplings employed in order to create the negative coupling, which will achieve the transmission zeroes around the pass band. The optimized and the experimental results are then presented.
Fig. 2. Electric field of the TE10 mode attenuated by the metallic vias at 150 GHz.
II. FIVE-POLE CHEBYSHEV FILTER A. Proposed Structure A 3-D view of the proposed five-pole filter is shown in Fig. 1. As mentioned before, it is an evolution version of the two-pole filter presented in [5]. Five parallelepiped resonant cavities are aligned horizontally (along -axis); they are coupled by four iris formed between two vertical vias. Two vias rows are used to assure a metallic side wall that is capable to confine the EM field excited in the resonant cavity. To confirm their confinement properties, EM simulations are executed on a WR-6 waveguide having the dimensions of 1.65 mm 0.825 mm with two via rows placed vertically in its intermediate plane (see Fig. 2). All EM simulations, including free oscillations, are performed using 3-D finite-element method software developed at Xlim research institute. The distance that separates the vias is chosen to be 0.3 mm; bigger than the minimum technological distance (0.25 mm) between the centers of two vias. It is clear that this will assure a better confinement of the field. Moreover, we don’t expect a distance larger than 0.3 mm inside the resonant cavities. The transmission and reflection S parameters results are shown in Fig. 3. This test proves that two rows are sufficient to isolate the cavity by a perfect metallic side wall. Notice that the electric field of the guide mode is vertically directed (along -axis); this is the case of the electric field of the mode used to resonate in the cavities of the filter. The filter is fed by short-circuited coplanar lines to ensure the coupling with the electromagnetic field of the resonant cavity.
Fig. 3. S parameters obtained from the propagation across the vias in the waveguide.
This type of feeding is already validated in [5] and [8]; accesses are screen-printed just over the first and the fifth cavities after the screen printing metallization of the upper metallic layer. B. Materials Used The dielectric material used in the design is Ferro A6 M. Prior to designing the circuit, the exact values of the ceramic dielectric constant and layer thickness should be known first. They are considered to be 5.9 for the relative permittivity and 97 m layer thickness as given by VTT. It is also significant to evaluate the dielectric loss tangent at 150 GHz. This value was estimated to be 0.003 in [5] and the insertion loss of the measured response was close to that of the simulated one. Because to the best of our knowledge no characterization of this LTCC material has been done at 150 GHz, this
KHALIL et al.: QUASI-ELLIPTIC AND CHEBYSHEV COMPACT LTCC MULTI-POLE FILTERS
3927
value is taken into account in the simulations of this paper. Since the goal of the paper is to study the feasibility of such filters at 150 GHz, this value has been considered sufficient but rigorous characterizations have to be done as a next step of this work. m Gold is used in this filter; its conductivity is equal to [5]. C. Unloaded Quality Factor The topology of the proposed structure imposes an elongated form, thus its length (along -axis) will be very long in comparison with its width (along -axis) if the cavities are used to (the operating mode in the filter resonate on the mode presented in [5]). This difference will minimize the compactness of the structure. Thus, we have chosen to use the mode (see Fig. 4), which has three variations along the length ( -axis) of the resonant cavity and one variation along its width ( -axis). Moreover, the electric field of this mode is oriented vertically in the direction of the metallic vias ( -axis), thus efficiently confined by the side wall formed of the two via rows as shown previously. Fig. 5 shows a study carried out on a simple resonant cavity to examine the influence of the operating on mode number of layers on the unloaded quality factor. All dielectric and metallic losses are taken into account. If the initial length and width of the cavity are considered to be 1.33 mm and 1.1 mm respectively, it resonates at 150.032 GHz. These dimenare then calculated by sions are fixed and the values of varying the height of the cavity depending on the number of layers multiplied by the thickness of the layer (97 m). One can observe that is approximately constant and equals to 300 if the layers are three and more. Actually, the high dielectric estimated at 150 GHz is a limiting losses factor in this study as the dielectric losses represent 89% of the total losses. The choice of the number of layers should be a comproand the spurious free region mise between the quality factor which could be assured. For this reason, we studied the resonance frequency evolution of the modes that are varying with the height of the cavity (number of layers along -axis) and that . The results of this study are close to the dedicated mode appear on the graph of Fig. 6. A 1.1 mm 1.33 mm cavity with a height of 3 layers (291 m) gives an effective spurious free reif we consider the values gion while presenting a satisfactory of this latter in Fig. 5 where it is not significantly improved if more layers are employed. Moreover, the low number of LTCC
Fig. 4. Electric field of the mode TE
at 150 GHz.
Fig. 5. Unloaded quality factor varying with the number of layers.
layers reduces the probability of the positioning shift between vias in each layer during the fabrication process which would lead to different internal cavity dimensions. value in the presence of metallic In order to validate the vias, resonant cavity having three dielectric layers and surrounded by two via rows is simulated. If the internal dimensions of the cavity (referenced to vias centers) are 1.128 mm 1.355 will resonate at 150 GHz. The mm, then the mode computed unloaded quality factor is 245. Notice that this value in a simple cavity is lower than that calculated (without vias); this proves that more losses are attained if the metallic continuous shielding of the cavity is replaced by vias. D. Theoretical Coupling Matrix By applying an exhaustive calculation procedure based on [9], the filtering specifications at 150 GHz, mentioned in the introduction, are introduced in order to compute the theoretical coupling matrix (1) of the five-pole filter. We considered that the return loss in the band is 15 dB; a precaution taken into account if we consider the losses resulting from fabrication and measuring stages, shown in (1) at the bottom of this page.
(1)
3928
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 6. Resonance frequency in a function of layers number. Fig. 8. Coupling coefficient K varying with iris width.
Fig. 7. X component of the magnetic field confined by the cylindrical vias. Fig. 9. Coefficient K varying with position of the iris across z -axis.
The terms of the coupling matrix could be optimized upon the following dimensions: are regulated by adjusting • normalized coupling terms the width or the position of the coupling iris between the cavities; approach to zero by adjusting the di• diagonal terms mensions of the resonant cavities; and depend on the dimensions of the coplanar • is excitation system (in all the matrices of this paper, two times). underlined one time and From the coupling matrix, we can observe that the four couplings between the five cavities are positive, hence, of the same between the cavities type (electric or magnetic). The term (C1, C2) is equal to that between the cavities (C4, C5). This is also the case between the two cavity couples (C2, C3) & (C3, C4). A plane of symmetry along the axis could be applied in order to decrease the calculation time during the EM simulations. E. Coupling Coefficients In the Fig. 7, the magnetic field iso-values of the resonant mode show a good concentration at the intermediate plane of the cavity which is parallel to -axis. Thus, the coupling by the iris, formed between two metallic vias and perpendicular to this plane, is magnetic. , we have: From the coupling matrix and . The coupling coefficients could be now calculated using the following formula: . That is, and .
In order to reduce the calculation time when optimizing the complete filter structure, we have studied the coupling between two simple cavities, without vias and with a perfect electric wall. This study, performed in free EM oscillations, will give us the initial approximate widths of the coupling iris. The evolution as a function of the iris width is of the coupling coefficient presented in Fig. 8. The initial width considered (150 m) is the minimum technological distance between the sides of two vias having a diameter of 100 m. One can observe that the coupling strength is stronger when having a wider iris. The initial widths mm and considered are the following: mm. In order to maintain the iris width relatively wide with respect to the minimum distance imposed between the centers of two vias, we tried to adjust the intensity of the coupling by changing the position of the iris along the -axis. In Fig. 9, we show the evolution of the coefficient as a function of the displacement distance “ ”. The initial width of this iris is 150 m. F. Electromagnetic Simulations The next optimization step is performed using the coupling irises dimensions defined in the previous paragraph. Full 3-D EM calculations are done in order to find the whole filter dimensions and thus to optimize its response in order to match the matrix (1) defined in Section II-D. The optimization procedure follows a loop that compares the values of the objective coupling matrix and those of the identified one (extracted from the EM simulated S parameters done at each optimization iteration) in order to change the necessary dimensions of the cavities, the
KHALIL et al.: QUASI-ELLIPTIC AND CHEBYSHEV COMPACT LTCC MULTI-POLE FILTERS
3929
Fig. 11. S-parameters response of the 5-poles filter simulated without losses.
Fig. 10. (a) Global dimensions of the 5-poles filter (in mm), (b) Dimensions of the I/O access (in m), (c) Position (in m) of the accesses with respect to vias centers.
coupling parts or even the dimensions of the excitation system [10]. In the optimization procedure, each iteration takes about 30 minutes whereas 30 iterations are approximately needed to converge toward the objective response of the filter. The cross-sectional view of the five-pole filter shown in Fig. 10 gives the final dimensions of the cavities and the irises in reference to vias centers. The dimensions of the I/O accesses are shown in Fig. 10 as well. The length of the five cavities (along -axis) is fixed to 1.34 mm and variations are performed on their widths. Initially, the simulations were performed without taking into account the losses in the dielectric and the metallic materials. The optimized EM response is shown in Fig. 11. where the pass band is 2 GHz and the out of GHz and 26 dB for band rejections are 20 dB for GHz. The different spurious modes between 142 and 146 GHz are only coming from the fact that no losses are considered here. They are totally attenuated when all losses is are taken into account. The identified coupling matrix given in (2), at the bottom of this page. This matrix is extracted by a polynomial approximation of the 3-D EM simulation S parameters as described in [11]. It represents the complete behavior of the computed filter and can give back its S parameters if plotted versus frequency [11], shown in (2).
We can notice that the normalized coupling terms are very close to those of the theoretical matrix given in (1). The undesired couplings between different cavities are very small and have no influence on the global response of the filter. The EM frequency response of the filter that contains the losses is shown in Fig. 12. Except for the insertion loss in the transmission band (9.2 dB), this filter meets the demanded specifications. The pass band is 2 GHz, and the return loss is always more than 17 dB. The out of band rejections are 28 dB for 151.5 GHz and dB 28.5 for 148.5 GHz. The spurious mode at 160 GHz mode. Considering ideal cavities, this mode is a cavity is at higher frequency (around 174 GHz) and does not appear at 160 GHz on the ideal response. This is only by considering the full 3-D structure with 3-D EM simulations that we can take into account the cavities walls made with vias and the coupling irises used here. With this actual structure, the cavities are no more ideal and, as computed, resonate at 160 GHz on this mode. G. Experimental Investigation A photo of the fabricated five-pole filter by LTCC is shown in Fig. 13. The experimental response of the filter is superposed with the theoretical response in the Fig. 14. The measured response is centered at 151.175 GHz with 8.4 dB insertion loss dB bandwidth is 1.975 GHz. The experimental inwhile the sertion loss has been overestimated by our computations. Extra EM computations based on these experimental results show that the value of the Ferro A6M material is probably closer to 0.0028. The 0.003 value extracted from [5] was thus slightly overestimated. The return loss over this band is better than 15 dB and the GHz. transmission attenuation is over 20 dB for
(2)
3930
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 12. Lossy frequency response in comparison with the objective one and the demanded specifications. (Specifications: brown and black dotted lines; Simulations: blue and red solid lines; Objective: green and orange dashed lines).
Fig. 13. Photography of the fabricated LTCC 5-poles Chebychev filter.
The experimental coupling matrix extracted in considering the central frequency of the measured response is given in (3) at the bottom of this page. We can observe that the values of the normalized coupling terms are close to those of the identified one given in (2). The terms corresponding to the resonance frequencies in (3) are close to zero since we considered a central frequency equal to the measured one. The performance of the five-pole filter is very interesting and covers the major part of the demanded specifications. The pass band, the out of band rejections and the return loss correspond to the expected values. The insertion loss is slightly stronger than the desired value (8.4 dB instead of 8 dB). On the other hand, the small frequency shift (0.78%) observed in the measurements could be produced by a small, unexpected shrinkage during the firing step. Smaller resonant cavities will induce a response centered at a higher frequency than expected. This shift
Fig. 14. Experimental frequency response in comparison with the simulated one. (Specifications: brown and black dotted lines; Simulations: green and orange dashed lines; Measurements: blue and red solid lines).
could also be explained by a little difference between the dielectric constant (5.9) in the EM simulations and the actual value at mode at 160 GHz 150 GHz. However the spurious cavity seems not shifted in frequency (or very few). This fact seems to prove that the experimental permittivity of the Ferro A6M material is equal to 5.9 and that there is probably a modification in mode the cavity dimension along the axis. Indeed, the mode if a dimensional variation is less sensitive than the occurs along the axis of the filter. Considering a simulated m variation of the cavities length (along the axis), the mode shifts from 150 GHz to 151 GHz and the only from 160 GHz to 160.1 GHz. This can explain the experimental S parameters obtained as compared to the 3-D EM simulations (see Fig. 14). III. FOUR-POLE QUASI-ELLIPTIC FILTER With the five-pole filter, we have obtained an EM response that satisfies the demanded specifications except for the insertion loss that are slightly larger. Since the level of this loss increases with the number of resonators, and in order to conserve the same spurious free region while improving the losses in the band, we have proposed to build up a four-pole filter with two transmission zeroes [7]. Quasi-elliptic filters are often suggested to improve the selectivity and the spurious free region around the passband of the filter [12]. In comparison with Chebyshev filters, the quasi-elliptic transfer function supplies more degrees of freedom to the designer: the response has finite transmission zeroes that are suitably located to make the out-of-band rejection fulfill the
(3)
KHALIL et al.: QUASI-ELLIPTIC AND CHEBYSHEV COMPACT LTCC MULTI-POLE FILTERS
3931
filter specifications [13]. The general network with cross-coupled resonators is usually used to obtain the transmission zeroes in the rejection band [6]. This could be done by employing adjacent and stacked cavities with different coupling apertures. A. Theoretical Coupling Matrix The ideal coupling matrix of a filter having four-pole and two transmission zeroes is given in (4), shown at the bottom of this page. The filter is centered at 150 GHz with 1.33% pass band, 5.5 dB insertion loss, 15 dB return loss and 20 dB of rejection GHz. in the out of band is negative One can observe that the normalized term , , and are positive, thus the couplings whereas are not of the same type (either electric or magnetic). Since this negative coupling is indispensable, the proposed structure should assure a topology that creates this coupling while conserving the compactness of the filter and the ability to be fabricated by the LTCC technology. As described in [9], it is theoretically possible, in a four-pole quasi-elliptic filter, to move the negative sign of the coupling between cavities 1 and 4 (see matrix (4)) to the coupling between cavities 1 and 2 (the coupling between cavities 1 and 4 is thus positive). This produces exactly the same filtering behavior and can help the designer to obtain the required negative coupling. This has been done here: the electric coupling between cavities 1 and 2 provides the negative coupling and the other couplings are magnetic to remain positive. The matrix (4) is still valid and this formalism will be conserved trough the paper.
Fig. 15. 3-D model of the four-pole quasi-elliptic filter.
Fig. 16. Coupling coefficient coupling).
K
varying with the length of the slot (Magnetic
B. Proposed Four-Pole Quasi-Elliptic Filter The suggested four-pole filter is shown in Fig. 15. It is formed of two adjacent resonant cavities (C1 & C4) that are stacked over another pair of cavities (C2 & C3). These two are magnetically coupled by metallic iris; just like four-pole filter. Regarding the field distribution of the mode , which is also chosen to operate in this filter, small windows are opened in the metallic plane separating the stacked cavities in order to create a magnetic coupling between C3 and C4 and an electric one between C1 and C2, which will create the transmission zeros of the quasi-elliptic response. Each cavity is formed of three dielectric layers whereas the feeding of the filter is assured by the same short-circuited coplanar line screen-printed over the first and the fourth resonant cavities.
C. Coupling Coefficients Every couple of cavities is studied in free oscillations in order to evaluate the variation of the coupling coefficient and consequently estimate the initial coupling dimensions. The cavities C3 and C4 are magnetically coupled by two small slots opened in the metallic plane between them in the zone where there is a maximum magnetic field beside the sidewall vias. The strength of this magnetic coupling is stronger when these slots are closer to the cavity wall, but due to fabrication constraints, the minimum length between the edge of this slot and the center of the nearest via should be 0.1 mm (diameter of via). The width of the is attained slot is fixed to 90 m. From Fig. 16, the value of if the length of the slot is equal to 0.25 mm.
(4)
3932
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 18. Complete four-poles filter simulated without losses. Fig. 17. Coefficient pling).
K
varying with the length of the aperture (Electric cou-
The cavities C1 and C2 are electrically coupled by means of a square aperture opened in the center of the metallic plane between them where the electric field is maximal. Fig. 17 shows by varying the length of the aperture while the evolution of fixing its width to 200 m. The required coupling between cavis reached for an aperture length ities 1 and 2 of 0.3 mm. The initial widths of the irises between the cavities (C1, C4) and (C2, C3) are obtained from the graph presented in the Fig. 8. mm and These widths are respectively: mm. Once the dimensions of the openings and irises are evaluated, the EM optimization of the filter could be effectuated. D. Em Simulations The next step is to simulate the global filter considering the rows of the metallic via having a diameter of 100 m. The optimized non-lossy response, which is obtained with the aid of the optimization procedure given in [10], is depicted in Fig. 18. About 45 iterations were needed in order to converge toward the wanted response. We have achieved the aim of this primary study that is to validate the capacity of this topology in producing the wanted quasi-elliptic response, shown in (5) at the bottom of this page. During optimization process, undesirable coupling parameters appeared between cavity pairs (C1, C3) and (C2, C4). They are minimized by moving the slots and the aperture in the metallic intermediate plane away from the iris connecting cavities C2 and C3. A cross-sectional view of the filter in Fig. 19 gives the final dimensions of the cavities and the apertures. The
length of the four cavities (along -axis) is fixed to 1.35 mm and variations are performed on their widths. The final identified coupling matrix is given in (5). The dimensions of the I/O coplanar accesses are presented in Fig. 20. The theoretical response is shown in Fig. 21 as well as the EM response of the lossy filter after considering the loss tangent of the dielectric material and the conductivity of gold given in Section II-B. A remarkable difference of the insertion loss is noticed besides a disappearance of the poles and the transmission zeroes; this is due to the high loss tangent presented in Ferro A6M material at this high frequency. The minimum insertion dB bandwidth is 1.33%, and the loss is 5.85 dB at 150 GHz, return loss is better than 18 dB. The stop band at 20 dB starts GHz. A large band (130–170 GHz) EM simufrom lation shows that the first spurious mode is localized above the passband at 166 GHz. Considering these results, the required specifications are obtained and the fabrication of this filter is carried out. E. Experimental Investigation In Fig. 22, we present a photo of the fabricated LTCC fourpole filter having global lateral dimensions of 2.37 mm and 3.32 mm. Fig. 23 represents a comparison between the obtained experimental response and the optimized theoretical one. The measured response is centered at 149.7 GHz with 5.5 dB inserdB bandwidth is 2.02 GHz. As explained tion loss while the in II-G, considering a loss tangent of 0.0028 instead of 0.003 [5] for the Ferro A6M LTCC material in the computations bring the theoretical insertion loss very close to the measured value. The return loss over this band is better than 10 dB and the transmisGHz, shown in (6) sion attenuation is over 20 dB for at the bottom of the following page.
(5)
KHALIL et al.: QUASI-ELLIPTIC AND CHEBYSHEV COMPACT LTCC MULTI-POLE FILTERS
3933
Fig. 19. Global dimensions of the four-poles filter (in mm).
Fig. 21. Lossy frequency response in comparison with the objective one and the demanded specifications. (Specifications: brown and black dotted lines; Simulations: blue and red solid lines; Objective: green and orange dashed lines). Fig. 20. (a) Dimensions (in m) of the I/O accesses screen-printed over C1 (right) and over C4 (left), (b) Position (in m) of the accesses with respect to vias centers.
The matrix extracted from the measured response is given (6). In comparison with the identified matrix in (5), we can note the terms corresponding to the resonant frequencies are slightly smaller whereas the coupling terms are bigger. This confirms that the dimensions of the cavities and the irises are slightly bigger than expected.
A very good agreement between the experimental and computed responses is however observed. The fabricated filter insertion loss, pass band, stopband rejection and the over all shape are extremely close to the expected values. Only a small frequency shift (0.2%) is detected and it can be at this point explained by a very small and unexpected stretching of the LTCC wafer during the firing step. The difference between the shrinkage in the five-pole filter and the present stretching could be explained by different factors.The two filters are fabricated on two different LTCC
(6)
3934
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 22. Photography of the fabricated LTCC 4-poles filter.
applications at 150 GHz. Moreover, the four-pole quasi-elliptic filter presents different advantages over the five-pole Chebyshev filter. On one hand, it is globally more compact since its dimensions are 3.32 mm 2.37 mm against 6.7 mm 2.45 mm presented in the five-pole filter. On the other hand, the four-pole filter shows a lower level of insertion loss (5.5 dB against 8.4 dB). Nevertheless, more interesting spurious free region (16 GHz) could be attained since the five-pole filter presents a resonance at 160 GHz. We have to mention that the coupling apertures (gap between two vias) in the five-pole filter are less sensitive to fabrication tolerances if compared to the slots in the metallic planes used in the four-pole filter. This behavior has been observed during the design of these filters. Because of the sensitivity of the slots in the quasi-elliptic filter, this latter is more difficult to optimize than the Chebyshev filter in which couplings are brought by apertures in the vias fences around the cavities. IV. CONCLUSION
Fig. 23. Experimental frequency response in comparison with the simulated one. (Specifications: brown and black dotted lines; Simulations: green and orange dashed lines; Measurements: blue and red solid lines).
wafers and each of them comes from two different fabrications (as well as another fabrication for the filter from [5]). Thus, a small change in the firing step parameters can cause this observed technological difference during the firing step of the LTCC process. Another factor is the presence of other different circuits on the two wafers. So, the number and the position of vias and the metallization quantity can influence the shrinkage percentage. Normally, this latter is well controlled by the manufacturer but small variations can appear because of the other circuits that are realized on the same wafer. The different positions of the filters also lead to a different shrinkage percentage since they are not completely the same on the different zones of the fabricated LTCC wafer. Another parameter is a potential slight variation in the LTCC material permittivity since each fabrication has been done with a different lot of LTCC tapes. Since the purpose of this paper was to study the feasibility of making LTCC filter around 150 GHz, we did not investigate the reproducibility of such filters. This point has to be addressed because, as seen on the experimental responses at these frequency ranges, the dispersion of this technology needs to be very carefully taken into account. Nevertheless, radioastronomy receivers can slightly change their operating frequency in order to meet the central frequency of the filter, making the band pass filter a more critical aspect. Despite this, the LTCC filters proposed in this paper can attain the demanded filtering specifications for radioastronomy
In this paper, two types of multi-pole LTCC filters are presented. The two filters work around 150 GHz for radioastronomy applications and they are conceived to improve the selectivity presented in the two-pole filter of [5]. To the best of our knowledge, it is the first time that such complicated and competitive multi-pole filters at 150 GHz have been proposed. Regarding this high operating frequency, the experimental results are very important and interesting. Five resonant cavities are aligned and coupled to optimize a five-pole Chebyshev filter. With 1.31% fractional bandwidth, the measured response of this filter presents an isolation of 20 GHz. These results satisfy the demanded specdB for ifications except for the insertion loss which is slightly bigger (8.4 dB instead of 8 dB). A quasi-elliptic filter having two cavities stacked over other two cavities is then proposed. The two transmission zeroes around the transmission band conserve the same selectivity, but the insertion loss is reduced (5.5 dB) since we have decreased the number of resonant cavities. This four-pole filter also presents a small compact size (3.32 mm 2.37 mm) in comparison with the five-pole filter (6.7 mm 2.45 mm). Furthermore, a very good spurious free region is observed in the two filters, but it is more important in the four-pole (16 GHz). The obtained frequency shifts (0.2 & 0.78%) are very small. Different reasons which could lead to these shifts are explained. Finally, and considering the high operating frequency, we proved that many great challenges (design optimization, fabrication and measurements) have been successfully achieved as noticed in the experimental results. LTCC technology could be an alternative technology for applications over 100 GHz regarding its fabrication precisions, the compactness of the structures, and their significant electrical performances. ACKNOWLEDGMENT This paper is a result of a collaboration between Xlim, where the filters are optimized and designed, and the French National Space Agency (CNES).
KHALIL et al.: QUASI-ELLIPTIC AND CHEBYSHEV COMPACT LTCC MULTI-POLE FILTERS
REFERENCES [1] L. Rigaudeau, P. Ferrand, D. Baillargeat, S. Bila, S. Verdeyme, M. Lahti, and T. Jaakola, “LTCC 3-D resonators applied to the design of very compact filters for Q-band applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2620–2627, Jun. 2006. [2] Y. C. Lee and C. S. Park, “A fully embedded 60-GHz novel BPF for LTCC system-in-packaging applications,” in IEEE Trans. Adv. Pack., .2006, vol. 29, pp. 804–809. [3] R. E. Amaya, “A layout efficient, vertically stacked, resonator-coupled bandpass filter in LTCC for 60 GHz SOP transceivers,” in Proc. IEEE Radio Frequency Integr. Circuits Symp. (RFIC), Anaheim, CA, May 23–25, 2010, pp. 245–248. [4] G.-H. Lee, C.-S. Yoo, Y.-H. Kim, J.-Y. Kim, Y.-H. Park, J.-G. Yook, and J.-C. Kim, “A 60 GHz embedded SIW (substrate integrated waveguide) BPF considering the transition effect,” in Proc. APMC Microw. Conf., Singapore, Dec. 7–10, 2009, pp. 1192–1195. [5] A. Khalil, D. Passerieux, D. Baillargeat, S. Verdeyme, L. Rigaudeau, and J. Peuch, “150 GHz bandpass filter using LTCC technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, pp. 455–457, Jul. 2009. [6] A. E. Atia and A. E. Williams, “Narrow bandpass waveguide filters,” IEEE Trans. Microw. Theory Tech., vol. 20, pp. 258–265, Apr. 1972. [7] A. Khalil, D. Passerieux, D. Baillargeat, N. Delhote, S. Verdeyme, L. Rigaudeau, and J. Peuch, “Quasi-elliptic 150 GHz highly selective LTCC filter,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., May 2010. [8] A. Khalil, N. Delhote, A. Pothier, A. Bessaudou, D. Baillargeat, and S. V. Leblond, “Compact low loss alumina bandpass filter in Ku band using layer-by-layer stereolithography technology,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1469–1472. [9] R. J. Cameron, “General coupling matrix synthesis methods for chebyshev filtering functions,” IEEE Trans. Microw. Theory & Tech., vol. 47, pp. 433–442, Apr. 1999. [10] F. Seyfert, L. Baratchart, J. P. Marmorat, S. Bila, and J. Sombrin, “Extraction of coupling parameters for microwave filters: Determination of a stable rational model from scattering data,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 25–28. [11] F. Seyfert and S. Bila, “General synthesis techniques for coupled resonator networks,” IEEE Micr. Mag., vol. 8, no. 5, pp. 98–104, Oct. 2007. [12] T. M. Shen, C. F. Chen, T. Y. Haung, and R. B. Wu, “Design of vertically stacked waveguide filters in LTCC,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 8, pp. 1771–1779, Aug. 2007. [13] J. A. Ruiz-Cruz, J. R. Montejo-Garai, J. M. Rebollar, and K. A. Zaki, “Waveguide filters with elliptical function response: Over view and results of different implementations,” Int. J. RF Microw. Comput.-Aided Eng., vol. 17, no. 1, pp. 63–69, 2007. Ali H. Khalil was born in Chhour, Lebanon, in 1985. He received the Ph.D. degree from the XLIM Laboratory, University of Limoges, Limoges, France, in 2010. He is currently a Teacher at the Faculty of Sciences of AUCE University, Lebanon. His research activities are mainly dedicated to the optimization of resonators and filters functioning in the millimetric and submillimetric wave ranges using 3-D ceramic technologies (low-temperature co-fired ceramic (LTCC), 3D ceramic stereolithography).
Damien Passerieux was born in Perigueux, France, in 1984. He received the M.S. degree in 2008 from the University of Limoges, France. He his currently a Research Assistant (Engineer) in the XLIM Laboratory, University of Limoges, France. His work is dedicated to the measurement, design and fabrication of millimetre and sub-millimeter wave components.
3935
Dominique Baillargeat was born in Le Blanc, France, in 1967. He received the Ph.D degree in 1995 from the University of Limoges, France. He is currently Professor at the MINACOM Department from XLIM Research Institute, University of Limoges. His fields of research concern the development of advanced methods of design for microwave passive devices. These methods include CAD techniques based on hybrid approach coupling electromagnetic, circuits and thermal analysis, synthesis and electromagnetic optimization techniques. They are mainly dedicated to the packaging of RF modules, and to the design of innovative passive filters based on new topologies, concepts (EBG) and/or technologies (Silicon micro-machining, LTCC, ceramic stereolithography process). He authored or co-authored more than 150 technical papers in journals or conferences. He acts as expert for the French Research Agency (ANR). Dr. Baillargeat acts as reviewer for IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS and EuMW.
Nicolas Delhote was born in Limoges, France, in 1981. He received the Ph.D. degree from the XLIM Laboratory, University of Limoges, Limoges, France, in 2007. He is currently an Associate Professor with the Micro et Nanotechnologies pour Composants Optoélectroniques et Microondes (MINACOM) Department, XLIM Laboratory, University of Limoges. His research activities are mainly dedicated to the packaging of millimeter-wave modules and to the design of original resonators and filters based on new topologies, concepts (EBG, etc.) and/or technologies (silicon, low-temperature co-fired ceramic (LTCC), 3-D ceramic stereolithography, etc.).
Serge Verdeyme received the PhD degree from the University of Limoges, Limoges, France, in 1989. He is currently Professor at XLIM, Laboratory of the CNRS and the University of Limoges, and works in the department ‘micro and nano technologies for microwave and optoelectronic components. His main area of interest concerns the design and the optimization of microwave devices.
Laetitia Estagerie was born in Limoges, France, in April 1981. She received the M.S. degree in high frequency and optical telecommunications from the University of Limoges, France, and the PhD degree at the Research Institute XLIM, in 2007. Her research interests were dedicated to millimeterwave filters based on LTCC technology. Since 2008, she has been with the Centre National d’Etudes Spatiales, Toulouse, France, where she has been involved in microwave research activities mainly oriented toward microwave filters and microwave passive equipments.
Jérôme Puech was born in Rodez, France, in 1974. He received the Engineering degree from Institut National des Télécommunications, Evry, France, in 1998. Since January 2000, he has been with the Centre National d’Etudes Spatiales (CNES), Toulouse, France where he has been involved in microwave research activities mainly oriented toward microwave breakdown within space components, microwave filters and travelling-wave tubes.
3936
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Ring Resonator Bandpass Filter With Switchable Bandwidth Using Stepped-Impedance Stubs Chan Ho Kim, Student Member, IEEE, and Kai Chang, Fellow, IEEE Abstract—This paper presents a novel ring resonator bandpass filter (BPF) with switchable passband bandwidth. Simple techniques for analyzing resonant frequencies and transmission zeros are shown, and the methods of designing the lengths of open, stepped-impedance stubs attached to the ring are introduced to obtain the tunability of the bandwidth. Interdigital-coupled feed lines are used for harmonic suppression by adding transmission zeros outside of the passband, resulting in wide upper and lower stopbands. After the lengths of the open stubs and the design of the feed lines are decided, the means of producing the bandwidth tunability are analyzed. By changing the characteristic impedances of the open stubs, mid-upper or mid-lower passband bandwidths can be separately varied. Simultaneous increases or decreases of each width of the stepped open stubs change the number of resonances, resulting in wide or narrow passband bandwidths. The measured results of the BPF using PIN diodes show that the tunable passband ratio is 1.22:1.13:1 with a maximum insertion loss of 1.35 dB. Index Terms—Microstrip filters, passive filters, PIN diodes, resonators, tunable filters.
I. INTRODUCTION
T
HE bandpass filter (BPF) with the characteristics of a sharp rejection, a low insertion loss, and passband tunability have wide applications in various communication and radar systems. For these BPFs to be realized, microstrip stepped-impedance resonators (SIRs) and microstrip ring resonators have effectively been used [1]–[13]. Firstly, since a design formula was introduced for the BPF using SIRs [1], many different SIR BPFs have been investigated. Ultra-wideband BPFs with five resonant frequencies with parallel-coupled feed lines [2], Quasi-Chebyshev BPFs of order up to 9 [3], and the SIR adding four tapped stubs with the effect of sharp rejections at cutoff regions [4] were proposed. The asymmetric SIR with one-step discontinuity has achieved a wide stopband by using tapped ports [5]. Secondly, the ring resonators have been used in many BPFs. An analyzing method of resonances in the ring resonator perturbed by an impedance step was discussed in [6], and a periodic stepped-impedance ring resonator was used for designing dual-mode miniaturized BPFs [7]. By tuning the stubs attached on a square ring resonator Manuscript received June 21, 2010; revised August 16, 2010; accepted September 20, 2010. Date of publication October 25, 2010; date of current version December 10, 2010. This work was supported in part by the National Science Foundation under Grant ECCS-0901088. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. The authors are with the Department of Electrical and Computer Engineering, Texas A&M University, College Station, TX 77843-3128 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2084584
with dual-mode effects, a wide passband, sharp rejections, and increased stopband bandwidth [8] were achieved. Also, rectangular perturbation elements were used to perturb the ring resonator [9], and interdigital-coupled feed lines were used to add two transmission zeros outside of the passband [10]. Recently, the BPF with tunable bandwidth has attracted attention as a means to meet the demand of the multi-functional communication system. Usually, PIN diodes [14]–[18] or varactors [19] have been used to tune the passband bandwidth. Wide discrete passband ratio, which is 3:1, was presented with a center frequency at 10 GHz, but 8 PIN diodes resulted in the complexity of bias circuits [14]. The signal distortion resulting from the nonlinearity of the PIN diodes was investigated in [15]. The switching mechanisms of the PIN diodes for connecting a tuning stub, an openloop,or shortstubswiththerestofthecircuitswerepresented in [16]–[18], respectively. In addition, the simultaneous tunings in both the bandwidth and the center frequency were achieved by using coupling reducers implemented by varactors [19]. This paper is an extended version of [11]. In this paper, the ring resonator with multiple open stubs is introduced to exhibit the tunability of the passband bandwidth. Even- and odd-mode equivalent circuits of the ring are used to calculate the resonant frequencies and transmission zeros. Each length of the stepped open stubs is estimated by investigating the passband variations according to the length variations of the open stubs to obtain the effects of the tunable bandwidth. The designs of the interdigital-coupled feed lines are made by comparing the frequency responses of the ring fed by direct-connected lines to those of the interdigital-coupled feed lines. After that, the method of switching bandwidth by alternating the characteristic impedances of each section of the stepped open stubs is presented. Finally, three states of the switching bandwidths are demonstrated by controlling the bias voltages to the PIN diodes. The proposed BPFs herein uses an RT/Duroid 6006 substrate with a thickness mm and a relative dielectric constant . EM simulations and circuit simulations in this paper are carried out by IE3D and Agilent ADS, respectively. II. RING RESONATOR BPF DESIGN A. Ring Resonator With Direct-Connected Feed Lines Fig. 1 shows the configuration of a square ring resonator possessing two identical stepped-impedance stubs fed by colinear direct-connected feed lines. These two open, steppedimpedance stubs have wide and narrow parts, which are placed on the symmetrical plane to perturb the ring resonator. In this figure, is the characteristic impedance of both the feed lines and the square ring, while and are those of the steppedimpedance stub. The electrical length of one quarter of the ring
0018-9480/$26.00 © 2010 IEEE
KIM AND CHANG: RING RESONATOR BANDPASS FILTER
3937
Fig. 1. Square ring resonator possessing two identical stepped-impedance stubs and direct-connected feed lines.
is denoted by , while and are those of two sections of the open stubs. The physical lengths or widths are denoted by or in this figure, respectively. The reason for adding two identical open stubs is to make it easy to achieve more tunable states of the passband bandwidth. More explanations about three tunable states by taking advantage of four open stubs and PIN diodes will be given in Section III. To calculate the resonant frequencies of this filter, even- and odd-mode equivalent circuits are used [6]. The circuit in Fig. 1 can be divided using the symmetrical plane, one of which is regarded as an open circuit or a short circuit for even or odd modes, respectively. In the even mode, and result from dividing the stepped-impedance stubs in half along the plane of symmetry, as shown in Fig. 2(a). In the odd mode, because the plane of symmetry can be considered as ground plane, a simple equivalent circuit is made in Fig. 2(b). The resonance frequencies can be calculated when or from the one end of the even- and odd-mode circuit, respectively, which are expressed by
for even modes
(1)
and for odd modes (2) in (1) are defined as and , respecwhere and tively. The transmission zero frequencies are obtained when , where the admittance matrices are calculated by adding upper and lower - parameter of the two paths connected in shunt between port 1 and 2 in Fig. 1. Fig. 2(c) is used for obtaining these transmission zeros, and the calculated results are expressed by
(3) in Fig. 1 is easily decided by using The electrical length of both the desired center frequency and the substrate’s information [12], [13], so the physical length is chosen to be 14 mm for the center frequency of 2.5 GHz. 50- transmission lines are used for . The remaining four variables such as , and need to be determined by taking into account not only the characteristics of the BPF but also the tunability of the passband. These undecided values can be estimated by using the previous three conditions (1)–(3).
Fig. 2. Equivalent circuits of the ring resonator in Fig. 1. (a) Even mode. (b) Odd mode. (c) Circuit for obtaining transmission zero frequencies.
Fig. 3 plots the first two even-mode resonant frequencies and the first two transmission zero frequencies normalized by the first odd-mode resonant frequency against under different values of and . Figs. 3(a), (b), and (c) are , and 4.2/14, respectively. For each for figure in Fig. 3, is fixed at 1.15 for the left-hand figures, while is fixed at 0.42 for the right-hand figures. If is considered as the desired center frequency, at a certain can be considered approximately as the passband bandwidth. In every figure in Fig. 3, and progressively move to lower frequencies as increases to 1. Moreover, the varying range of the bandwidth due to the variations of or at a certain seems to become different as varies. At point in the left-hand figure of Fig. 3(b), which indicates , the mid-lower passband bandwidth increases by using a smaller while the mid-upper passband bandwidth hardly changes. Besides, at the point in the right-hand figure of Fig. 3(b), the mid-upper passband bandwidth increases by using a smaller with very small variations of the mid-lower passband bandwidth. These characteristics make it possible to design a BPF with the capability to control the mid-lower and mid-upper passband bandwidth separately. Therefore, and is tentatively chosen from the point in Fig. 3(b). On the other hand, the right-hand figure of Fig. 3(a) shows that relatively low value of is not good for the tunability of the mid-upper passband because there are almost no bandwidth variations under different s. In the left-hand figure of Fig. 3(c), even though the tuning of the mid-lower passband bandwidth is good at about , the desired center frequency is not located at the center of the passband. In addition, in the right-hand figure of Fig. 3(c), the mid-lower passband bandwidth varies considerably as well while the mid-upper passband is switched by changing , which makes it difficult to design the BPF with the separate control on the upper or lower side of the passband. B. Characteristics of Interdigital-Coupled Feed Lines Fig. 4 shows the ring BPF where the direct-connected feed lines shown in Fig. 1 are replaced by the interdigital-coupled feed lines
3938
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 4. Square ring resonator possessing two identical stepped-impedance stubs fed by interdigital-coupled feed lines.
Fig. 5. Interdigital-coupled feed lines used in Fig. 4.
Fig. 3. Resonant frequencies (f : even-mode, f : odd-mode) and transmission zero frequencies (f ) normalized by f for the resonator in Fig. 1. (a) = = 0:2=14. (b) = = 2:2=14. (c) = = 4:2=14.
while the same square ring is used. and are the characteristic impedance and the electrical length, respectively, of a center open stub forming the interdigital-coupled feed lines, which has and the physical length shown in Figs. 4 the physical width and the slot width and 5. The physical line widths for the interdigital-coupled feed lines are decided as shown in
Fig. 5 by EM simulations to achieve a low loss and tight coupling between the ring resonator and the 50- line ports. Specifmm corresponds to where ically, is defined as . To examine the characteristics of the interdigital-coupled lines, only the feed lines in Fig. 5 with three different lengths of are simulated. These three kinds of simulated results are shown in Fig. 6, and, in the same figure, they are compared with the simulated result of the ring resonator fed by the direct-connected lines in Fig. 1. For the simulation of this ring with direct feed lines, the results of the previous section are used as , that is, mm, follows: mm, mm. Also, the widths of the lines are selected with the characteristic impedances as follows: mm mm , and mm . In Fig. 6, the transmission zeros of the interdigital-coupled lines are very close to the transmission poles of the ring resonator at about 0 and 5.5 GHz. The effect of these overlaps can be displayed when the ring and the interdigital-coupled lines are used together. Fig. 7 shows the simulated results of the ring fed by the interdigital-coupled lines shown in Figs. 4 and 5 with three different lengths of . The dimensions of the ring and the two stepped-impedance stubs are the same as those of the ring with the direct feed lines used in the simulations of Fig. 6. The overlaps of zeros and poles shown in Fig. 6 result in harmonic suppressionin Fig. 7, causing improvedstopbands, sharper rejections at cutoff regions, and the wider passband in Fig. 7 compared to the result of the ring with direct feed lines in Fig. 6. is almost a quarter guide-wavelength at the center frequency of 2.5 GHz. If is longer than a quarter guide-wavelength, the center transmission pole of the five poles in Fig. 7 tends to move to lower frequencies, andthenthereturnlossbecomesworseinthemid-upperpassband.
KIM AND CHANG: RING RESONATOR BANDPASS FILTER
3939
Fig. 6. Simulated results for both the interdigital-coupled feed lines in Fig. 5 using three kinds of l and the ring resonator fed by direct-connected feed lines in Fig. 1.
Fig. 7. Simulated results of the ring resonator fed by the interdigital-coupled feed lines in Fig. 4 with three kinds of l .
Conversely, if is shorter than a quarter guide-wavelength, the center transmission pole tends to move to higher frequencies, and then the return loss becomes worse in the mid-lower passband. Among the results from three values of in Fig. 7, 15.4 mm is chosen to obtain the better return loss and the lower insertion loss within the passband. III. RECONFIGURABLE BPF DESIGN A. Design of BPF With Discrete Bandwidths Even- and odd-mode equivalent circuits for the ring resonator fed by interdigital-coupled lines in Fig. 4 are shown in Figs. 8(a) and (b), respectively. Following the similar procedures in the previous section, the resonance conditions can be achieved and expressed by
for even modes
(4)
where
and
for odd modes. (5)
Fig. 8. Equivalent circuits of the ring resonator in Fig. 4. (a) Even-mode. (b) Odd-mode.
The transmission zeros for this ring fed by the interdigital-coupled lines are the same as those for the ring possessing directconnected feed lines, which are expressed in (3), because transmission phases should be stationary along the two paths between port 1 and 2 [10]. Fig. 9 plots the first three even-mode resonant frequencies , the first two odd-mode resonant frequencies and the normalized by the first odd-mode two transmission zeros used in Fig. 3 against under resonant frequency or when different values of , and is fixed at 1.15 for the left-hand figure, while is fixed at 0.42 for the right-hand figure of the and keep constant because the Fig. 9. The values of odd-mode equivalent circuit in Fig. 8(b) or the odd-mode reso, and . The values nant condition in (5) do not relate to seem to vary quite slightly in the low level of . The of and are the same as those shown in Fig. 3(b), values of and are very similar to those and the varying patterns of of and in Fig. 3(b). Owing to these similarities between Figs. 3(b) and 9, and of the ring fed by interdigital-coupled lines shown in Fig. 4 can be decided by just analyzing the ring with direct feed lines shown in Fig. 1 to obtain the switching capability of the passband bandwidth. Consequently, the point in Fig. 9 is chosen as the same point shown in Fig. 3(b), when , and the which denotes tunable characteristics is exhibited the same way as Fig. 3(b) shows. The left-hand figure of Fig. 9 shows that the mid-lower can be increased by using the passband bandwidth lower while the mid-upper passband bandwidth hardly changes at . The right-hand figure of Fig. 9 also shows, at the same , the mid-upper passband bandwidth can be inwith very small variations of the creased by using the lower mid-lower passband bandwidth. and on the passband bandNext, the mutual effect of width needs to be examined when and are fixed as the preceding values. Fig. 10 shows the five resonance frequencies and two transmission zeros when , and . Fig. 10(a) shows 7-frequency variations against under three such as 0.4, 1.15, and 1.9, and Fig. 10(b) shows values of under three values of such 7-frequency variations against as 0.19, 0.42, and 0.65. In Fig. 10(a), the mid-lower passband
3940
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 9. Resonant frequencies (f : even-mode, f : odd-mode) and transmission zero frequencies (f ) normalized by f for the ring resonator in Fig. 4 when = = 2:2=14; = = 15:4=14, and K = 2:12.
bandwidth decreases and the mid-upper passband bandwidth keeps almost constant as increases for all three kinds of . In Fig. 10(b), the mid-upper passband bandwidth decreases and the mid-lower passband bandwidth increases very slightly as increases for all three kinds of . From these results, it is verified that the mid-lower and the mid-upper passbands are mainly affected by and , respectively, and they are not in, and feed lines, defluenced a lot in reverse order when signed in the previous section, are used. For validating the separate tunings, BPFs are designed and fabricated by using the , three kinds of information in Fig. 10. When (0.21, 0.42 and 0.63 denoted by and ) in Fig. 10(a) are chosen for checking the simulated and measured results, which , three kinds of are shown in Fig. 11. Similarly, when (0.66, 1.15 and 1.64 denoted by and ) in Fig. 10(b) are selected, and the simulated and measured results are shown mm, mm, in Fig. 12. For these results, mm, mm and the feed lines in Fig. 5 with mm are used. In Fig. 11, is 7.77, 3.4, and 1.94 , and 0.63, respectively, while mm for mm for . For Fig. 12, is 1.8, 0.7, and 0.3 mm for , 1.15, and 1.64, respectively, while mm . As expected, Figs. 11 and 12 clearly show the for bandwidth tunability by varying the values of or . In other words, Fig. 11 shows that the mid-lower passband bandwidth can be increased by increasing , and Fig. 12 shows that the mid-upper passband bandwidth can be increased by increasing . Hence, after choosing appropriate , and by utilizing the methods shown in the previous section, the mid-upper and the mid-lower passband bandwidth can be separately tunable by varying the characteristic impedances of the two sections of the stepped open stubs. However, both Figs. 11 and 12 also show the insertion loss become slightly higher and the return loss become slightly worse within the passband near the cutoff regions as the passband bandwidths are increased. Moreover, the center frequencies in both figures are not stationary because of the one-sided variation of the bandwidth.
Fig. 10. Resonant and transmission zero frequencies normalized by f for the ring resonator in Fig. 4 when = = 9:5=14; = = 2:2=14; = = 15:4=14, and K = 2:12. (a) Frequency variations versus K . (b) Frequency variations versus K .
B. Tunable Passband With Stationary Center Frequency For switching the bandwidth with the stationary center freand in Fig. 4 (or and ) need to be changed quency, simultaneously. For the wideband BPF to be realized, wide (low ) and wide (low ) are necessary for both the wide mid-lower and the wide mid-upper passbands, respectively. Conversely, for the narrowband BPF to be realized, narrow (high ) and narrow (high ) are necessary for both the narrow mid-lower and the narrow mid-upper passbands, respectively. For simulations and measurements of these two BPFs, all the dimensions are the same as those of the BPFs and . As shown in Fig. 13, used in Figs. 11 and 12 except ( mm), ( mm) are used ( for the wideband BPF in Fig. 13(a), and mm), ( mm) are used for the narrowband BPF in Fig. 13(b). The measured and simulated results are shown in Fig. 14. To design the stepped-impedance stub of each filter, specifications for both the minimum return loss within the passband and the minimum insertion loss outside of the
KIM AND CHANG: RING RESONATOR BANDPASS FILTER
3941
Fig. 13. Ring resonator with interdigital-coupled feed lines. (a) Wideband BPF . (b) Narrowband BPF when when .
2:16
Fig. 11. Simulated and measured results for three . (a) . (b) . Fig. 10(a) when
K = 1:15
S
S
K = 0:42; K = 1:15
K = 0:85; K =
K s (points B; C , and D) in
Fig. 14. Measured and simulated results of the filter in Fig. 13. (a) Wideband BPF. (b) Narrowband BPF.
Fig. 12. Simulated and measured results for three in Fig. 10(b) when . (a) . (b) .
K = 0:42
S
S
K s (points E; F , and G)
passband near the cutoff regions are set up as 10 dB. For the and are stretched to wideband response in Fig. 14(a), obtain the widest possible passband with the center frequency or are increased, the return loss becomes of 2.5 GHz. As worse within the passband, and finally the loss reaches 10 dB. and have the maximum widths, and the At this point, passband has the maximum bandwidth. For the narrowband and are decreased to obtain the response in Fig. 14(b),
3942
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 15. The reconfigurable BPF with four stepped-impedance stubs using two PIN diodes. (a = 2:1; a = 1; a = 0:66; a = 0:12; b = 0:13; b = 9:5; b = 2:35; b = 0:15; b = 0:25; b = 0:13; b = 0:12; b = 0:15; b = 0:13, all in millimeters.) TABLE I PERFORMANCE OF THE FILTER IN FIG. 13
TABLE II PERFORMANCE OF THE FILTER IN FIG. 15
narrowest possible passband with the same center frequency. can be decreased until the insertion loss at the lower stopis also decreased until it meets band decreases to 10 dB. the limit on fabrication. When these two limits are reached for and , they have the minimum values, and the passband has the minimum bandwidth. Comparing in Fig. 14(b) with and that in Fig. 14(a), the simultaneous decreases of give rise to the reduction of the number of resonances within the passband. Table I summarizes the performance of these filters in Fig. 13. Both the measured and the simulated results of the passband ratio are 1.27:1 with low losses while the center frequency barely moves.
C. Switchable Bandwidth Using PIN Diodes Fig. 15 shows the reconfigurable BPF using PIN diodes. To make three discrete states of the passbands, four open steppedimpedance stubs and two PIN diodes are used, where MACOM PIN diodes (MA4AGSBP907) are used in this experiment. It is worth mentioning here that the reason for introducing the ring with two identical stepped-impedance stubs rather than a single stub in Section II is to make it easy to realize three states of the passbands. Even if our full-wave simulations of the ring resonator with a single stepped-impedance stub show similar frequency responses to those in Fig. 7, it is difficult to attach additional two stubs near the original stub without changing the and . Also, the bias control scheme given values of for two identical stubs is simpler than that for a single stub. , and with 330 nH are used for RF Three inductors chokes. In this experiment, the PIN diodes need to be located at the nearest feasible point to the ring between the open stub 1 or 2 and the ring to give the filter the effective switching capabilities. Allowing for mounting dimensions of the PIN diodes and a design of narrow microstrip necks with the width of , which connect the ring with the four open stubs either inside or outside of the ring, proper positions of the PIN diodes are selected as shown in a dotted circle in Fig. 15. These two PIN diodes serve as switches between the ring and the open stubs 1 or 2. The open stubs 3 and 4 are attached to the ring all the time without PIN diodes. The PIN diodes are turned on or off to connect or disconnect, respectively, the open stubs 1 or 2 with the ring. Finally, the switching bandwidths are possible by conor , and the simulated and measured trolling bias voltages results of this filter are shown in Figs. 16 and 17. All the dimensions shown in Fig. 15 and the interdigital-coupled feed lines in mm are used. For the circuit simulations, Fig. 5 with the PIN diodes are replaced with 4- resistors or 25-fF capacitors for the on- or off-state of the PIN diodes, respectively. By with forward current of 10 adding the bias voltage only on mA, the two PIN diodes are turned on. Hence, the open stubs 1 and 2 become connected with the ring, resulting in the widest
KIM AND CHANG: RING RESONATOR BANDPASS FILTER
3943
Fig. 16. Measured and simulated results of BPF with PIN diodes (Fig. 15) for S . (a) Entire-frequency range. (b) Zoom-in for switching capability.
Fig. 17. Measured and simulated results of BPF with PIN diodes (Fig. 15) for S . (a) Entire-frequency range. (b) Zoom-in for switching capability.
passband bandwidth in Fig. 16. If the bias voltage is added only on , just one PIN diode located inside the ring is turned on. Thus, the open stub 1 becomes disconnected and the open stub 2 gets connected with the ring, resulting in the medium passband bandwidth. When there is no bias voltage, the two PIN diodes are turned off. As a result, only two open stubs 3 and 4 remain connected to the ring, resulting in the narrowest passband bandwidth. Three states of the passbands are clearly presented in Figs. 16 and 17. Fig. 17 also shows that the number of resonances is increased or decreased as the bias conditions are changed. The procedures of designing four stubs are very similar to those of designing two stubs in Fig. 13. All the dimensions are the same as those in Fig. 13 except the widths of the four stubs. Firstly, the simulations for deciding the widths of the stub 3 in Fig. 15 can be deand 4 are tried without stub 1 and 2. creased for obtaining the narrow mid-lower passband until the insertion loss at the lower stopband near the cutoff region deare also decreased for realizing the creases to about 10 dB. narrow mid-upper passband until it meets the limit of the fabrication. After the design of stubs 3 and 4 are made, the widths of the stub 1 and 2 can be decided. While simulating the filter for deciding the widths of the stub 1 and 2, stub 3 and 4 are also simulated together for examining the wideband responses. and can be increased for obtaining the wide mid-lower and the wide mid-upper passband, respectively, until the return loss within the passband decreases to about 10 dB. Table II shows the performance of this filter. The measured passband ratio for
the three bandwidths is 1.22:1.13:1 with the maximum insertion loss of 1.35 dB. The center frequency is hardly moved as expected. The group delay obtained from the measured results varies between 0.62–2.17 ns, 0.62–2.27 ns, and 0.62–2.72 ns within the wide, mid, and narrow passband, respectively. The measured results agree very well with the simulated results. IV. CONCLUSION A novel wideband ring BPF with switchable passband bandwidth, using multiple stepped open stubs and interdigital-coupled feed lines, has been developed. The design on the lengths of each section of the stepped open stubs attached to the ring is made by analyzing the resonant and transmission zero frequencies obtained from the equivalent circuits. The ring with the interdigital-coupled feed lines adds two transmission zeros outside of the passband and achieves improved stopbands, a wider passband, and sharper rejections compared to the ring with the direct-connected feed lines. After the optimization of the open stubs and the interdigital-coupled feed lines, the bandwidth becomes tunable on either the mid-upper or the mid-lower passbands by changing the characteristic impedances of each section of the stepped-impedance stubs. Wideband and narrowband BPFs are designed and fabricated by changing the number of resonances within the passband, resulting from the simultaneous increases or decreases of each width of the stepped open stubs. Four open stubs and two PIN diodes are used for switching three states of the passband, and the center frequency hardly moves during the tunings
3944
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
of the bandwidth. The passband switching ratio of the measured results is 1.22:1.13:1 with low losses and sharp rejections. ACKNOWLEDGMENT The authors would like to thank M.-Y. Li, Texas A&M University, College Station, for his technical assistance. REFERENCES [1] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 28, no. 12, pp. 1413–1417, Dec. 1980. [2] L. Zhu, S. Sun, and W. Menzel, “Ultra-wideband (UWB) bandpass filters using multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 11, pp. 796–798, Nov. 2005. [3] Y.-C. Chiou, J.-T. Kuo, and E. Cheng, “Broadband quasi-chebyshev bandpass filters with multimode stepped-impedance resonators (SIRs),” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3352–3358, Aug. 2006. [4] Y.-C. Chiou, Y.-F. Lee, J.-T. Kuo, and C.-C. Chen, “Planar multimode resonator bandpass filters with sharp transition and wide stopband,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 439–442. [5] Y.-C. Chang, C.-H. Kao, M.-H. Weng, and R.-Y. Yang, “Design of the compact wideband bandpass filter with low loss, high selectivity and wide stopband,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 770–772, Dec. 2008. [6] M. Matsuo, H. Yabuki, and M. Makimoto, “Dual-mode steppedimpedance ring resonator for bandpass filter applications,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1235–1240, Jul. 2001. [7] J. T. Kuo and C.-Y. Tsai, “Periodic stepped-impedance ring resonator (PSIRR) bandpass filter with a miniaturized area and desirable upper stopband characteristics,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1107–1112, Mar. 2006. [8] L.-H. Hsieh and K. Chang, “Compact, low insertion-loss, sharp-rejection, and wideband microstrip bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1241–1246, Apr. 2003. [9] Y.-Z. Wang, C.-A. Wang, and K.-Y. Lin, “Miniaturized dual-mode quasi-elliptic function bandpass filter with wide rejection bandwidth,” in Proc. Asia-Pacific Microw. Conf., 2006, pp. 1569–1574. [10] S. Sun and L. Zhu, “Wideband microstrip ring resonator bandpass filters under multiple resonances,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 10, pp. 2176–2182, Oct. 2007. [11] C. H. Kim and K. Chang, “Wideband ring resonator bandpass filter with dual stepped impedance stubs,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 229–232. [12] K. Chang, Microwave Ring Circuits and Antennas. New York: Wiley, 1996. [13] K. Chang and L.-H. Hsieh, Microwave Ring Circuits and Related Structures. New York: Wiley, 2004. [14] C. Rauscher, “Reconfigurable bandpass filter with a three-to-one switchable passband width,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 2, pp. 573–577, Feb. 2003. [15] C. Lugo and J. Papapolymerou, “Electronic switchable bandpass filter using PIN diodes for wireless low cost system-on-a-package applications,” Proc. Inst. Electr. Eng.-Microw. Antennas Propag., vol. 151, no. 6, pp. 497–502, Dec. 2004. [16] C. Lugo and J. Papapolymerou, “Single switch reconfigurable bandpass filter with variable bandwidth using a dual-mode triangular patch resonator,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 779–782. [17] W.-H. Tu, “Compact low-loss reconfigurable bandpass filter with switchable bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 4, pp. 208–210, Apr. 2010. [18] A. Miller and J.-S. Hong, “Wideband bandpass filter with reconfigurable bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 1, pp. 28–30, Jan. 2010. [19] M. Sanchez-Renedo, R. Gomez-Garcia, J. I. Alonso, and C. Briso-Rodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–199, Jan. 2005.
Chan Ho Kim (S’09) received the B.E. degrees both in mechanical engineering and in electrical engineering from Chung-Ang University, Seoul, Korea, in 2000 and from Korea University, Seoul, Korea, in 2002, respectively. He received the M.S. degree in electrical engineering from University of Maryland, College Park, MD, in 2007, and is currently working toward the Ph.D. degree in electrical engineering at Texas A&M University, College Station, TX. From 2002 to 2003, he was with Samsung Networks, Inc., Seoul, Korea as a computer network engineer. From Feb. to June 2004, he was with Defense Quality Assurance Agency, Seoul, Korea as a researcher in defense quality management. From Feb. 2005 to May 2007, he was with the Cosmic Ray Physics Group, University of Maryland as a research assistant. Since Aug. 2007, he has been with the Electromagnetics and Microwave Laboratory, Texas A&M University. His research interests are passive and active microwave circuits and phased-array antenna systems. Kai Chang (S’75–M’76–SM’85–F’91) received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, in 1970, the M.S. degree from the State University of New York at Stony Brook in 1972, and the Ph.D. degree from the University of Michigan, Ann Arbor, in 1976. From 1972 to 1976, he was with the Microwave Solid-State Circuits Group, Cooley Electronics Laboratory of the University of Michigan as a Research Assistant. From 1976 to 1978, he was with Shared Applications, Inc., Ann Arbor, where he worked in computer simulation of microwave circuits and microwave tubes. From 1978 to 1981, he was with the Electron Dynamics Division, Hughes Aircraft Company, Torrance, CA, where he was involved in the research and development of millimeter-wave solid-state devices and circuits, power combiners, oscillators and transmitters. From 1981 to 1985, he was with the TRW Electronics and Defense, Redondo Beach, CA, as a Section Head, developing state-of-the-art millimeter-wave integrated circuits and subsystems including mixers, voltage-controlled oscillators (VCOs), transmitters, amplifiers, modulators, upconverters, switches, multipliers, receivers, and transceivers. He joined the Electrical Engineering Department of Texas A&M University in August 1985 as an Associate Professor, where he became a Professor in 1988. From 1990 to 2006, he was appointed Raytheon E-Systems Endowed Professor of Electrical Engineering. Currently, he is the Holder of the Texas Instruments Endowed Chair. His current interests are in microwave and millimeter-wave devices and circuits, microwave integrated circuits, integrated antennas, wideband and active antennas, phased arrays, microwave power transmission, and microwave optical interactions. He has authored and coauthored several books Microwave Solid-State Circuits and Applications (Wiley, 1994), Microwave Ring Circuits and Antennas (Wiley, 1996, 2nd ed 2004), Integrated Active Antennas and Spatial Power Combining (Wiley, 1996), RF and Microwave Wireless Systems (Wiley, 2000), and RF and Microwave Circuit and Component Design for Wireless Systems (Wiley, 2002). He served as the Editor of the four-volume Handbook of Microwave and Optical Components (Wiley, 1989 and 1990 (2nd ed. 2003)), and the editor for the Wiley Encyclopedia of RF and Microwave Engineering (6 volumes, 2005). He is the editor of the Microwave and Optical Technology Letters and the Wiley Book Series in Microwave and Optical Engineering (over 70 books published). He has published over 240 journal papers, 220 conference papers, and many book chapters in the areas of microwave and millimeter-wave devices, circuits, and antennas. He has graduated over 25 Ph.D. students and over 35 M.S. students. Dr. Chang has been a Technical Committee Member and session chair for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), the IEEE Antennas and Propagation Society (IEEE AP-S), and many international conferences. He was the Vice General Chair for the 2002 IEEE International Symposium on Antennas and Propagation. He received the Special Achievement Award from TRW in 1984, the Halliburton Professor Award in 1988, the Distinguished Teaching Award in 1989, the Distinguished Research Award in 1992, and the TEES Fellow Award in 1996 from the Texas A&M University. He is recipient of the 2007 Distinguished Educator Award of the IEEE Microwave Theory and Techniques Society.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3945
Bandpass–Bandstop Filter Cascade Performance Over Wide Frequency Tuning Ranges Eric J. Naglich, Student Member, IEEE, Juseop Lee, Member, IEEE, Dimitrios Peroulis, Member, IEEE, and William J. Chappell, Member, IEEE
Abstract—A tunable, substrate integrated, high Q bandpass–bandstop filter cascade is demonstrated that is capable of providing up to 100 dB of isolation between two dynamically selectable frequencies of interest. Evanescent-mode cavity filters are used in the cascade to allow high quality factors and wide tuning ranges. It is shown that it is theoretically possible to tune the cascade circuit’s passband and transmission nulls independently over more than an octave in frequency while displaying good performance. General coupling matrix theory, ABCD matrix theory, and measurements are shown that describe the behavior of the filter cascade over the entire frequency tuning range. The high, dynamic isolation provided by cascade circuits has potential to be useful in concurrent transmit–receive systems, shared aperture systems, and spectral environments with strong co-site interference. Index Terms—Filters, microwave filters, passive filters, tunable filters, tunable resonators.
I. INTRODUCTION ANY current and future microwave applications would benefit from or even require very high levels of isolation between certain, dynamic frequencies of interest. These applications include concurrent transmit–receive systems [1], shared antenna aperture systems [2], and co-site interference scenarios [3]. In some of these cases with high power transmitters, practical, tunable bandpass filters or bandstop filters alone cannot provide the isolation required for preventing saturation of a receiver’s low noise amplifier (LNA). The result is low resolution in a receiver’s analog-to-digital converter (ADC), and thus bit error rates (BER) that are higher than what is acceptable for the desired level of system performance. For example, it could be desired to have a high power, shared aperture antenna system that could transmit and receive at the same time on constantly varying frequency bands. Such a system could employ a tunable bandpass filter that tracks the receive band frequency. Many tunable bandpass filters that could be used in this case have been developed recently. Examples include filters with relatively high Q values that can tune over
M
Manuscript received June 30, 2010; revised September 17, 2010; accepted September 22, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by the Defense Advanced Research Projects Agency Analog Spectral Processors Grant under program manager Sanjay Raman. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the IDEAS Microwave Laboratory, Department of Electrical and Computer Engineering, Purdue University, West Lafayette, IN 47907 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2084587
Fig. 1. Measured response of a tunable second-order bandpass filter cascaded with a tunable second-order bandstop filter. This cascade circuit allows high, dynamic isolation between two frequencies of interest.
an octave [4] and others with reconfigurable shapes [5]. The widest tuning bandpass filters are YIG (yttrium–iron–garnet) devices [6]. However, the high power signals involved could be too large for a bandpass filter to attenuate to a desired level, especially for a close transmit–receive band spacing. Conversely, the example system could use a tunable bandstop filter to attenuate the energy from the transmitter that is input to the receiver. Recent tunable bandstop filters are able to achieve up to 50 dB of attenuation [7], which could possibly reduce the power level difference between transmit and receive signals present at the LNA input to within the dynamic range of the system. Other bandstop filters have octave tuning ranges [8]. However, using these bandstop filters will only enable the system to work well in a sparse spectrum without other interferers since it will not provide appreciable attenuation at frequencies other than the transmit frequency. Finally, the example shared aperture system could utilize an elliptic filter with a transmission null positioned at the transmitter frequency. However, implementing a tunable transmission null that is independent of the passband is difficult in elliptic filters, so the transmit frequency would have to be static, which is limiting. A bandpass–bandstop filter cascade provides the benefits of all of the previously discussed filters, enabling the example shared aperture system to operate in most spectral environments. The frequency response of such a filter cascade using a second-order Butterworth bandpass filter and a second-order Butterworth bandstop filter can be seen in Fig. 1. Note that
0018-9480/$26.00 © 2010 IEEE
3946
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
It can be shown with coupling matrix methods [10] that the coupling matrix for a typical second-order 20 dB equi-ripple Chebychev bandpass filter is
(2)
and the coupling matrix for a second-order Butterworth bandstop filter is Fig. 2. Bandpass–bandstop filter cascade coupling diagrams. (a) Bandpass filter connected to bandstop filter with 90 degree transmission line represented by the connection between two non-resonating nodes (NRN). (b) Bandpass filter connected to bandstop filter with 180 degree transmission line represented by the connections between three NRNs.
both the bandpass passband and bandstop stopband are independently tunable, so isolation on the order of 90 dB is possible between dynamic frequencies of interest. This concept was originally proposed in [9]. In this paper, we show the capabilities of this filter system to demonstrate up to 100 dB of isolation when proper design rules are followed, but also that unwanted peaks will be generated in the frequency response that exacerbate the interference problem if the frequency spacing is incorrect. This potential for causing more harm than good by including the bandstop filter has not been described previously, and it is important to understand for the operation of widely tunable filters in cascade circuits. Common bandstop filters are designed with ports whose impedances match that of the system impedance, usually 50 ohms. Since the bandstop filter in a bandpass–bandstop filter cascade operates on the skirt of the bandpass filter, it is not presented with a 50 ohm port at its input. This results in interesting operating characteristics of bandpass–bandstop cascade circuits that must be understood before they are used in a system.
(3)
These coupling matrices can be combined [11], along with non-resonating nodes (NRNs) that allow representation of a ninety degree inter-filter transmission line through their coupling mechanism, into the color-coded and line type segregated matrix in (4). The color coding and line type corresponds to the component outlines in Fig. 2(a), where red solid line represents the bandpass filter, blue dashed line represents the 90 degree inter-filter transmission line, and green dotted line represents the bandstop filter. and represent scaling factors that allow the bandpass filter to have a different bandwidth than the bandstop filter, as only one frequency transformation can be used in the general coupling matrix method. Also, represents the frequency offset of the bandpass filter from the bandstop filter, where the bandstop filter is centered at 0 rad/s in the normalized frequency domain low pass filter prototype. . Since the bandpass filter is synchronously tuned,
II. BANDPASS–BANDSTOP FILTER CASCADE THEORY A. General Coupling Matrix Model For simplicity, we will analyze a single bandpass filter in series with a single bandstop filter, both of second order. These filters will be connected using a transmission line of a certain length and characteristic impedance equal to the system characteristic impedance. An example of two of the possible coupling diagrams for the total circuit can be seen in Fig. 2. For the second-order filter analysis in this work, both external coupling values will be equal to each other and symmetries such as the source-to-load coupling being the same as the load-to-source coupling can be taken advantage of to simplify coupling the generalized second-order filter as shown in (1): matrix
(1)
where the subscripts 0 and 3 correspond to the source and load, respectively, and subscripts 1 and 2 correspond to the first and second resonator, respectively.
The frequency response in Fig. 3 results from the coupling matrix in (4). It can be seen that an unwanted peak appears at the bandstop filter frequency of operation, resulting in a reduction of attenuation of the undesired signal compared to using a bandpass filter alone. This unwanted peak is the result of a resonance created by the inter-filter transmission line. It can be understood by cutting the connection between the filters and the inter-filter transmission line at the filter ports and looking at the phase of the bandpass output impedance and the phase of the bandstop input impedance. This concept is shown graphically in the real frequency domain in Fig. 4. Fig. 4 shows a bandpass filter centered at 3 GHz and a bandstop filter centered at 2.7 GHz. It can be seen that for a bandpass filter with parallel resonators, the
NAGLICH et al.: BANDPASS–BANDSTOP FILTER CASCADE PERFORMANCE OVER WIDE FREQUENCY TUNING RANGES
Fig. 3. Analytical response with unwanted peak that can result from improper design of a bandpass–bandstop filter cascade circuit. Reduction of attenuation at the bandstop filter frequency compared to using the bandpass filter alone is seen.
Fig. 4. Explanation of the unwanted peak seen in Fig. 3.
phase response at 2.7 GHz (the bandstop filter frequency of operation) approaches that of a short circuit as the frequency decreases away from the passband. Similarly, it can be seen that for a bandstop filter with parallel resonators, the phase response at 2.7 GHz approaches that of an open circuit. This results in the inter-filter transmission line being terminated in an approximate short circuit on one side and an approximate open circuit on the other. When the electrical length is ninety degrees long at the bandstop filter frequency of operation, the inter-filter transmission line acts as a planar transmission line resonator. The unwanted peak at the bandstop frequency of operation can be removed by changing the electrical length of the interfilter transmission line. For example, the circuit in Fig. 2(b) employs a 180 degree transmission line, and it can be represented by the coupling matrix in (5), where the same color-coding and line type as in (4) applies. The frequency response in Fig. 5 results from the coupling matrix in (5). Note that the desired re-
3947
Fig. 5. Analytical response that can result from proper design of a bandpass–bandstop filter cascade circuit. An increase in attenuation at the bandstop filter frequency is seen compared to using the bandpass filter alone.
sponse is achieved, and the unwanted peak at the bandstop filter frequency of operation is gone. The coupling matrix model above shows that bandpass–bandstop cascade circuits will provide the desired response only if the filters are connected with the correct length of transmission line, which is equivalent to saying that the circuits will only work if the filters are tuned to certain frequencies. This is limiting in a widely tunable system. In addition, the coupling matrix model assumes that the coupling values for the filters do not change when the filters are tuned over a wide frequency range. In practice, it is very difficult to fabricate a widely tunable filter with static coupling relationships. For this reason, the “combined sub-matrix” method discussed above is only an approximation that can be used to obtain estimations quickly. In order to determine the potential performance of a bandpass–bandstop filter cascade as it is tuned over a wide frequency range, a model that includes the length of the inter-filter transmission line as a parameter is needed. An ABCD matrix model of the circuit will accomplish this, as well as verify the estimation capability of the general coupling matrix model discussed above. B. ABCD Matrix Model The scattering (S) parameters of the bandpass filter and bandstop filter can be solved from their individual general coupling
3948
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 6. Analytical results showing the range of inter-filter transmission line electrical lengths for which the bandpass–bandstop filter cascade circuit can provide within 20 dB of its maximum isolation level, which in this case occurs for an inter-filter transmission line electrical length of 180 degrees.
matrix models through standard methods [12]. The S parameters of the two filters can be converted to ABCD parameters, and the ABCD parameters of a transmission line are readily known [13]. The ABCD parameters of a transmission line are (6) (6) where , is frequency, is the speed of light, is the physical length of the transmission line, and is the characteristic impedance of the transmission line. Note that (6) includes the length of the transmission line as a parameter that can be continuously varied. The three ABCD matrices for the bandpass filter, the inter-filter transmission line, and the bandstop filter can be matrix multiplied to obtain an ABCD matrix for the entire cascade circuit. Finally, the cascade ABCD matrix can be converted to an S parameter matrix for the entire cascade circuit that has the length of the interfilter transmission line as well as the bandstop frequency of operation (through ) as continuously tunable parameters. This model can be used to determine the range of inter-filter transmission line electrical lengths over which acceptable performance is achieved. For example, if acceptable performance is defined as being within 20 dB of the maximum level of isolation that the bandpass–bandstop filter cascade circuit can produce, the circuit will meet specification as long as the inter-filter transmission line electrical length is between 99 and 261 degrees (or integer multiples). This can be seen graphically in Fig. 6. Frequency responses from the ABCD matrix model for thirty-two evenly spaced bandstop filter tuning frequencies are shown superimposed in Fig. 7. Fig. 7 is drawn with an inter-filter transmission line length of 46 mm and a relative dielectric constant of 2.1 to model the physical structure that will be described below. The largest increase in isolation (for parallel bandpass resonators and parallel bandstop resonators) is achieved with an inter-filter transmission line that is 180 degrees in electrical length at the bandstop filter frequency
Fig. 7. Analytical response of bandstop filter being tuned about a static 3.0 GHz bandpass filter. Note the unwanted peaks seen at 1.12 and 3.36 GHz. These frequencies correspond to when the inter-filter transmission line is 90 and 270 degrees, respectively. Also note that the S11 is plotted for all 32 instances of the frequency response and that it stays at a constant 20 dB equi-ripple level as the bandstop filter is tuned about the bandpass filter.
of operation, which corresponds to 2.24 GHz in Fig. 7. As the bandstop filter tunes and thus the electrical length of the transmission line at the bandstop filter frequency changes, the response slowly progresses toward the worst performance configuration, which can be seen at 1.12 GHz and 3.36 GHz in Fig. 7. These frequencies correspond to where the inter-filter transmission line is 90 and 270 degrees long, respectively. However, the takeaway from Fig. 7 is that good cascade circuit performance (high isolation) is possible over a wide variation in electrical lengths. This implies that dynamic, high isolation is possible over a wide frequency tuning range, beyond an octave. C. Further Reconfigurability Through Bandstop Filter Shape Tuning Many bandstop filters have been presented that have adjustable characteristics beyond being tunable in frequency. Some have a tunable level of attenuation [14], and others have constant bandwidth over wide tuning ranges [15]. All of this reconfigurability can be used in a bandpass–bandstop filter cascade to aid in blocking dynamic interference. Recently, a bandstop filter with the capability to tune its shape from a Butterworth to Chebychev response was proposed [16]. This second-order bandstop filter uses a non-zero inter-resonator coupling value and asymmetric tuning of the self coupling of its resonators to achieve its shape reconfiguring capability. A certain combination of inter-resonator coupling and resonator self-coupling values achieves a Butterworth response. A Chebychev response can be obtained from this Butterworth response merely by tuning the self coupling of the resonators. For example, a Butterworth bandstop filter is represented by (7):
(7)
NAGLICH et al.: BANDPASS–BANDSTOP FILTER CASCADE PERFORMANCE OVER WIDE FREQUENCY TUNING RANGES
Fig. 8. Frequency response and coupling diagram for a fourth-order elliptic filter with two transmission zeros at j10 normalized frequency. Note that in order to tune the transmission null independently of the passband without affecting its shape, all resonators and coupling values must be tuned.
0
where here because the resonators are tuned asynchronously. An equi-ripple Chebychev bandstop filter shape can be obtained by tuning the resonator self coupling values away from the values in (7). The shape changing capability of this filter is expected to be useful for trading stopband depth for width. For example, a bandstop filter could offer 40 dB of extra attenuation in a bandpass–bandstop filter cascade over a narrow bandwidth in Butterworth mode, or the filter could be reconfigured to Chebychev mode to maximize the bandwidth over which it provides 10 dB of extra isolation, depending on the spectral environment. III. COMPARISON TO ELLIPTIC FILTERS The frequency response of a bandpass–bandstop filter cascade looks very similar to what could be achieved with an elliptic filter. However, there are some important differences in capability and implementation between these two filter functions that make both valuable in different system applications. For this analysis, we will compare a fourth-order elliptic filter with two transmission zeros at one frequency with a second-order bandpass filter cascaded with a second-order bandstop filter. This comparison will use the same number of total resonators and the same number of transmission zeros in both cases. The coupling diagram and frequency response of the fourthorder elliptic filter can be seen in Fig. 8. Two transmission zeros are set to a normalized frequency of 10. Compared to the filter cascade that will be shown below, this filter has a steeper roll-off adjacent to the passband, providing good selectivity. Elliptic fil-
3949
Fig. 9. Frequency response and coupling diagram for a second-order bandpass filter cascaded with a second-order bandstop filter at six separate bandstop tuning frequencies. Note that in order to tune the transmission null, only the resonators of the bandstop filter need to be tuned.
ters can also be tuned over wide frequency ranges [17], providing adaptable selectivity. When the passband of an elliptic filter is tuned, the transmission zeros usually tune the same amount. For maximum flexibility, tuning the transmission zeros independently of the passband is desirable. However, this is very difficult to achieve in elliptic filters with two transmission zeros on one side of the passband because of the inherent dependencies of elliptic filter coupling coefficients. As can be seen in Fig. 8, all coupling values and resonator frequencies need to be tunable to enable an elliptic filter with independently tuning (non-symmetric about the passband) transmission zeros and a preserved passband shape. The transmission zero locations in this elliptic topology are also very coupling coefficient. In some cases, deviasensitive to the tion in of 0.1% can split the transmission zeros to two separate frequencies, leaving very little additional attenuation at the desired transmission zero frequency. A filter with this level of reconfigurability and coupling coefficient control is extremely difficult to implement. The benefit of using a bandpass–bandstop filter cascade is the ease of implementing transmission nulls that can tune independently of the filter passband. The coupling diagram and frequency response for six separate tunings of the bandstop filter are shown superimposed in Fig. 9. Note that in order to tune the transmission null, only the center frequencies of the bandstop resonators need to be tuned, and the passband shape is preserved throughout the tuning range. This is a much more easily realizable circuit if independently tuning transmission nulls are desired. However, the bandpass–bandstop filter cascade in this example provides a more gradual roll-off adjacent to the passband
3950
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 10. Top and bottom view of measured devices connected by an SMA-to-SMA inter-filter adapter/transmission line. Biasing connectors and traces are integrated into the filter, and bias is applied using benchtop power supplies.
compared to the elliptic filter since it uses only a second-order bandpass filter. IV. MEASURED RESULTS Highly loaded evanescent-mode cavity [5], [18], [19] bandpass and bandstop filters were fabricated and cascaded [9] for the purpose of verifying the theory above. Note that the references listed in the previous sentence describe the design, fabrication, and operation of the filters used in this experiment in detail. A photograph of the measured filters can be seen in Fig. 10. The center frequencies of each resonator are tunable through the use of piezoelectric actuators. These resonators were used because they provide quality factors (Q) on the order of 500–1,000 while being tunable over an octave frequency range. The piezoelectric actuators were biased using integrated connectors and bias lines fabricated on a 1 mil thick liquid crystal polymer (LCP) substrate. Several filter cascade circuit frequency response plots beyond what will be shown below can be seen in [9]. Methods for determining the physical size of the structures for bandpass inter-resonator coupling, the bandpass external coupling, and bandstop external coupling can be found in [12], [20], and [21], respectively. The response of the filter cascade was measured using an Agilent Technologies N5230C PNA. Bias voltages were applied to the piezoelectric actuators using Keithley 2400 Sourcemeter power supplies. Piezoelectric actuator bias voltages ranged from 200 V to 200 V. Fig. 11 shows the measured frequency response for the situation where the inter-filter transmission line electrical length is 270 degrees at the bandstop filter frequency of operation. Note response at 3.36 GHz, which is the the unwanted peak in the bandstop filter frequency of operation in this plot. Compared to the bandpass filter alone, the cascade circuit attenuates the signal about 20 dB less in this configuration. The theoretical unwanted peak in Fig. 7 predicts a 27 dB reduction in attenuation at the bandstop filter frequency. The 7 dB difference can be attributed to the finite Q of the filters, loss in the inter-filter transmission line, and interaction effects from the physical geometry of the
Fig. 11. Measured results showing the unwanted peak in the response formed when the bandpass filter is connected to the bandstop filter with a transmission line that is 270 degrees long at the bandstop filter frequency of operation.
filters that are not captured in the theoretical responses plotted in Fig. 7. Additional measured results of the filter cascade can be seen in Fig. 12. It can be seen that the transmission null can be tuned about a static passband without affecting the passband shape unless a very small passband to transmission null spacing is employed. The transmission null is continuously tunable from 2.6 to 3.0 GHz when the bandpass filter is tuned to 2.8 GHz. This frequency range corresponds to where the inter-filter transmission line is an electrical length of 209 to 241 degrees long, showing that good performance can be achieved when the bandstop filter frequency of operation is well removed from where the inter-filter transmission line is 180 degrees, the ideal case. It can be seen that the shape of the transmission null is not symmetric like it is in the analytical response. Since open transmission lines (microstrip and coplanar waveguide) and connectors were utilized, there were trace levels of radiation and substrate coupling on the order of 60 to 90 dB that are not included in the analytical filter models. This coupling affects the precise shape of the filter response, such as symmetry around the transmission null. A closed transmission line, such as a stripline, is recommended to reduce these trace level effects. Nonetheless, the response demonstrates that deep isolation is achievable even in a practical implementation that has stray coupling. The passband can also be tuned around a static transmission null as shown in Figs. 13 and 14, which show measured versus simuand data, respectively. Simulations for the bandlated pass filter and bandstop filter were performed separately in Ansoft HFSS due to processing limitations. The results for each filter were then exported as S2P files to Agilent ADS and cascaded with a transmission line to simulate the entire measured circuit. It is important to understand that this simulation method does not completely capture stray coupling effects between the filters because they are modeled as isolated blocks in Agilent ADS. This, combined with fabrication tolerances, is the cause of the slight variations seen in the measured versus simulated data in Figs. 13 and 14. The insertion loss in the passband is 3.1 to 3.4 dB across the bandpass tuning range, and the passband has
NAGLICH et al.: BANDPASS–BANDSTOP FILTER CASCADE PERFORMANCE OVER WIDE FREQUENCY TUNING RANGES
Fig. 12. Measured results of a bandstop filter tuning around a static bandpass filter. Up to 100 dB of isolation is shown between the passband and transmission null.
Fig. 14. Measured versus simulated S pass–bandstop filter cascade.
3951
results for the fabricated band-
results for the fabricated band-
Fig. 15. Measured results showing tuning between a Butterworth and Chebychev bandstop response on the skirt of the bandpass filter. This ability promises to be useful in spectral environments with interferers of varying widths and shapes.
a 0.9% 3 dB bandwidth. Some of this loss is due to the connection between the filters, which includes two SMA connectors and an SMA-SMA adapter. Through measuring the bandpass and bandstop filter independently, it was deduced that this connection produced 0.4 dB of loss. In a system implementation of a bandpass–bandstop filter cascade, the connection between the filters would ideally have much lower loss. Since both the bandpass and bandstop filters are independently tunable, dynamic isolation from 80 to 100 dB is achieved between the passband and transmission null. Using the Butterworth-to-Chebychev reconfigurable filter first demonstrated in [16], it is possible to implement a tunable transmission null shape on the skirt of the passband. This is shown in Fig. 15, where the filters are slightly tuned between the two shown states of the transmission null for clarity. The 55 dB bandwidth in the Butterworth case is 16 MHz, and the 55 dB bandwidth in the Chebychev case is 30 MHz, an
increase of 87.5%. The ability to switch between Butterworth and Chebychev responses is dynamic. This capability would be useful in system that operates in multiple spectral environments with interferers of varying bandwidths. Instead of using the approach of the previous paragraph, it may be desirable in some systems to use a wider bandstop filter of higher order to provide deep isolation over a wider bandwidth than what is shown in this paper. The evanescent-mode cavities used in this experiment are highly loaded, and it is difficult to obtain large external coupling values with them. This limits the bandwidth that is achievable in a filter design. The concepts described in this paper are applicable to bandstop filters of wider bandwidth, but there is a tradeoff in available tuning range. The reason for this tradeoff can be best understood by looking at Fig. 4. As the bandstop filter bandwidth widens, the frequency where the inter-filter transmission line will resonate if terminated correctly has a larger chance of being in the null of the
Fig. 13. Measured versus simulated S pass–bandstop filter cascade.
3952
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
bandstop filter. When the inter-filter transmission line resonant frequency is in the null of the bandstop filter, the bandstop side of the transmission line will be terminated with impedance that approaches an open circuit for parallel resonators. If the other side of the transmission line is terminated by a parallel resonator bandpass filter that is not tuned to the frequency where the transmission line is a resonator, it will be presented with impedance that approaches a short circuit for parallel resonators. For a ninety degree inter-filter transmission line, a resonance would occur over a wider range of bandstop tuning frequencies as the bandstop filter bandwidth widens. However, for fractional bandwidths less than 10%, the available theoretical tuning range is still very wide.
V. CONCLUSION A tunable, substrate integrated, high Q bandpass–bandstop filter cascade was demonstrated that was capable of providing up to 100 dB of isolation between two dynamically selectable frequencies of interest. This capability would be useful in concurrent transmit–receive systems, shared aperture systems, and environments with strong co-site interference. Theory was developed through the use of general coupling matrix methods and ABCD parameter methods. The theory explains the behavior of the cascade circuit over wide frequency tuning ranges, and it was determined that good performance can be achieved over more than an octave. Measurements of evanescent-mode cavity filters were made to verify this theory, and good agreement was achieved. Bandpass–bandstop filter cascade circuits were compared to elliptic filters due to the similarities in their filter functions. It was determined that elliptic filters of a given order have steeper roll-off from their passband, but less flexibility in tuning transmission zeros. The flexibility in transmission null placement obtained from using a bandpass–bandstop filter cascade is expected to be extremely useful in the open spectral environment of the future.
[5] H. Joshi, H. H. Sigmarsson, S. Moon, D. Peroulis, and W. J. Chappell, “High-Q fully reconfigurable tunable bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3525–3533, Dec. 2009. [6] Y. Murakami, T. Ohgihara, and T. Okamoto, “A 0.5–4.0-GHz tunable bandpass filter using YIG film grown by LPE,” IEEE Trans. Microw. Theory Tech., vol. MTT–35, no. 12, pp. 1192–1198, Dec. 1987. [7] R. V. Snyder, S. Shin, and K. Keck, “Bandstop filter design using evanescent mode resonators,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, vol. 2, pp. 1073–1076. [8] G. L. Matthaei, “Magnetically tunable band-stop filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 2, pp. 203–212, Mar. 1965. [9] E. J. Naglich, J. Lee, D. Peroulis, and W. J. Chappell, “Tunable, substrate integrated, high Q filter cascade for high isolation,” in IEEE MTT-S Int. Microwave Symp. Dig., Anaheim, CA, May 2010, pp. 1468–1471. [10] H. C. Bell, “The coupling matrix in low-pass prototype filters,” IEEE Microw. Mag., vol. 8, no. 2, pp. 70–76, Apr. 2007. [11] S. Amari and U. Rosenberg, “New building blocks for modular design of elliptic and self-equalized filters,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 721–736, Feb. 2004. [12] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications, 1st ed. New York: Wiley-Interscience, 2001. [13] D. M. Pozar, Microwave Engineering, 2nd ed. Toronto, Canada: Wiley, 1998. [14] D. R. Jachowski and C. Rauscher, “Frequency-agile bandstop filter with tunable attenuation,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2009, pp. 649–652. [15] A. I. Abunjaileh and I. C. Hunter, “Tunable combline bandstop filter with constant bandwidth,” in IEEE MTT-S Int. Microwave Symp. Dig., Boston, MA, Jun. 2009, pp. 1349–1352. [16] J. Lee, E. J. Naglich, and W. J. Chappell, “Frequency response control in frequency-tunable bandstop filters,” IEEE Microw. Wireless Compon. Lett., accepted for publication. [17] W. Tang and J. S. Hong, “Tunable microstrip quasi-elliptic function bandpass filters,” in Proc. European Microwave Conf., Rome, Italy, Oct. 2009, pp. 767–770. [18] H. Joshi, H. H. Sigmarsson, D. Peroulis, and W. J. Chappell, “Highly loaded evanescent cavities for widely tunable high-Q filters,” in IEEE MTT-S Int. Microwave Symp. Dig., Honolulu, HI, Jun. 2007, pp. 2133–2136. [19] H. H. Sigmarsson, H. Joshi, S. Moon, D. Peroulis, and W. J. Chappell, “Substrate integration of widely tunable bandpass filters,” in Proc. Int. Symp. Microelectronics, International Microelectronics and Packaging Society (IMAPS), San Jose, CA, 2009, pp. 711–716. [20] R. S. Kwok and J.-F. Liang, “Characterization of high-Q resonators for microwave filter applications,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 1, pp. 111–114, Jan. 1999. [21] A. Khanna and Y. Garault, “Determination of loaded, unloaded, and external quality factors of a dielectric resonator coupled to a microstrip line,” IEEE Trans. Microw. Theory Tech., vol. MTT-31, no. 3, pp. 261–264, Mar. 1983.
REFERENCES [1] D. W. Bliss, P. A. Parker, and A. R. Margetts, “Simultaneous transmission and reception for improved wireless network performance,” in Proc. IEEE/SP 14th Workshop on Statistical Signal Processing, Madison, WI, Aug. 2007, pp. 478–482. [2] C. T. Lin and B. Cantrell, “Simultaneous transmission of multiple signals through a shared array aperture,” IEEE Trans. Aerosp. Electron. Syst., vol. 41, no. 4, pp. 1457–1463, Oct. 2005. [3] E. Yalcin, C. Girard, M. Cabellic, M. Helier, G. Alquie, and J.-L. Montmagnon, “Modelling interference phenomena between cosite radiocommunication equipments to evaluate systems performance degradation,” in Proc. 9th Int. Conf. Intelligent Transport Systems Telecommunications (ITST), Piscataway, NJ, Oct. 2009, pp. 249–254. [4] R. M. Young, J. D. Adam, C. R. Vale, T. T. Braggins, S. V. Krishnaswamy, C. E. Milton, D. W. Bever, L. G. Chorosinski, L.-S. Chen, D. E. Crockett, C. B. Freidhoff, S. H. Talisa, E. Capelle, R. Tranchini, J. R. Fende, J. M. Lorthioir, and A. R. Tories, “Low-loss bandpass RF filter using MEMS capacitance switches to achieve a one-octave tuning range and independently variable bandwidth,” in IEEE MTT-S Int. Microwave Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 1781–1784.
Eric J. Naglich (S’09) received the B.S.E.C.E. degree from Purdue University, West Lafayette, IN, in 2007. He is currently pursuing the Ph.D. degree in electrical and computer engineering at Purdue University under the direction of Prof. William J. Chappell. He worked at GE Healthcare from 2007 to 2009, where he was involved with electromagnetic subsystem design in medical imaging and surgical navigation machines during the Edison Engineering Development Program. His current research focuses on tunable filter synthesis and fabrication for widely tunable, adaptive RF front ends in cognitive radio and radar applications. Mr. Naglich is a member of IEEE MTT-S and an officer of Purdue University’s Beta chapter of the Eta Kappa Nu honor society. His paper received the second place award in the 2010 IEEE MTT-S International Microwave Symposium Student Paper Competition.
NAGLICH et al.: BANDPASS–BANDSTOP FILTER CASCADE PERFORMANCE OVER WIDE FREQUENCY TUNING RANGES
Juseop Lee (A’02–M’03) received the B.E. and M.E. degrees in radio science and engineering from Korea University, Seoul, Korea, in 1997 and 1999, respectively, and the Ph.D. degree in electrical engineering from the University of Michigan, Ann Arbor, in 2009. In 1999, he joined LG Information and Communications (now LG Electronics), Korea, where his activities included design and reliability analysis of RF components for code-division multiple-access (CDMA) cellular systems. In 2001, he joined Electronics and Telecommunications Research Institute (ETRI), Korea, where he was involved in designing passive microwave equip- and -band communications satellites. In 2005, he joined the ment for University of Michigan at Ann Arbor, where he was as a Research Assistant and Graduate Student Instructor with the Radiation Laboratory, and his research activities focused on millimeter-wave radars and synthesis techniques for multiple-passband microwave filters. In 2009, he joined Purdue University, West Lafayette, IN, where he is currently a Post Doctoral Research Associate, and his activities include the design of adaptable RF systems. He is listed in Who’s Who in America. His research interests include RF and microwave components, satellite transponders, and EM theories. Dr. Lee was a recipient of the Highest Honor Award presented by Korea University, the Undergraduate Fellowship presented by Korea University, the Graduate Fellowship presented by LG Information and Communications, and the Graduate Fellowship presented by Korea Science and Engineering Foundation. He was a recipient of the Rackham Predoctoral Fellowship presented by the Rackham Graduate School, University of Michigan at Ann Arbor. He was also the recipient of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Graduate Fellowship. His coauthored a paper that received the second place award in the 2010 IEEE MTT-S International Microwave Symposium Student Paper Competition.
Ku
Ka
Dimitrios Peroulis (S’99–M’04) received the Ph.D. degree in electrical engineering from the University of Michigan at Ann Arbor in 2003. He has been with Purdue University, West Lafayette, IN, since August 2003, where he is currently leading a group of graduate students on a variety of research projects in the areas of RF MEMS, sensing and power harvesting applications as well as RFID sensors for the health monitoring of sensitive equipment. He has been a PI or a co-PI in numerous projects funded by government agencies and industry in these areas. He is currently a key contributor in two DARPA
3953
>
1000) RF tunable projects at Purdue focusing on 1) very high quality (Q filters in mobile form factors (DARPA Analog Spectral Processing Program, Phases I, II, and III) and 2) developing comprehensive characterization methods and models for understanding the viscoelasticity/creep phenomena in high-power RF MEMS devices (DARPA M/NEMS S&T Fundamentals Program, Phases I and II). Furthermore, he is leading the experimental program on the Center for the Prediction of Reliability, Integrity and Survivability of Microsystems (PRISM) funded by the National Nuclear Security Administration. In addition, he is heading the development of the MEMS technology in a U.S. Navy project (Marines) funded under the Technology Insertion Program for Savings (TIPS) program focused on harsh-environment wireless micro-sensors for the health monitoring of aircraft engines. Dr. Peroulis has over 110 refereed journal and conference publications in the areas of microwave integrated circuits and antennas. He received the National Science Foundation CAREER award in 2008. His students have received numerous student paper awards and other student research-based scholarships. He has also received eight teaching awards including the 2010 HKN C. Holmes MacDonald Outstanding Teaching Award and the 2010 Charles B. Murphy award, which is Purdue University’s highest undergraduate teaching honor.
William J. Chappell (S’98–M’02) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from the University of Michigan at Ann Arbor in 1998, 2000, and 2002, respectively. He is currently an Associate Professor with the School of Electrical and Computer Engineering, Purdue University, West Lafayette, IN, where he is also a Member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. His research focus is on advanced applications of RF and microwave components. He has been involved with numerous Defense Advanced Research Projects Agency (DARPA) projects involved in advanced packaging and material processing for microwave applications. His research sponsors include HSARPA, ONR, NSF, the state of Indiana, CERDEC, and ARO, as well as industry sponsors. His research group uses electromagnetic analysis, unique processing of materials, and advanced design to create novel microwave components. His specific research interests are the application of very high-quality and tunable components utilizing multilayer packages. In addition, he is involved with high-power RF systems, packages, and applications. Dr. Chappell was the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom) secretary for 2009 and was elected to the IEEE MTT-S AdCom for 2010–2012.
3954
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Analysis of Metal–Insulator–Metal Structure and Its Application to Sensor Masaya Tamura, Member, IEEE, and Hiroshi Kagata
Abstract—In this paper, analysis and design method of a metal–insulator–metal (MIM) structure is introduced. When an electromagnetic wave enters an MIM structure, a surface plasmon is excited on both metals. In this case, an electromagnetic-field standing wave by the surface plasmon used as a wave source is generated in the insulator. This electromagnetic-field standing wave has various modes depending on the thickness of the insulator. In this paper, we establish by calculation, 3-D simulation, and measurement that a plasmon resonant wave can be controlled by changing the thickness of the insulator. We propose a sensor with an MIM structure that exploits this phenomenon. If the insulator of the MIM structure comprises air, the target solution can be injected into the insulator by capillary action, and the MIM structure is thus used as a sensor. This is because the wavelength of the electromagnetic-field standing wave in the insulator is made shorter in proportion to the refractive index of the target solution. We have confirmed this fact by calculation, 3-D simulations, and measurement. Our measurements show good agreement with the calculations and 3-D simulations, indicating that our MIM sensor is able to detect differences in refractive index of the order of 0.7%. Index Terms—Electromagnetic wave, negative permittivity, plasmon-polariton, sensor, surface plasmon.
I. INTRODUCTION LASMONS that are excited on the surface of thin metals have been investigated for many years. A plasmon is a compressional wave that excites electromagnetic fields [1], [2]. A plasmon wave coupled with an electromagnetic wave provided by an external source is called a surface plasmon (polariton). A surface plasmon is sensitive to variations in the permittivity of a thin metal’s surface, and many sensors have been proposed that exploit this principle [3]–[10]. To excite a surface plasmon by an electromagnetic wave, its wavenumber must correspond to that of the surface plasmon. Structures exist that realize this, including structures that use a prism and metal (Kretshmann or Otto type) [3]–[8]. Light waves enter at the total reflection angle to the metal via a prism. An evanescent wave is generated on the surface of the metal where the light wave enters, causing surface plasmon resonance. In this case, downsizing the measurement equipment and the optics
P
Manuscript received June 30, 2010; revised September 09, 2010; accepted September 20, 2010. Date of publication October 28, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the Corporate Components Development Division, Panasonic Electronic Devices Corporation Ltd., Kadoma City, Osaka, 571-8506, Japan (e-mail:[email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2081998
Fig. 1. Basic MIM structure.
system is difficult, since a prism is needed. Moreover, when the incident angle of the electromagnetic wave (light wave) is low, surface plasmon resonance does not occur. On the other hand, structures using optical fibers have been reported [9], [10]. The metal is arranged inside the optical fiber, and, by forming a grating structure on the surface of the metal, plasmon resonance corresponding to the grating structure is excited. When the incident angle of the light wave is 0 , this structure can excite surface plasmon resonance. However, if the grating structure is designed to excite the surface plasmon resonance in the range of visible light, it is difficult to fabricate it, since a periodic structure corresponding to the wavelength of visible light is needed. This makes the downsizing or fabrication of a conventional sensor using surface plasmon resonance very difficult. As a means of solving these problems, the metal–insulator–metal (MIM) structure shown in Fig. 1 can be applied [11], [12]. This structure can excite surface plasmon resonance without a prism or grating structure. In this paper, we propose a sensor using an MIM structure. First, in Section II, the principle and design method of the MIM structure is elucidated by calculation, 3-D simulation, and measurements based on [12]. In Section III, a sensor using an MIM structure is proposed. The solution to be tested is injected into the insulator. In [13], an MIM structure is introduced, but it is different from our proposed MIM structure design [12]. The sensor using an MIM structure [13] has to give the light wave into a narrow gap of 50 nm, but the light wave can be given to our structure from the top glass layer. Therefore, our structure can be measured easily. Finally, we compare the reflection
0018-9480/$26.00 © 2010 IEEE
TAMURA AND KAGATA: ANALYSIS OF MIM STRUCTURE AND ITS APPLICATION TO SENSOR
3955
wavenumber of this surface plasmon is equal to that of the generated electromagnetic field, and the plasmon on Boundary , 2. Because Metal 2 is much thicker than Metal 1 the evanescent wave generated inside Metal 2 does not transmit to Boundary 4, and a surface plasmon is therefore not excited at Boundary 4. An electromagnetic wave is generated by the surface plasmon excited in Boundary 3. Because this electromagnetic wave has the same wavelength as the electromagnetic wave excited by the surface plasmon in Boundary 2, an electromagnetic standing wave occurs in the insulator. When the conditions are satisfied such that an electromagnetic field standing wave is caused in the insulator, incident light is absorbed. The absorbed wavelength is determined by the wavelength of the standing wave in the insulator. It can thus be seen that the MIM structure is a resonator. B. Theoretical Calculation The wavelength of the surface plasmon resonance in the MIM structure that can be detected is calculated using multilayer filter theory [15]. When absorption by the surface plasmon resonance is realized, the metal can be treated as a dielectric substance with negative permittivity. For a MIM structure, the transmission matrix of Metal 1, In, , and defined by sulator, and Metal 2 are (1)
Fig. 2. When a light wave enters, the phenomena at each boundary occur at: (a) Boundary 1, (b) Boundary 2, and (c) Boundary 3.
where properties in relation to the wavelength of the prototype sensor by calculation and 3-D simulation. II. DESIGN OF THE MIM STRUCTURE A. Principle of the MIM Structure Here, the principle of operation of the MIM structure shown in Fig. 1 is described. The MIM structure shown in Fig. 1 consists of Metal 1 of thickness , Insulator of thickness , and Metal 2 of thickness . When light waves enter this structure from Air 1, a specific light wavelength is absorbed by the surface plasmon resonance. Most of the remaining light wavelengths are reflected at the surfaces of Metal 1 or Metal 2. A portion of the remaining light waves is absorbed and attenuated by Metal 2. The excitation of surface plasmon resonance in this structure is explained below using Fig. 2. As shown in Fig. 2(a), when light waves enter Metal 1 (Boundary 1), an evanescent wave occurs inside Metal 1. A surface plasmon is excited on Boundary 2, shown in Fig. 2(b), by this evanescent wave. Because a surface plasmon is an effect of the mass behavior of electrons, an electromagnetic field is generated within the insulator. This electromagnetic field’s wavenumber corresponds to that of the surface plasmon excited in Boundary 2. The evanescent wave on the surface of Metal 2 (Boundary 3) is excited by this field. As a result, as shown in Fig. 2(c), a surface plasmon on Boundary 3 is also excited, and the
is a phase variation and is described by (2)
where indicates the order of the medium, is Air 1, describes the complex refractive index of the th medium, is the refractive index, is the extinction coefficient, and shows the thickness of the th layer. The transmission matrix of the MIM structure is the product of the transmission matrix of each thin film (3) where , , , and indicate each element of the of the MIM structure. transmission matrix Therefore, the reflection property is represented by
(4) is a complex conjugate, and indicates the refractive where index of the medium in air. The wavelength of the surface plasmon resonance in the MIM structure is calculated to determine the nulls or minimum points. Our proposed MIM structure has glass layers on which each metal is formed. The glass permittivity is 2.25 and its loss is ignored. The complex refractive index of Au is to
3956
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
On the incident side, first, Au/Ti is formed on the glass by electron beam (EB) deposition, as shown in Fig. 4(a). Titanium is utilized as the adhesion layer, and its thickness is 10 nm. The thickness of Au corresponds to the insulator thickness. This Au/Ti is made using a mask and plays the roles of walls and pillars to form the air layer. The pillar is a 200- m-diameter cylindrical rod, with the center-to-center distance of the cylinder rods set at 400- m intervals. Next, the mask is removed and an Au layer 45 nm thick is formed by EB deposition. On the reflecting side, Au/Ti (300 nm/10 nm) is formed on the glass by EB deposition [Fig. 4(b)]. Finally, as shown in Fig. 4(c), the incident and reflection sides are bonded by Au–Au interconnection. mm . The dimensions of the prototype are The area in which the surface plasmon resonance is observed is 18 mm 10 mm. This structure is shown in Fig. 5. Fig. 3. Simulation model.
TABLE I THICKNESS OF EACH LAYER IN SIMULATION
[15]. The calculated range of the wavelength is 400–1600 nm. C. 3-D Electromagnetic Simulation The 3-D simulator is employed by MicroWave Studio (MW Studio) from AET Inc. The analytical method is the finite-element method (FEM). The simulated model is shown in Fig. 3, with the dimensions of 100 nm 100 nm, and the infinite periodic boundary condition is given to four faces in this model. To shorten the simulation time, the air layers (Air 1 and 2 in Fig. 1) of the incident and the reflecting sides are set at 100 nm. The simulation ports are Floquet ports and are located in Air 1 and 2. The glasses are set in the simulation model as the substrate upper surface of Metal 1 and the lower surface of Metal 2 in consideration of fabricating the prototype. The glass permittivity is 2.25 and its loss is ignored. Each glass thickness is set to 100 nm to shorten the simulation time. The parameters of the simulation model are shown in Table I. The simulated range of wavelengths is 400–1600 nm. D. Fabrication Process The fabrication process is shown in Fig. 4. Because the insulator is air for the MIM structure, Metal 1 is used for the incident side and Metal 2 is used for the reflection side. Both metals are Au. The incident and reflection sides are bonded by Au–Au interconnection.
E. Comparison of Calculated, Simulated, and Measured Results A validation was carried out to confirm that the plasmon resonant wavelength is determined by the wavelength of the electromagnetic-field standing wave in the insulator. To change the of the insulator, we changed the height of the pilthickness lars and fabricated the prototypes. The change in the resonance wavelength with the thickness of the insulator is shown in Fig. 6. The insulator is air. Our prototype was measured using an Otsuka Denshi FE-3000 reflective film thickness monitor. An aluminum membrane was used for calibration. The incident light wave angle was 0 , and the range of wavelengths measured was 400–800 nm. The light wave is incident on the aluminum put on the stage, and the reference is set up by measuring the reflecting light that is dispersed by the aluminum. In a similar way, the prototype is measured, and reflectance is calculated. The measurement results show good agreement with the calculated and 3-D simulated results. The reason for the discrepancy between the calculated and 3-D simulated results at resonant wavelengths longer 1200 nm appears to be that the air layers (Air 1 and Air 2) were included only in the simulation. Furthermore, to shorten the simulation time, the number of the 235 nm, only the first mode of mesh is controlled. For the standing wave is generated in the insulator and one resonant 300 nm, the first and wavelength was observed [12]. For second modes of standing waves were generated in the insulator, 540 nm, and two resonant wavelengths were observed. For the first, second, and third modes are generated [12]. In the measured results, the second and third modes are observed. For 700 nm as well, the first, second, and third modes are generated, and the second and third modes are observed. For 850 nm, the first to fourth modes are excited [12]. In the measured results, the third and fourth modes are observed. From these validations, it is confirmed that the mode of the standing wave can be changed by controlling the thickness of the insulator, and it is found that it also affects the plasmon resonant wavelength. III. APPLICATION TO SENSOR Here, we explain the application of the MIM structure for use as a sensor.
TAMURA AND KAGATA: ANALYSIS OF MIM STRUCTURE AND ITS APPLICATION TO SENSOR
3957
Fig. 4. Process of MIM. (a) Incident side. (b) Reflecting side. (c) Prototype.
Fig. 5. Photograph of the prototype. Fig. 7. Calculated and simulated results of optimum thickness on the incident side.
Fig. 6. Relationship between resonant wavelength and insulator thickness.
A. Structure Design The surface-plasmon resonance wavelength excited by the MIM structure is changed by controlling the standing wave in the insulator. In fact, if the refractive index in the insulator is changed, the wavelength of the standing wave in the insulator is also changed. The plasmon resonant wavelength can also be changed accordingly. The MIM structure works as a sensor using this phenomenon. First, the optimum thickness of Au on the incident side is calculated: this is the thickness of the metal in which the reflectance has the smallest value when the surface plasmon resonance shows approximately 610 nm. Highly accurate sensing
becomes possible at the optimum thickness. The thickness of Au on the incident side is very important, since the Au on the incident side is needed to excite the surface plasmon resonance by the evanescent wave. Au on the reflecting side is needed so as not to excite the surface plasmon resonance in Boundary 4. In our experiments, the thickness of Au on the reflecting side was 300 nm. The thickness of the insulator was 850 nm. As for this thickness, it is easy to fabricate the structure and to judge the change of the resonance wavelength. To use water as a reference, the air as the insulator is replaced to water. The optimum thickness of Au for surface plasmon resonance around 600 nm (third mode in Fig. 6) was calculated. Fig. 7 shows the reflection property of the resonant wavelength in relation to the thickness of Au on the incident side, derived by calculation and 3-D simulation. The calculated and 3-D simulated results showed almost the same pattern. Because the calculations do not take account the glass, Air 1 and Air 2, for reflectance, there is a difference between the calculated and 3-D simulated results. However, it was found in both results that the optimum thickness of Au on the 850 nm, the opincident side is 40 nm. Therefore, when timum thickness of Au for a surface plasmon resonance around 600 nm is set at 40 nm. The structural parameters of MIM sensor are extracted and shown in Table II.
3958
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE II THICKNESS OF EACH LAYER IN PROTOTYPE OF SENSOR
Fig. 8. Illustration of how the solution is injected into the Insulator (air).
Fig. 10. Relationship between resonant wavelength and reflectance of sucrose solution of 20 wt%. (a) Wide range. (b) Narrow range.
Fig. 9. Relationship between resonant wavelength and reflectance of pure water. (a) Wide range. (b) Narrow range.
B. Characteristics of the Sensor To use an MIM structure as a sensor, the target solution needs to be injected between the metal plates. Capillary action is one method. As shown in Fig. 8, the MIM structure is dipped in the target solution. As a result, in the prototype MIM structure shown in Fig. 5, when capillary action was used, it was confirmed that purified water filled the air space with the solution in approximately 5 min.
First, to confirm that the surface plasmon resonance varies according to the refractive index of the solution, three kinds of solution were injected into the air layer of MIM structures. Pure water was used as the reference solution. The others were suat 20 wt% and ethylene glycol C H O . crose C H O The surface plasmon resonances were measured after they had been injected into MIM structure. Their refractive indexes are 1.333, 1.3622, and 1.430, respectively. Calculation, 3-D simulations, and measurements were carried out on each and are shown in Figs. 9–11. In Figs. 9–11, for wavelengths longer than 600 nm, the measured results correspond to the calculated and 3-D simulated results. The absorption observed from 600 to 660 nm is the fourth mode of the surface plasmon resonance. For wavelengths shorter 600 nm, a mesh is created based on 600 nm in the simulation, which appears to cause the discrepancy seen between the measured and simulated results. In the calculation, glass loss is not included to simplify the equation, and this may have caused the discrepancy between the measured and calculated results. When the pure water shown in Fig. 9 is used as the reference liquid, it is confirmed that the wavelength at the fourth mode of the surface plasmon resonance shown in Fig. 10 becomes longer in 20 wt% sucrose solution. Its magnitude of resonant wavelength change is 14.3 nm. In calculations and 3-D simulations, its variation is 15 and 14.5 nm, respectively, giving a very close correspondence. As well as ethylene glycol shown in Fig. 11, it is confirmed that the wavelength of the fourth mode of the surface plasmon resonance becomes longer. The magnitude of the resonant wavelength change is 45.4 nm. The calculations and
TAMURA AND KAGATA: ANALYSIS OF MIM STRUCTURE AND ITS APPLICATION TO SENSOR
3959
structure is able to detect differences in refractive index of the order of 0.7%. IV. CONCLUSION This paper describes the analysis and design method of a MIM structure. When an electromagnetic wave enters a MIM structure, a surface plasmon on both metals is excited and an electromagnetic-field standing wave in the insulator is generated. It is clear that this electromagnetic-field standing wave has various modes depending on the thickness of the insulator, and a plasmon resonant wave can thus be controlled by changing the thickness of the insulator. We propose a sensor with a MIM structure that exploits this phenomenon. When the insulator of a MIM structure is air, the target solution is drawn into the insulator by capillary action, and the MIM structure will work as a sensor. This is because the wavelength of the electromagnetic-field standing wave in the insulator is shortened in proportion to the refractive index of the target solution. Our measurements show good agreement between both calculations and 3-D simulations. The MIM sensor appears to be able to detect differences in refractive index of the order of 0.7%. REFERENCES
Fig. 11. Relationship between resonant wavelength and reflectance of Ethylene glycol. (a) Wide range. (b) Narrow range.
Fig. 12. Relationship between concentration of sucrose solution and differential resonant wavelength.
3-D simulations give variations of 41.5 and 44.1 nm, respectively. These also closely correspond. Therefore, it is clear that the resonant wavelength changes in relation to refractive index. Finally, Fig. 12 shows the variation of the surface plasmon resonant wavelength when changing the concentration of sucrose solution. As a result, the measured result influences the fabrication error of the thickness of the air layer. It can be said that it is in good agreement with both the calculated and simulated results. The relationship between the concentration and resonant wavelength was found to be almost linear. Because the refractive index of pure water is 1.333 and that of sucrose solution at 5 wt% is 1.340, it was confirmed that the sensor using the MIM
[1] E. N. Economou, “Surface plasmons in thin films,” Phys. Rev., vol. 182, no. 2, pp. 539–554, 1969. [2] S. A. Maier, “Plasmonics: The promise of highly integrated optical devices,” IEEE J. Sel. Top. Quantum Electron., vol. 12, no. 6, pt. 2, pp. 1671–1677, Nov.–Dec. 2006. [3] R. Nuster, G. Pattauf, and P. Burqholzer, “Sensitivity of surface plasmon resonance sensors for the measurement of acoustic transients in liquids,” in Proc. IEEE Ultrason. Symp., 2006, pp. 768–771. [4] T. Kan, K. Matsumoto, and I. Shimoyama, “Nano-pillar structure for sensitivity enhancement of SPR sensor,” in Proc. Solid-State Sensors, Actuators and Microsyst. Conf., 2009, pp. 1481–1484. [5] Y. Xu, X. Ma, and H. Zhao, “A novel design of distributed surface plasmon sensors based on nanoparticles composite layers,” in Proc. Int. Symp. Biophoton., Nanophoton. Metamaterials, Oct. 2006, pp. 310–313. [6] Z.-X. Geng, X. Ji, X. Lou, Q. Li, W. Wang, and Z.-H. Li, “A surface plasmon resonance sensor with a V-shaped silicon prism array based on polymer,” in Proc. 9th Int. Conf. Solid-State Integr.-Circuit Technol., Oct. 2008, pp. 2561–2564. [7] Z. Geng, Q. Li, W. Wang, and Z. Li, “Theoretical analysis and fabrication of PDMS-based surface plasmon resonance sensor chips,” in Proc. 4th IEEE Int. Conf. Nano/Micro Engineered Molec. Syst., Jan. 2009, pp. 51–54. [8] T. Nishikawa, H. Yamashita, M. Nakamura, R. Hasui, T. Matsushita, and S. Aoyama, “Development of new localized surface plasmon resonance sensor with nanoimprinting technique,” in Proc. 1st IEEE Int. Conf. Nano/Micro Engineered Molec. Syst., Jan. 2006, pp. 262–265. [9] Y.-C. Lu, W.-P. Huang, and S.-S. Jian, “Influence of mode loss on the feasibility of grating-assisted optical fiber surface plasmon resonance refractive index sensors,” J. Lightw. Technol., vol. 27, no. 21, pp. 4804–4808, Nov. 2009. [10] S. M. Tripathi, A. Kumar, E. Marin, and J.-P. Meunier, “Side-polished optical fiber grating-based refractive index sensors utilizing the pure surface plasmon polariton,” J. Lightw. Technol., vol. 26, no. 13, pp. 1980–1985, Jul. 2008. [11] F. Villa, T. Lopez-Rios, and L. E. Regalado, “Electromagnetic modes in metel-insulator-metal structures,” The American Physical Society, Physical Review B, vol. 63, p. 165103, 2001. [12] M. Tamura and H. Kagata, “Analysis of plasmon excited by metal–insulator–metal structure with insulator thickness of hundreds of nanometers,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 356–359. [13] X.-P. Jin, X.-G. Huang, J. Tao, X.-S. Lin, and Q. Zhang, “A novel nanometeric plasmon refractive index sensor,” Nanotechnology, IEEE Trans., vol. 9, no. 2, pp. 134–137, Mar. 2010.
3960
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
[14] H. A. Macleod, Thin-Film Optical Filters, 3rd ed. Bristol, U.K.: Inst. of Physics, 2001. [15] E. D. Palik and G. Ghosh, Handbook of Optical Constants of Solids. New York: Academic, 1985. Masaya Tamura (M’07) received the B.E. and M.E. degrees in electrical and electronic engineering from Okayama University, Okayama, Japan, in 2001 and 2003, respectively. In 2003, he joined Panasonic Electronic Devices Corporation Ltd., Osaka, Japan, where he has been engaged in research and development on microwave components including lightwaves, especially microwave filters, metamaterials, and plasmonics. Mr. Tamura is a member of the Institute of Electrical, Information and Communication Engineers (IEICE), Japan. He was the recipient of the Best Research Award at the 4th IEEE Hiroshima Student Symposium presented by IEEE Hiroshima Section.
Hiroshi Kagata received the B.E., M.E., and Ph.D. degrees from Kyoto University, Kyoto, Japan, in 1984, 1986, and 2005, respectively. He joined Matsushita Electric Industrial Corporation in 1986. He joined Panasonic Electronic Devices Corporation, Osaka, Japan, in 2003, where he is currently a General Manager of the Material Group, Corporate Components Development Division. His research interests include microwave dielectric ceramics and multilayer ceramic devices. Dr. Kagata is a member of the Ceramic Society of Japan.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3961
Piezoresistive Position Sensing for the Detection of Hysteresis and Dielectric Charging in CMOS-MEMS Variable Capacitors Nino Zahirovic, Student Member, IEEE, Raafat R. Mansour, Fellow, IEEE, and Ming Yu, Fellow, IEEE
Abstract—µA position sensing scheme for the detection of hysteresis and dielectric charging is presented. The sensing mechanism is based upon piezoresistance and is applied to an RF MEMS variable capacitor fabricated using a standard 0.35 µm CMOS process with MEMS postprocessing. The position sensor, based upon the piezoresistive property of the CMOS polysilicon layer, proves capable of detecting the effects of hysteresis and dielectric charging in the fabricated device. Potential applications of the sensing scheme include the mitigation of the effects of hysteresis and dielectric charging on MEMS variable capacitors through closed-loop control. Index Terms—Microelectromechanical systems (MEMS), MEMS components and techniques, MEMS for multifunctional wireless communications systems, MEMS reliability, microsensors, tunable microwave circuits.
I. INTRODUCTION
R
F microelectromechanical systems (MEMS) have been demonstrated as effective tuning elements for tunable filters, impedance matching networks, and phase shifters [1], [2]. RF MEMS reconfigurable elements have higher quality factor, linearity and power handling than competing technologies [1]. Switched capacitors, contact switches, capacitive switches and variable capacitors are several of the demonstrated types of RF MEMS reconfigurable elements. Interest in MEMS variable capacitors in particular has waned in favor of MEMS switched capacitor banks due to reliability and controllability challenges of continuously variable MEMS capacitors. The preferred actuation mechanism for RF MEMS is electrostatic actuation due to its low power consumption. Electrostatic actuation naturally exhibits a bistable response and is a better suited actuation principle for switched capacitors as opposed to continuously tunable variable capacitors. Switched caManuscript received June 30, 2010; revised September 08, 2010; accepted September 23, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported in part by the Natural sciences and Engineering Research Council of Canada (NSERC), COM DEV International, Ltd., and the Canadian Microelectronics Corporation (CMC). This paper is an expanded paper from the IEEE MTT-S Int. Microwave Symposium held on May 23–28, 2010 in Anaheim, CA. N. Zahirovic and R. R. Mansour are with the Department of Electrical and Computer Engineering, University of Waterloo, Waterloo, ON, Canada N2L 3G1 (e-mail: [email protected]). M. Yu is with COM DEV International, Ltd., Cambridge, ON Canada N1R 7H6 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086069
pacitors require predictable performance in only two states resulting in simple control and requiring mechanical design for only two positions. The simple control and mechanical design make switched capacitors easier to realize for reliability and control. Nonetheless, significant research has been done on continuously tunable electrostatically actuated MEMS variable capacitors despite practical challenges. Research in continuous tuning of RF MEMS devices has been driven by applications that require fine resolution, such as tunable filters, where capacitance control down to 1 fF may be required [3]. Most reported tunable MEMS varactors have tuning ranges that are limited by the electrostatic pull-in phenomenon. It has been shown that in a simplified parallel plate variable capacitor model the force balance equation has an instability at a plate separation that is 2/3 of the initial gap [4]. The instability results in a collapse of the suspended plate. The actuation voltage and is that results in collapse is known as the pull-in voltage given in (1) where is the initial gap, is the area of the plates, is the spring constant, is the thickness of the dielectric and is the relative dielectric constant of the dielectric. Similarly, a force balance equation can be written to solve for the voltage necessary for the plate to release as given in (2). The pull-out voltage is generally lower than the pull-in voltage resulting in a hysteresis effect in the capacitance versus voltage curve. The hysteresis effect makes the control of a variable capacitor beyond pull-in difficult.
(1)
(2) Several methods have been proposed to extend the tuning range of tunable MEMS capacitors through mechanical design and control techniques [5], [6]. One mechanical design method for the extension of the analog tuning range is the application of a piecewise linear spring [6], [7]. In some cases, the piecewise linear spring constant may result in multiple pull-in events and lead to multistep behavior [8], [9]. Each pull-in event is subject to hysteresis further complicating controller design. The reliability and controllability of electrostatically actuated MEMS devices is also affected by dielectric charging that leads to an evolution of the capacitance versus voltage characteristic. Dielectric charging has been shown to lead to device failure in
0018-9480/$26.00 © 2010 IEEE
3962
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
long term reliability testing of some devices [10]. The variation of the capacitance versus voltage curve with time compounds the difficulties of controlling MEMS variable capacitors. MEMS capacitors have the distinction that their electrical behavior is determined by the mechanical position of the movable plates. The effects of both hysteresis and charging are manifested as a deflection of the mechanical structure. Therefore, it is proposed that if the position of the mechanical structure can be precisely controlled the electrical characteristics will follow. The aim of this work is to demonstrate an integrated sensing mechanism that is capable of detecting the effects of both hysteresis and dielectric charging in RF MEMS variable capacitors. The proposed scheme relies on detecting the mechanical position of the capacitor plates thereby also detecting the effects of dielectric charging and hysteresis. The piezoresistance-based position sensing technique for the control of CMOS-MEMS variable capacitors was first introduced in [11]. The work presented in [11] is expanded here to demonstrate the capability of the proposed technique to track the shift of the CV curve caused by dielectric charging. The effects of dielectric charging have been shown to be reduced using bipolar actuation [10]. In this work, we verify that the dielectric charging effect is reversible and that the proposed position control technique can be used to monitor the state of the dielectric charge of the variable capacitor. Furthermore, it has been demonstrated in the literature [12], [13] that closed-loop position control can extend the stable range of electrostatic actuators beyond the pull-in point. Position control, therefore, has the potential to solve many of the fundamental problems in analog tunable RF MEMS variable capacitors. Integrated position sensing is a necessary first step to fully integrated position control. II. FABRICATION PROCESS The fabrication process used for this design employs a CMOS postprocessing technique to release MEMS structures from the CMOS metal and polysilicon routing layers. The base CMOS process used here is a two-polysilicon four-metal layer 0.35 m process, as shown in Fig. 1(a). The 0.35 µm process was chosen because of its low cost and its support of four planarized metal layers. At least four metal layers are required for the release of parallel plate MEMS structures using the University of Waterloo (UW) CMOS postprocessing technique. The four metal layers are composed of aluminum with silicon dioxide intermetal dielectrics, as shown in Fig. 1(a). Interconnect between metal layers is accomplished using tungsten via plugs. In the UW CMOS-MEMS process, the aluminum metal layers act as patterning, structural, and release layers. The metal layers are also used to route electrical signals through the MEMS structure for actuation and sensing. The residual stress of the aluminum layer is tensile while the residual stress in the oxide layer is compressive. The metal and the oxide have thermal coefficients of expansion (TCE) that differ by an order of magnitude. The large difference in TCE makes lateral thermal actuators popular in other CMOS-MEMS processes such as the ASIMPS process [14]. The differences in TCE are parasitic in this design and are beyond the scope of this work.
Fig. 1. CMOS-MEMS process flow used in the fabrication of the CMOS-MEMS variable capacitor with integrated position sensing. (a) Initial CMOS die. (b) Oxide RIE. (c) Isotropic Si etch with RIE. (d) TMAH anisotropic Si etch. (e) PAN and H O release. (f) Final oxide etch.
In addition to the four metal layers, the 0.35- m process also offers two polysilicon layers. The first polysilicon layer is primarily intended for logic level (3.3 V) transistors, while the second polysilicon layer is used to define 5 V transistors. The two polysilicon layers can also be used to fabricate resistors and high capacitance density polysilicon–insulator–polysilicon capacitors. Only the second polysilicon layer (Poly2) is used in this design. The higher resistivity of the second polysilicon layer makes it better suited for strain sensing applications. A high resistance strain sensing resistor reduces the current necessary for readout of the resistance bridge. A lower sense current reduces the power dissipation within the mechanical structure that can cause parasitic deflection. The postprocessing procedure used to fabricate the variable capacitors with integrated position sensing is similar to the one used in [8] and is summarized in Fig. 1. The fabrication of this device uses the top metal layer (M4) as the masking layer, the second metal layer (M2) as the sacrificial layer, and the first (M1) and third (M3) metal layers as the bottom and top electrodes of the MEMS variable capacitor, respectively. The fabrication process begins with loose die provided by a CMOS multiproject wafer or shuttle run, as shown in Fig. 1(a). The first postprocessing step is a reactive ion etching (RIE) step and to expose the silicon substrate, as shown in using Fig. 1(b). The M4 metal is used as a mask for the first oxide etching step. M4 extends beyond all structural metal by at least 1–2 m such that structural layers do not have any metal exposed by the first oxide etching step. The first oxide etching step takes two hours and results in RIE grass formation that is removed using a one hour soak in EKC 265 at room temperature. The die cross section after the removal of the RIE grass is shown in Fig. 1(b). The next step is to etch the silicon substrate in order to increase the exposed surface area of the silto isotropically etch icon. The silicon RIE is done using the substrate, as shown in Fig. 1(c). The increased surface area
ZAHIROVIC et al.: PIEZORESISTIVE POSITION SENSING FOR THE DETECTION OF HYSTERESIS
of the silicon substrate reduces the time required for the tetramethylammonium hydroxide (TMAH) wet etching step to achieve complete release. The TMAH wet etching step takes 90 min at 80 , and results in a structure resembling the one shown in Fig. 1(d). TMAH also attacks aluminum. The M4 aluminum masking layer and the M2 sacrificial aluminum layer are partially removed at this point. The remainder of the mask and sacrificial metal layers are removed using wet etching in phosphoric–ascetic–nitric (PAN) acid solution for 40 min, followed by 40 min in hydrogen perand another 40 min in PAN etch solution. The oxide yielding the structure metal etching steps were done at 60 shown in Fig. 1(e). The wet etching steps, including the TMAH step, are done in sequence without allowing the die to dry. After the final wet etching step, the die are dried using a critical point dryer to prevent stiction and complete the release process. M4 is etched away during the metal etching step and is not available for electrical contacts, therefore, M3 is used as the top metal for wire bonds and electrical probing. The M3 pads are exposed using a final 30-min oxide etching step that exposes M3 and permits the formation of electrical contacts. III. THEORY The transduction principle for position sensing employed in this work is based upon piezoresistance. The piezoresistive effect causes a change in resistance that is proportional to the change in length of a material. The piezoresistive property of a material is characterized by its piezoresistive gauge factor , as shown in (3). The piezoresistive gauge factor relates to the proporthe proportional change in resistance . The proportional change in tional change in length length is known as the strain of a material and is represented by
3963
Fig. 2. Current-source, half-bridge and full-bridge configurations for voltage read-out of a piezoresistive strain gauge. (a) Current-source. (b) Half-bridge. (c) Full-bridge.
rent-source method, a half-bridge method and the full-bridge configuration, as shown in Fig. 2. The current-source method is a two-wire resistance measurement such as one done using a digital multimeter (DMM). A current source applies a bias current , as shown in Fig. 2(a), and the resistance is measured as . This meaa ratio of the output voltage and surement method lumps all resistance effects into the measurement. The effect of temperature on the resistance is measured in addition to the piezoresistive effect. The half-bridge configuration is used to reduce the effect of temperature on the resistance measurement. Consider the halfbridge configuration shown in Fig. 2(b). The output voltage of where is the the half bridge is is the resistance of the strain gauge or sense resistor and resistance of the reference resistor. If the reference resistor is selected to have a nominal resistance equal to the sense resistor then the temperature coefficient can be canceled to the first order [16]. The sensitivity of the half-bridge circuit to a change in the is shown in (4) where the nominal resissense resistance [16]. However, the half-bridge circuit is tance is sensitive to noise on the supply voltage
(3) (4) Traditionally, piezoresistors in strain gauge applications are realized using p-type crystalline silicon and have gauge factors around 100 [15]. In the CMOS-MEMS process, the bulk silicon is used as a sacrificial layer and, therefore, cannot be integrated into the mechanical structure to realize a strain gauge. Another available material in the CMOS process with piezoresistive properties is polysilicon. Polysilicon is used in CMOS circuits for the gate electrode of field effect transistors and for the fabrication of resistors and polysilicon-insulator-polysilicon capacitors. Polysilicon appears next to the substrate in the CMOS-MEMS beam stack, therefore, the polysilicon layer can only be used for position sensing of structures comprising the bottom of the material stack. As a strain gauge, polysilicon has a gauge factor of about 20 [15]. The piezoresistive position sensing mechanism has been reported in the literature in MEMS-based sensor applications such as scanning probe microscopy [16], ultrasonic transducers [17] and micro-resonators [18]. In [17], piezoresistive feedback was found to be more area efficient than capacitive position transduction for ultrasonic transducers. The readout of a piezoresistive strain gauge can be done using several methods. The three methods discussed here are the cur-
A full-bridge configuration is shown in Fig. 2(c). The fullbridge configuration has the benefits of enhancing both supply noise rejection and compensating temperature effects. Since the measurement is made as a differential signal across the two antiparallel half-bridges, the supply voltage appears as a commonmode signal and is effectively canceled. In addition, the antiparallel configuration of the two bridges results in a differential signal level from the full-bridge that is double that of a halfbridge. The sensitivity of the full-bridge circuit to change in the and is given in (5) [16] where the two sense resistors nominal resistance of all the resistors in the bridge is assumed to be
(5) The capital benefit of the CMOS-MEMS process is the ability to monolithically integrate the sensing circuit and MEMS device on a single die. Amplification of the output voltage of a
3964
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 3. SEM picture of the fabricated device after the completion of the release process.
resistance bridge is accomplished using an instrumentation amplifier. The instrumentation amplifier’s high input impedance results in reduced measurement error due to loading effects. An instrumentation amplifier can easily be integrated in CMOS using three operational amplifiers. In an on-chip circuit, the full-bridge configuration is the preferred voltage readout configuration for its common mode noise rejection. IV. DESIGN The curled plate design from [8] was chosen as the basis for this work, with two key design enhancements: the use of an isolated DC actuation electrode and the integration of a position sensing piezoresistor. A scanning electron microscope micrograph of the fabricated device is shown in Fig. 3. The layout of the top and bottom plates is shown in Fig. 4. The near pads in Fig. 3 are the RF pads while the far pads are for DC biasing and piezoresistance based position sensing (left and right pads in Fig. 4). The upward curl of both the top and bottom plates is caused by the residual stresses in the metal and oxide layers. The residual stress establishes the up-state, zero-bias capacitance, as shown in Fig. 5(a). The application of a DC voltage between the top and bottom plates establishes an electrostatic field that applies a force that brings the two plates together causing them both to deform, as shown in Fig. 5(b). The deflection of the bottom plate deforms the strain sensing resistor that is integrated into the composite structure of the bottom plate. The deformation of the resistor results in a change in resistance. The change in resistance is related to the deflection and, hence, the capacitance. The variable capacitor is connected to ground-signal-ground (GSG) coplanar probe pads with 150 m pad pitch, as shown in Fig. 4. The bottom plate of the variable capacitor is connected to the RF ground pads while the top plate is connected to the RF signal pad, as shown in Fig. 4(a) and (b), respectively. The modifications to the design from [8] lie in the configuration of the bottom plate shown in Fig. 4(a). The bottom plate area is divided into two electrically isolated segments—one for DC bias and the other for RF ground. One of the target applications for integrated CMOS-MEMS variable capacitors is for integrated reconfigurable RF components. Biasing circuits can consume appreciable die area and may require off-chip components. This design incorporates a separate actuation pad for the isolation of the DC biasing and RF ports in order to simplify biasing requirements. The biasing electrode is integrated into the bottom plate since there are additional isolated electrical routing layers in the
Fig. 4. Layout of the top and bottom plates of the parallel plate variable capacitor with integrated position sensing. The bottom plate contains a sense resistor and a dedicated DC actuation pad. T1 indicates the cross section used in Fig. 5. (a) Variable capacitor bottom plate. (b) Variable capacitor top plate.
Fig. 5. Cross section of the top and bottom plates during actuation at plane T1 shown in Fig. 4. Both the top and bottom plates deflect with an applied voltage. The bottom plate contains the piezoresistor and registers deflection of the bottom plate as a change in resistance. (a) Initial device deflection. (b) Actuated device.
bottom plate (M1 and Poly2). The Poly2 layer is used to route the DC bias to the actuation electrode in the bottom plate. The resistance of the polysilicon layer does not affect electrostatic biasing since there is no DC current at steady state. A highly resistive bias also reduces the leakage of RF energy through the DC biasing electrode. The sense resistor is integrated into the bottom plate adjacent to the plane of symmetry. The length is set to achieve a and limit the current consumption to 0.5 resistance of 3.3 mA per half-bridge at 3.3 V. The test chips fabricated for the characterization of piezoresistive feedback for RF MEMS control are limited in the number of available contact pads. Due to area limitations, only a single sense resistor has a dedicated bonding pad. The three remaining resistors are used as dummy devices to maintain device symmetry for mechanical purposes. In this design, we are limited to using the current source-based readout method. The full-bridge configuration will be used in subsequent designs.
ZAHIROVIC et al.: PIEZORESISTIVE POSITION SENSING FOR THE DETECTION OF HYSTERESIS
3965
Fig. 7. Illustration of the measurement setup with the test chip mounted on a gold plated PCB and tested using a cascade ACP-40 RF GSG probe. Fig. 6. Block diagram of the measurement setup.
Z-parameters and then calculating the capacitance using (6) The common connection of the sense resistor is tied to the RF ground that composes the majority of the bottom plate area and is connected to the ground of the RF GSG pads. In order to maximize the relative change in resistance, the parasitic resistance is reduced by routing to the polysilicon sense resistors using the first metal layer. Signal routing to the DC electrode is done by routing using the Poly2 layer underneath the first metal layer. The integration of the DC actuation electrode results in a substantially lower capacitance since a large area of the bottom plate is consumed by the DC electrode. The biasing simplicity achieved using an isolated DC electrode plate is traded-off against a reduction in capacitance density.
(6) The offset and gain of the amplifier were calibrated at output voltage set points of 0 V and 100 V, respectively. The gain of the Trek amplifier was set using a potentiometer and the offset was corrected in software using the LabVIEW application. At each voltage step of each waveform a sequence of measurements was made: first, the voltage was set, then the VNA data was captured, and lastly the resistance was measured. The VNA and resistance data at each voltage step were stored. At each voltage step, it took 1.4 s to measure, format and store all the data. Each of the waveforms tested was run for 50 periods in sequence.
V. MEASUREMENT SETUP
VI. MEASUREMENT RESULTS
Simultaneous capacitance versus voltage (CV) and resistance versus voltage (RV) measurements were performed using an automated test setup on a Cascade vibration isolated wafer probe station. The MEMS die was mounted using epoxy onto a two layer gold plated printed circuit board. The DC bias pad and sense resistor read-out pad were wire bonded to two traces on the PCB that led to two soldered wire connections that were connected to a Trek PZD350 high-voltage amplifier and Agilent 34401A digital multimeter (DMM), as shown in Fig. 6. The instrumentation setup is shown in Fig. 7. The RF GSG pads were probed using a Cascade ACP-40 GSG probe that was connected to an Agilent 8722ES vector network analyzer (VNA) through a bias-T. The bias-T set the DC bias on the RF ground and signal lines to 0 V DC. The RF ground and signal were DC shorted together through the RF choke of the bias-T. The common connection for RF ground, high-voltage ground and the sense resistor was made at the RF choke of the bias-T. The high-voltage amplifier was driven by an Agilent function generator that acted as a digital to analog converter for the LabVIEW application. The VNA and DMM were interfaced to the PC running the LabVIEW application using GPIB through a GPIB-to-USB adapter. The function generator was directly interfaced to the PC using a USB interface. In order to measure the RF capacitance of the variable capacitor as quickly as possible, the VNA was set to operate in continuous wave mode at 5 GHz with only 11 points captured per sweep. The VNA was calibrated using a one-port SOL calibration on a Cascade impedance standard substrate. The capacitance was extracted by first converting the S-parameters to
The three test waveforms are shown in Fig. 8. Testing of all three waveforms was performed using 2 V steps. The 2 V step size was chosen in order to limit the time required to complete each sweep while also achieving sufficient resolution of the CV curve. The first waveform tested was a positive triangle waveform with the voltage ramped between 0 V and 100 V. The second test was a bipolar triangle waveform ramped between 100 V and 100 V. Finally, the negative triangle waveform was tested between 0 V and 100 V. Fig. 8 indicates the sweep number of each period of a waveform as well as the sample number. The evolution of the capacitance versus voltage for each sweep were logged as well as the corresponding sense resistance per sample. Each sweep number identifies a complete waveform period. The thermal coefficient of resistance for the polysilicon resistors in this process had an impact on the absolute value of resistance that was read using the direct measurement with a DMM. The DMM measures the absolute value of resistance and not the relative value as would be the case with the full-bridge. Therefore, the resistance data was normalized to the peak resistance read during a sweep to reduce the effects of temperature drift on the presented data. The peak resistance corresponds to the capacitor in its up-most relaxed state. In an on-chip implementation, the half-bridge or full-bridge read-out could accomplish the same effect. The resistance data is presented as a percentage change in resistance from the maximum read during a sweep as given in (7) (7)
3966
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 8. Three test waveforms. The top waveform is a positive triangle waveform from 0 V to 100 V; the second waveform is a bipolar triangle waveform from 100 V to 100 V; the third waveform is a negative triangle waveform from 0 V to 100 V. A sample was taken every 2 V in all cases. Each sweep (period) of the positive and negative waveforms was 101 points, while each sweep of the bipolar waveform was 201 points.
0
0
The data for the three waveforms was collected over a period of 8 h. The calibration of the VNA drifted over this time frame. In order to eliminate the effect of this drift from the data, the capacitance measurements have also been normalized. The capacitance is normalized to the minimum capacitance. The nominal minimum capacitance is 0.845 pF. In this case, the minimum capacitance of each sweep is normalized to one using the expression given in (8) (8) The effects of both hysteresis and dielectric charging were detectable using the piezoresistive feedback mechanism. First, the results for the detection of dielectric charging are presented followed by the results for the detection of hysteresis and electrostatic pull-in discontinuities.
Fig. 9. Evolution of the capacitance versus voltage and resistance versus voltage characteristics for a positive triangle waveform excitation with the voltage ramped between 0 V and 100 V. Each sweep takes, on average, 141 s. Therefore, sweep 2 is the data collected during testing between 141 s and 242 s into the test. (a) Capacitance versus voltage evolution. (b) Resistance versus voltage evolution.
A. Detection and Tracking of Dielectric Charging The evolution of the capacitance versus voltage (CV) is shown in Fig. 9(a) and the evolution of the resistance versus voltage (RV) characteristic for the unipolar positive triangle waveform is shown in Fig. 9(b). Three characteristic sweeps are shown for the second, ninth, and fiftieth sweeps of the positive voltage waveform. Only the data collected for the positive ramp is shown, i.e., for the first half of the positive triangle waveform period, as shown in Fig. 8. The hysteresis data is not shown in order to reduce clutter in the plots. The effect of dielectric charging manifests itself as a shift of the capacitance versus voltage curve. The positive triangle waveform results in a shift of the CV curve in the positive voltage direction with each subsequent sweep. The shift of the curve is observed in both the CV and RV curves shown in Fig. 9(a) and (b). No attempt was made to optimize the device design to mitigate dielectric charging effects, and the materials used are from a standard CMOS process. The minimum capacitance occurs when there is maximum separation between the top and bottom plates. In traditional
single movable plate variable capacitors the point of minimum capacitance is referred to as the up-state capacitance. The term up-state capacitance is used here to denote the minimum capacitance. The voltage that corresponds to the up-state capacitance is referred to as the up-state voltage. The up-state voltage moves along the voltage axis as the CV curve shifts. With a positive triangular voltage waveform, the up-state voltage shifts in the positive voltage direction with each subsequent sweep until it saturates at a final voltage offset of 40–45 V. The up-state voltage versus sweep number is shown in Fig. 10 for the positive triangle waveform. The up-state voltage is extracted from both the sense resistor data and the capacitance measurement. The up-state corresponds to the maximum of resistance, as shown in Fig. 9(b) and the minimum of capacitance, as shown in Fig. 9(a). Fig. 10 plots the voltage that results in a minimum capacitance and maximum sense resistance for each waveform period. Fig. 10 shows good agreement between both sense resistance derived up-state voltage and capacitance measurement derived up-state voltage. The data shown in Fig. 10
ZAHIROVIC et al.: PIEZORESISTIVE POSITION SENSING FOR THE DETECTION OF HYSTERESIS
3967
Fig. 10. Up-state voltage versus sweep number as determined by the minimum capacitance and maximum resistance for each sweep of the positive triangle waveform.
Fig. 12. Up-state voltage versus sweep number for the bipolar voltage waveform showing the elimination of the up-state voltage shift caused by the positive triangle waveform.
Fig. 11. Evolution of the capacitance versus voltage characteristic with a bipolar triangle waveform with voltage ramped between 100 V and 100 V. Each sweep takes 282 s for 202 points.
Fig. 13. Evolution of the capacitance versus voltage characteristic with a negative triangle waveform with voltage ramped between 0 V and 100 V.
0
appears noisy since it is difficult to find the minimum of curves that are flat near the minimum in the presence of noise. The bipolar measurement was performed by actuating the device with a ramp between 100 V up to 100 V and back to 100 V for 50 periods in 2-V increments. The duration of a bipolar period is, therefore, twice as long. The bipolar test commenced immediately following the positive triangular waveform test. The up-state voltage was already shifted to between 40 V and 45 V at the start of the bipolar test. The evolution of the CV curve for the bipolar triangle waveform is shown in Fig. 11. Although the positive triangle waveform actuation shifted the CV curve significantly in the positive actuation direction, the switch to a bipolar actuation waveform shifts the up-state capacitance of the CV curve back toward 0 V, as shown in Fig. 11. After the 50th sweep of the bipolar waveform the charging effect of the positive triangular waveform is nearly eliminated, as shown in Fig. 12. Finally, the triangle waveform tests were completed with the test of a negative waveform with ramps between 0 and 100 V. The evolution of the CV curve under a negative triangle waveform is shown in Fig. 13. The application of a negative bias results in the up-state voltage shifting in the negative voltage di-
0
rection. The negative triangle waveform appears to progress in a slower fashion than the positive waveform when comparing Figs. 10 and 14. B. Detection of Hysteresis Events Operating an electrostatic MEMS variable capacitor beyond its initial pull-in requires a control scheme to deal with hysteresis due to electrostatic pull-in discontinuities. Devices that exhibit multistep behavior are, therefore, particularly challenging to control since they have multiple hysteresis regions. The CMOS-MEMS variable capacitor presented here has a particularly complex capacitance versus voltage characteristic with multiple discontinuities. Each discontinuity results in a degree of hysteresis imposed by the step change in capacitance as discussed previously. In this section, we investigate the ability of the sense resistor to distinguish hysteresis events resulting from pull-in discontinuities. The hysteresis curves for capacitance versus voltage and resistance versus voltage for a bipolar triangle waveform are shown in Fig. 15. The data shown in Fig. 15 is from sweep number 50 of the bipolar waveform test. By sweep 50, the
3968
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 14. Up-state voltage versus sweep number as determined by the minimum capacitance and maximum resistance for the negative triangle waveform.
Fig. 15. Hysteresis curves for resistance and capacitance versus actuation voltage are shown. Thicker lines are used to plot the normalized resistance while the thinner lines are used for capacitance. The solid lines are for increasing voltage sweeps while the dashed lines are used for decreasing voltage sweeps.
residual effects of dielectric charging caused by the positive triangle waveform have settled out. Therefore, the up-state capacitance voltage in Fig. 15 is near zero. The discontinuities in both the resistance and capacitance curves match up precisely within the 2 V voltage resolution. Each hysteresis window in the CV curve of Fig. 15 has a corresponding hysteresis window in the RV curve as shown. As a result, it can be concluded that the pull-in events can be detected through position sensing of the bottom plate. C. Evaluation for Closed-Loop Control Evaluation of the sensing mechanism for closed-loop control was performed by creating a scatter plot of capacitance versus voltage and capacitance versus resistance for the data collected during the bipolar waveform test. Data from fifty bipolar actuation sweeps are collected, with 202 (R,C) points per sweep represented in Figs. 16 and 17. The data shown in Fig. 16 is the same data shown in Fig. 11 with all 50 waveforms represented.
Fig. 16. Scatter plot of capacitance for the collected data points of capacitance versus voltage for the bipolar actuation waveform immediately following a positive triangle waveform. There are many potential capacitance values for a given voltage set point. This figure indicates that controlling a variable capacitor using fixed voltage control has significant uncertainty in the capacitance when taking into account hysteresis and dielectric charging.
Fig. 17. Scatter plot of capacitance versus resistance for the bipolar actuation waveform. The capacitance versus resistance points are nicely behaved in straight lines. There are a finite number of capacitance points with respect to resistance.
A total of over 10 000 points are shown in each plot, from data collected over nearly 4 h. In Fig. 16, there are continuous bands of potential capacitance values for a given voltage due to hysteresis and dielectric charging effects. Plotting the same data with respect to resistance results in Fig. 17, where there are three distinct lines on the scatter plot that correspond to operating regions with stable position using fixed voltage control. The gaps correspond to areas of capacitance and resistance that are not reachable using voltage control. Only in a small region of the domain, circled in Fig. 17, are multiple capacitance values possible for a measured resistance. In comparison to Fig. 16, the continuous bands of capacitance versus voltage have been reduced to narrow lines of capacitance versus resistance, thus, significantly improving the capacitance uncertainty. The cause of the multiple capacitance values per measured resistance circled in Fig. 17 has been traced to features of the mechanical design that result in multiple stable states for a given
ZAHIROVIC et al.: PIEZORESISTIVE POSITION SENSING FOR THE DETECTION OF HYSTERESIS
Fig. 18. Capacitor actuation schematic showing the effect on the deflection of the resistor if one side actuates before the other. The sense resistor is located on the left half of the capacitor resulting in two different sense resistor signals for the same capacitance value. (a) Initial position. (b) Sense side first. (c) Dummy side first.
voltage. Fig. 18 schematically depicts the cross section of the two curled plates during actuation in the vicinity of the operating region circled in Fig. 17. The sense resistor is located in the left half of the structure, as shown in Fig. 18. The sensor was placed on only one half of the structure since a symmetric mechanical response was initially expected. Viewing the actuation of the device using a microscope, it was discovered that in some instances one half of the structure actuates before the other. Since the sense resistor is installed in only one half of the structure, only the strain of that half results in a sensed resistance change. Therefore, there are two potential positions that result in the same capacitance, as shown in Fig. 18(b) and (c). This discrepancy registers in the scatter plot data of Fig. 17 as an array of points where the resistance-based control law would fail. Increasing the bias voltage returns the capacitance to a function of resistance. Despite the uncertainty in the circled region of Fig. 17, the capacitance versus resistance shows a substantial improvement in determining the capacitance compared to voltage control, as shown in Fig. 16.
VII. CONCLUSION A position sensing scheme for the control of CMOS-MEMS variable capacitors has been demonstrated in a commercial CMOS process with MEMS postprocessing. The results demonstrate the potential for closed-loop control of capacitor position to mitigate hysteresis and charging effects in CMOS-MEMS capacitors. The proposed sensing scheme promises to reduce capacitance uncertainty in the presence of hysteresis and dielectric charging by applying a position control scheme based upon integrated piezoresistive sensing.
REFERENCES [1] G. Rebeiz, K. Entesari, I. Reines, S.-J. Park, M. El-Tanani, A. Grichener, and A. Brown, “Tuning in to RF MEMS,” IEEE Microw. Mag., vol. 10, no. 6, pp. 55–72, Oct. 2009.
3969
[2] F. Domingue, S. Fouladi, A. B. Kouki, and R. R. Mansour, “Design methodology and optimization of distributed MEMS matching networks for low-microwave-frequency applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3030–3041, Dec. 2009. [3] S.-J. Park, I. Reines, C. Patel, and G. M. Rebeiz, “High-Q RF-MEMS 4–6 GHz tunable evanescent-mode cavity filter,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 381–389, Feb. 2010. [4] G. M. Rebeiz, RF MEMS: Theory, Design and Technology. Hoboken, NJ: Wiley, 2003. [5] J. Seeger and S. Crary, “Stabilization of electrostatically actuated mechanical devices,” in Proc. Int. Solid State Sens. Actuators Conf., Jun. 16–19, 1997, vol. 2, pp. 1133–1136. [6] M. Shavezipur, A. Khajepour, and S. Hashemi, “A novel linearly tunable butterfly-shape MEMS capacitor,” Microelectron. J., vol. 39, no. 5, pp. 756–762, 2008. [7] M. Bakri-Kassem and R. R. Mansour, “A high-tuning-range MEMS variable capacitor using carrier beams,” Can. J. Electr. Comput. Eng., vol. 31, no. 2, pp. 89–95, 2006. [8] M. Bakri-Kassem, S. Fouladi, and R. R. Mansour, “Novel high-Q MEMS curled-plate variable capacitors fabricated in 0.35 m CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 530–541, Feb. 2008. [9] N. Zahirovic, R. R. Mansour, and M. Yu, “A three-step high-Q variable MEMS capacitor with low actuation voltage,” in Proc. Eur. Microw. Conf., 2009, pp. 1136–1139. [10] X. Rottenberg, I. De Wolf, B. K. J. C. Nauwelaers, W. De Raedt, and H. A. C. Tilmans, “Analytical model of the DC actuation of electrostatic MEMS devices with distributed dielectric charging and nonplanar electrodes,” J. Microelectromech. Syst., vol. 16, no. 5, pp. 1243–1253, Oct. 2007. [11] N. Zahirovic, R. R. Mansour, and M. Yu, “A MEMS variable capacitor with piezoresistive position sensing fabricated in a standard 0.35 m CMOS process,” in Proc. IEEE MTT-S Int. Microw. Symp. Digest, 2010, pp. 1154–1157. [12] M. S.-C. Lu and G. K. Fedder, “Position control of parallel-plate microactuators for probe-based data storage,” J. Microelectromech. Syst., vol. 13, no. 5, pp. 759–769, Oct. 2004. [13] D. Piyabongkarn, Y. Sun, R. Rajamani, A. Sezen, and B. J. Nelson, “Travel range extension of a MEMS electrostatic microactuator,” IEEE Trans. Control Syst. Technol., vol. 13, no. 1, pp. 138–145, Jan. 2005. [14] G. K. Fedder, S. Santhanam, M. L. Reed, S. C. Eagle, D. F. Guillou, M. S.-C. Lu, and L. R. Carley, “Laminated high-aspect-ratio microstructures in a conventional CMOS process,” in Proc. Int. Workshop Microelectr. Mech. Syst., 1996, pp. 13–18. [15] , M. G. el Hak, Ed., MEMS Design and Fabrication. Boca Raton, FL: CRC Press, 2006. [16] S. Hafizovic, K.-U. Kirstein, and A. Hierlemann, Applied Scanning Probe Methods V—Integrated Cantilevers and Atomic Force Microscopes, ser. Nanoscience and Technology. Berlin, Germany: Springer, 2007. [17] J. J. Neumann, D. W. Greve, and I. J. Oppenheim, “Comparison of piezoresistive and capacitive ultrasonic transducers,” in Proc. SPIE, S.-C. Liu, Ed., 2004, vol. 5391, no. 1, pp. 230–238. [18] J.-C. Chiou, L.-J. Shieh, and Y.-J. Lin, “CMOS-MEMS prestress vertical cantilever resonator with electrostatic driving and piezoresistive sensing,” J. Phys. D, Appl. Phys. vol. 41, no. 20, p. 205102, 2008.
Nino Zahirovic (S’04) was born in Mostar, Bosnia and Herzegovina on August 4, 1983. He received the B.A.Sc. degree (with distinction) in computer engineering from the University of Waterloo, Waterloo, ON, Canada, in 2006 where he is currently pursuing the Ph.D. degree in electrical engineering. His research interests include the design, tuning and modeling of integrated tunable microwave circuits and microelectromechanical systems (MEMS). Mr. Zahirovic was the recipient of the Ontario Graduate Scholarship in Science and Technology (2009–2010) and currently holds a post-graduate scholarship from the Natural Sciences and Engineering Research Council of Canada (2010–2011) as well as a Waterloo Institute for Nanotechnology Fellowship (2010–2011).
3970
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Raafat R. Mansour (S’84–M’86–SM’90–F’01) was born in Cairo, Egypt, on March 31, 1955. He received the B.Sc (with honors) and M.Sc degrees from Ain Shams University, Cairo, Egypt, in 1977 and 1981, respectively, and the Ph.D. degree from the University of Waterloo, Waterloo, ON, Canada, in 1986, all in electrical engineering. In 1981, he was a Research Fellow with the Laboratoire d’Electromagnetisme, Institut National Polytechnique, Grenoble, France. From 1983 to 1986 he was a Research and Teaching Assistant with the Department of Electrical Engineering, University of Waterloo. In 1986, he joined COM DEV Ltd., Cambridge, ON, Canada, where he held several technical and management positions with the Corporate Research and Development Department. In 1998, he received the title of a Scientist. In January 2000, he joined the University of Waterloo, as a Professor with the Electrical and Computer Engineering Department. He holds a Natural Sciences and Engineering Research Council of Canada (NSERC) Industrial Research Chair in RF engineering with the University of Waterloo. He is the Founding Director of the Center for Integrated RF Engineering (CIRFE), University of Waterloo. He has authored or coauthored numerous publications in the areas of filters and multiplexers, high-temperature superconductivity and microelectromechanical systems (MEMS). He is a coauthor of Microwave Filters for Communication Systems (Wiley, 2007). He holds several patents related to areas of dielectric resonator filters, superconductivity and MEMS devices. His current research interests include MEMS technology and miniature tunable RF filters for wireless and satellite applications. Dr. Mansour is a Fellow of the Engineering Institute of Canada (EIC) and a Fellow of the Canadian Academy of Engineering (CAE).
Ming Yu (S’90–M’93–SM’01–F’09) received the Ph.D. degree in electrical engineering from the University of Victoria, Victoria, BC, Canada, in 1995. In 1993, while working on his doctoral dissertation part time, he joined COM DEV, Cambridge, ON, Canada, as a Member of Technical Staff. He was involved in designing passive microwave/RF hardware from 300 MHz to 60 GHz for both space and ground based applications. He was also a principal developer of a variety of COM DEV’s core design and tuning software for microwave filters and multiplexers, including computer aide tuning software in 1994 and fully automated robotic diplexer tuning system in 1999. His varied experience also includes being the Manager of Filter/Multiplexer Technology (Space Group) and Staff Scientist of Corporate Research and Development (R&D). He is currently the Chief Scientist and Director of R&D. He is responsible for overseeing the development of company R&D Roadmap and next generation products and technologies, including high frequency and high power engineering, electromagnetic based CAD and tuning for complex and large problems, novel miniaturization techniques for microwave networks. He is also an Adjunct Professor with the University of Waterloo, ON, Canada. He holds NSERC Discovery Grant from 2004 to 2013 with Waterloo. He has authored or coauthored over 90 publications and numerous proprietary reports. He holds eight patents with six pending. Dr. Yu is an IEEE Distinguished Microwave Lecturer from 2010 to 2012. He is MTT Filter committee Chair (MTT-8) since 2010 and also served as Chair of TPC-11. He is an Associate Editor of IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the 1995 and 2006 COM DEV Achievement Award for the development a computer-aided tuning algorithms and systems for microwave filters and multiplexers.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3971
Antibiased Electrostatic RF MEMS Varactors and Tunable Filters Kenle Chen, Student Member, IEEE, Xiaoguang Liu, Student Member, IEEE, Andrew Kovacs, Student Member, IEEE, William J. Chappell, Member, IEEE, and Dimitrios Peroulis, Member, IEEE
Abstract—This paper presents a new approach for substantially enhancing the linearity and reducing the effects of bias noise for electrostatic RF microelectromechanical systems (MEMS) devices. The proposed method relies on applying bias voltages with opposite polarities to cancel the dynamic vibration of the MEMS structures. In this paper, the method has been applied to a shunt RF MEMS varactor and a MEMS tunable evanescent-mode tunable filter. In the first case, the shunt MEMS varactor is split into two identical parts that are biased with opposite voltages. This results in almost complete cancelation of the odd-order modulation components, leading to 20–28-dB linearity enhancement depending on the noise and the design. Analytical results, a computer-aided design model and measurements validate the proposed approach. In the tunable filter case, opposite bias voltages are applied on the tuners of its resonators. Simulated and measured results are also presented in this case. Measurements show a sideband reduction as high as 13 dB. In both cases, the effectiveness of the proposed method in the presence of fabrication uncertainties are also considered. Index Terms—Evanescent-mode cavity, linearity, microelectromechanical systems (MEMS) diaphram, modulation, noise, resonator, RF MEMS varactor, tunable filter.
I. INTRODUCTION
R
F microelectromechanical systems (RF MEMS) have received considerable research attention over the past two decades as an enabling technology for creating highly reconfigurable wireless communications systems. Electrostatic RF MEMS devices, in particular, are known for their merits of extremely low loss, high linearity, and near zero power consumption. Numerous tunable components have been demonstrated with RF MEMS devices, including switches [1], tunable filters [2], phase shifters [3], tunable antennas [4], and adaptive power amplifiers [5]. Recently, continuously tunable varactors and resonators/filters are demonstrated with high- and broad frequency tuning range [6]–[9]. Linearity and stability are particularly important metrics for high- tunable components [10]. Although excellent linearity Manuscript received July 02, 2010; revised September 26, 2010; accepted October 01, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by the Defense Advanced Research Projects Agency (DARPA) under the Analog Spectral Processors (ASP) Program with a subcontract from BAE Systems. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the School of Electrical and Computer Engineering and the Birck Nano Technology Center, Purdue University, West Lafayette, IN 47906 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2088135
has been demonstrated for RF MEMS devices, [11] and [12] show that it still remains an important issue when the device is biased close to its pull-in point. Stability is another concern for high- systems, such as narrowband tunable filters [7], [8]. In an integrated communication system, especially a mixedsignal system, the biasing conditions may be noisy due to signal leakage and substrate noise [13]. Moreover, obtaining a stable high voltage can be difficult in such a highly integrated system. Charge pumps are generally used to supply the high voltage used to actuate MEMS tunable filters. However, in most integrated charge pump designs, the output voltage ripple can be as high as 5% of the supplied voltage [14]–[16] and effectively appears as bias noise to the tunable filters. Such bias noise can cause frequency shifts that degrade the linearity of the system. In order to counteract these problems, an antibiased topology was recently proposed and experimentally validated for shunt RF MEMS varactors in [17]. Fig. 1(a) shows an illustration of the proposed method. When a low-frequency (LF) noise is present on the bias line and/or on the transmission line, it dynamically actuates the MEMS membrane and leads to unwanted modulation of the RF signal [the single varactor case in Fig. 1(a)]. For the antibiased topology in Fig. 1(a), the varactor is split into two identical parts that are individually biased with opposite voltages. The noise-induced dynamic vibrations of the two membranes are 180 out of phase so that their contributions to the total capacitance variation are canceled, resulting in improved linearity and noise immunity. This paper significantly expands our previous work in [17] in the following ways. Section II presents new models that explain the cancelation of only the odd-order modulation terms as seen in measurements of [17]. Section III presents a new approach in applying the antibias technique in tunable evanescent-mode cavity filters by applying opposite bias voltages on the nearby poles, as illustrated in Fig. 1(b). It is shown that noise-induced modulation is a more severe problem in high- resonant structures. The application of the antibias topology successfully reduced the sideband frequency components due to bias noise in the tunable filter. The effects of fabrication uncertainties on the proposed technique are carefully considered in both cases. II. ANTIBIASED VARACTOR TOPOLOGY A. Electromechanical and RF Modeling of Electrostatically Actuated RF MEMS Device This section provides a brief background of the electromechanical behavior of electrostatic MEMS actuators and establishes the fundamental formulas needed for the analysis to follow. The following analysis is mainly based on [11].
U.S. Government work not protected by U.S. copyright.
3972
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 2. Typical electrostatically actuated MEMS structure.
where is the spring constant of the movable membrane. Before pull-in [18], the mechanical equilibrium condition gives (4) This third-order equation can be analytically solved and yields [6] (5) where (6) (7)
Fig. 1. Antibiased electrostatically actuated RF MEMS devices. (a) Varactors. (b) Two-pole tunable filter.
A typical electrostatically actuated MEMS device is shown in Fig. 2. When a voltage is applied between two electrodes, an electrostatic force pulls the MEMS membrane towards the bias electrode
By substituting the expression of in (2), the analytical expression of capacitance with respect to voltage can then be found. Considering the MEMS varactor as a shunt capacitance on a , is given by transmission line and assuming [11] (8) with
(low loss) and a phase modulation of (9)
(1)
For a small displacement
, the capacitance becomes [11]
The total capacitance of the varactor can be approximated by the parallel-plate formula as
(10) Substituting expression (10) in (9) leads to
(2) (11) where is the overlapping area between the two electrodes, and is the gap between them. Note that there is also a fringingfield capacitance between the two electrodes. The value of the fringing-field capacitance is typically 20%–50% of the paralleplate capacitance. The effect of the fringing-field capacitance is taken into account by the computer-aided design (CAD) modeling presented in Section II-C. The MEMS membrane is also subject to the mechanical , which is proportional to the deflection of restoring force the membrane (3)
The above equation indicates that the vibration of the varactor membrane causes an instant capacitance variation and, therefore, a phase modulation of the RF signal. If the RF signal is accompanied by an LF voltage (e.g., modulating signal) and/or if there is biasing noise [see Fig. 3(a)], the total voltage on the membrane includes three components (12) where is the applied dc bias signal and is the amplitude of the biasing noise signal (or modulating signal). Assuming that is slightly smaller than the mechanical resonant frequency
CHEN et al.: ANTIBIASED ELECTROSTATIC RF MEMS VARACTORS AND TUNABLE FILTERS
3973
Fig. 4. Gap distance and capacitance versus bias voltage with the effect of an LF variation in bias voltage.
Fig. 3. Illustration of the antibias concept applied to shunt varactors. (a) Single MEMS varactor in the presence of LF modulating signal and/or biasing noise. (b) Antibiased topology leading to out-of-phase vibrations of two MEMS membranes and a cancelation of the most significant sidebands [17].
of the MEMS membrane, the resultant membrane displacement is [11]
(13) Equation (13) involves a static part and a time-varying part. As a result, the output spectrum contains modulation sidebands at (M1) and (M2), etc., as shown in Fig. 3(a). The antibiased topology of MEMS varactors is shown in Fig. 3(b). Assume the LF noise is correlated, which is, in general, the case when bias lines are placed close together [11]. into (13), By substituting the opposite bias voltages are observed. Therefore, the inout-of-phase vibrations at stant capacitance variations of the two varactors approximately compensate each other, which leads to a more constant total capacitance and a significant reduction of the modulation terms. Although the previously presented analysis in [17] qualitatively explains this idea, it does not provide quantitative results in terms of which modulation products are canceled and which are not. Furthermore, (13) is derived under the assumption of small membrane displacement, where the capacitance is less sensitive to the bias voltage. This assumption is not valid for large deflections, especially when the membrane is close to pull-in. Fig. 4 graphically shows the gap and capacitance
of a typical MEMS varactor versus its bias voltage. When is close to the pull-in voltage, a small sinusoidal voltage can cause a large variation of gap/capacitance, which hinders the validity of (13). The following section addresses these issues. B. Theoretical Analysis of Antibiased Varactor Topology Using Taylor Series In order to accurately model the modulation characteristics of the MEMS varactor, the C–V relationship of the antibiased varactor is explicitly analyzed using a Taylor-series expansion. Similar approaches have been used for the analysis of diode varactors [19], [20]. Assuming low RF input power, the capacitance of the varactor subject to an LF noise voltage can be expressed using a Taylorwith respect to the series expansion around the bias voltage incremental LF noise (14) The term in (14) denotes the static capacitance due to which is given by
,
(15) gives rise to first-order modulation on the incident RF signal, gives rise to the second-order modulation, and so on. and can be analytically derived using an inverse function derivation as
(16)
(17)
3974
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE I SUMMARY OF BASIC VARACTOR MODEL PARAMETERS USED IN SIMULATIONS
Fig. 5. Equivalent circuit model of the antibiased shunt varactors.
For the antibiased topology, the single varactor is replaced by and . The superimposed voltages two identical varactors on and are and , respectively. It is since the capacinoted that tance is dependent on , which is expressed in (2) and (4). The capacitance on each varactor can be expanded as (18)
Fig. 6. Simulated displacements of two varactors in response to the dc bias of 26 V and 60-kHz LF signal with V V.
6
=5
(19) As these two varactors are in parallel, the total capacitance is (20) The above equations (18), (19) and (20) underline that the odd terms of the Taylor series of the total capacitance are canceled. In turn, the Taylor series of the total capacitance becomes (21) Plugging varying capacitance as
in (21) allows us to write the time-
Fig. 7. Simulated output spectra of: (a) same-biased and (b) antibiased varacGHz, V V, f kHz, and tors under the conditions of f V V.
=5
= 10
= 26
= 60
(22) This equation explicitly shows that the instant variation of the total capacitance of these two antibiased varactors only contains components. Consequently, the phase modulation com. This means that the nonlinponents only occur at earity effects due to the LF signal can be significantly reduced.
model, based on the analytical C–V relation of the MEMS varactor, are also plotted in Fig. 7, which agrees well with the simulation results using the nonlinear model. It further proves the feasibility of the Taylor-series approach in predicting the nonlinear effect of MEMS varactors.
C. Simulation
D. Fabrication Uncertainty
A nonlinear circuit is developed to simulate the effectiveness of the antibias topology using the nonlinear varactor model presented in [10], [11], and [22]. Fig. 5 shows the schematic of the simulated circuit model. The parameters of the single varactor model used in the ADS simulation are listed in Table I. The simulated dynamic membrane movement in response to the LF noise is shown in Fig. 6, which explicitly shows that the two varactors are vibrating in opposing directions at frequency (60 kHz). The output spectrum of this circuit is shown in Fig. 7 with the varactors same biased and antibiased. As predicted by the theoretical analysis, the output spectrum of the antibiased varactor topology does not contain odd-order modulation components. The results obtained from the analytical
Sections II-B and C focus on the ideal case of the antibiased topology. However, in reality, these two varactors cannot be exactly identical due to fabrication tolerances. The difference and spring mainly comes from the initial gap distance . As a result, the odd modulation components constant cannot be perfectly canceled. As an example, Fig. 8 shows the output spectrum of two varactors with 0.5- m difference of gap distance (18% of ) under the same conditions as the ideal case shown in Fig. 7. Compared to the ideal case, the first modulation (M1) is not completely canceled. However, an term at improvement of 30 dB is still obtained. Fig. 9 summarizes the fabrication uncertainty effects on the improvements in M1 and and , respectively). M3 (modulation terms at
CHEN et al.: ANTIBIASED ELECTROSTATIC RF MEMS VARACTORS AND TUNABLE FILTERS
1
3975
= 18%
Fig. 8. Simulated output spectra of two varactors with g =g . (a) Same-biased. (b) Antibiased. The conditions are same as those shown in Fig. 7: f GHz, f kHz, and V V.
= 10
= 60
=5
Fig. 10. (a) Fabricated device for the experimental validation of the antibiased topology [17]. (b) Experimental setup for testing of the devices.
Fig. 9. Simulated effect of fabrication uncertainty due to: (a) different initial gaps and (b) different spring constants.
As expected, less improvement is obtained as the differences in the initial gaps and spring constants grow. The scheme remains robust, however, even for relatively large mismatches. For example, the improvement of M1 is 22 dB when m (33% of ) compared to the complete cancellation in the ideal case. A comparison between Fig. 9(a) and (b) clearly shows that that difference of the initial gaps dominates the improvement degradation. E. Experimental Validation To experimentally validate the proposed antibiased topology, the shunt RF MEMS varactors are fabricated and measured. The design and fabrication of the devices are discussed in detail in [23] and [24]. Fig. 10(a) shows the fabricated device. The two varactors are placed on a 50- coplanar waveguide (CPW) and are separated by a large metal–insulator–metal (MIM) capacitor (around 10 pF), which blocks the opposite bias voltages on two varactors and acts as an RF short. Fig. 10(b) shows the
measurement setup. DC bias voltages on the two varactors are provided by two Keithley 2400 voltage supplies. The LF noise signal is generated by an Agilent 33250A function generator and is superimposed on the dc bias voltage through decoupling capacitors. The bias voltage is close to the pull-in voltage of the varactor in order to get significant membrane displacements. It is important to mention that, compared to the nonlinear testing setup mentioned in [11], [12], and [22], no high power RF signal is needed to see the nonlinear behavior due to the LF signal. Thus, no power amplifier is needed in this setup. Fig. 11 plots the measured results from the Agilent Spectrum Analyzer E4448A. Comparisons are made between the two-varactor-stack when both structures are biased with the same bias (same-biased case) and when they are biased with oppo(antibiased case). Compared to the site bias voltages same-biased case, the antibiased varactor-stack does not conand , but only at , which leads to tain sidebands at a great linearity improvement. The measurement further validates the theoretical analysis. The measured results shown in Fig. 11(a) match the simulation results shown in Fig. 7, which is conducted under the same conditions. Both the simulated and measured results show a 20-dB linearity enhancement of the antibiased method. This enhancement is computed as the difference between the most significant modulation terms of the same-biased and antibiased cases (M1–M2). Moreover, the device is measured under different conditions and the results are shown in Fig. 11(b) and (c). These results indicate 28- and 25-dB linearity improvement, respectively. It is interesting to note that the M1 and M3 terms are almost perfectly canceled using the antibiased approach as the measured results shown in Fig. 11. This is due to the fact that the
3976
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 11. Measured output spectra of same- and antibiased varactors under difGHz, f kHz, V V, V ferent conditions [17]. (a) f V, and P dBm. (b) f GHz, f kHz, V V, V V, P dBm. (c) f GHz, f kHz, V V, V V, and P dBm.
5
=5 =8
= 20 = 20 = 20
= 10 = 60 = 10 = 70 = 10 = 70
= 26
= 26 = 26
=
fabrication uncertainty is very small as these two varactors are very close to each other on the same wafer. III. ANTIBIASED TUNABLE TWO-POLE EVANESCENT-MODE CAVITY FILTER In the previous sections, we discussed the antibias concept on varactors placed on nearly matched transmission lines. This section shows that the same concept can also be beneficial when applied to high- structures. A two-pole high- tunable filter is used as a vehicle to demonstrate this. Recently, electrostatic MEMS diaphragm tuners have been successfully developed to make tunable evanescent-mode cavity filters [6], [7], achieving a very high unloaded quality factor (300–650) and a broad frequency tuning range (3.04–4.71 GHz). As shown in Fig. 12(a), when a bias voltage is applied to the top electrode, the MEMS diaphragm is actuated to move upwards so as to change the resonant frequency of the cavity resonator. The two-pole tunable filter is composed of two coupled tunable evanescent-mode resonators with MEMS diaphragm tuners. The design and implementation of this two-pole evanescent-mode filter have been presented in [7]. Similar to the varactor case, the LF noise from the bias line dynamically actuates the diaphragm. As mentioned in [11], MEMS tuners are subject to the same noise because the bias
Fig. 12. Evanescent-mode cavity filter with MEMS diaphragm tuners. (a) Single-cavity resonator. (b) Traditional same-biased two-pole filter. (c) Antibiased two-pole filter.
lines are close to each other and “pick-up” the same noise waveform (correlated noise). As a result, the incident RF signal (within the passband) is modulated leading to similar sidebands as the varactor’s case in Section II [see Fig. 12(b)]. Due to the and narrow bandwidth (0.7%) of the filter, the very high electromagnetic field between the diaphragm and the post is much stronger than that between the varactor and transmission line [11] for the same power level. Consequently, the modulation effect is much more significant in filters and resonators compared to the varactor case. The antibiased topology can be applied to the two-pole filter, as shown in Fig. 12(c). When subjected to an LF noise, the antibiased topology leads to out-of-phase vibration of the two MEMS diaphragms. In the following sections, this antibiased two-pole filter is theoretically analyzed and experimentally demonstrated. A. Theoretical Analysis The equivalent circuit of the two-pole filter is schematically shown in Fig. 13 with the matrix of the two coupled
CHEN et al.: ANTIBIASED ELECTROSTATIC RF MEMS VARACTORS AND TUNABLE FILTERS
3977
TABLE II SUMMARY OF BASIC TUNABLE-CAVITY MODEL PARAMETERS USED IN SIMULATIONS
Fig. 13. Equivalent circuit model of the two-pole tunable filter and its ABCD matrix representation.
resonators and the inverter. two resonators, given by
and
are the admittances of
In (25), the term generates no modulation component because identical and leads to no odd at , as mentioned in the previous Taylor-series term of sections. It is also noted that the odd-order modulations of the term in (25) are perfectly canceled because is a even function of , which can be expressed as
(31) (23) The total
matrix of these three cascade sections is (24)
Using the matrix transformation equation [25], three cascade sections can be calculated by
of these
(25) where , which is the port impedances of the -pamatrix in (24). , rameter matrix transformed from the , and in (25) are constants given by (26) (27)
As a result, similar to the varactor case, the antibias topology of two-pole filter leads to a cancellation of sidebands at . B. Simulation This two-pole filter is modeled and simulated using ADS with the equivalent circuit shown in Fig. 13 and the large-signal model of the MEMS diaphragm tuner, which has been presented in [10]. The model parameters of each resonator are listed in Table II. The simulated results are shown in Fig. 14. Compared to the case of varactors on the transmission line, the effect of biasing noise on the evanescent-mode cavity filter is much more significant due to the high- resonance of the circuit. For example, as shown in Fig. 14(a), a 1-V sinusoidal noise at 1 kHz for the traditional generates a 23-dBc sideband at biasing case. For the antibiased topology, the most significant are almost permodulation components at fectly canceled, which falls below the 100-dBc level, as shown in Fig. 14(a). When the noise level grows to 5 V [see Fig. 14(b)], M1 is still below 100 dBc. It is also seen that the higher order modulation (M3) becomes more significant for the antibiased topology, but a significant improvement (70 dB) of M3 over the traditional case is still achieved. The simulation verifies the theoretical analysis. Contrary to the varactor case, M2 of the two-pole filter is also improved by around 6 dB by applying the antibiased approach, as observed from Fig. 14(a) and (b). This term in (25). is due to the
(28) C. Discussions on Fabrication Uncertainty When the antibiased structure is subjected to an LF noise from and can be expressed as the bias line, (29) (30)
The simulations and discussions in Section III-B are based on the ideal case in which the two resonators of the two-pole filter are identical and the maximum sideband reduction is achieved. However, fabrication tolerances in the actuation gap , ca, and spring constant of the MEMS tuner pacitive gap
3978
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 14. Simulated output spectra of two-pole filter under different conditions. : GHz, V V, f kHz, V V, and P (a) f dBm. (b) f : GHz, V V, f kHz, V V, dBm. and P
020
= 4 1165 = 142 = 4 1165 = 020
=1 = 142
=1 =1
=5
=
are practically unavoidable. Particularly, the fabrication of this MEMS-cavity filter involves manual assembly process so the fabrication differences between two resonators are expected to be much greater than the varactor case, i.e., around 10%–20%, leading to less effective cancelation of the noise-induced modulation. ADS simulation is used to investigate these effects. Fig. 15 shows the simulated improvements in M1, M2, and M3 when , , and . As expected, the effects there are differences in on the odd-order modulation components are the most significant and greater variation leads to less improvement of these odd components. For example, suppression of M1 can be degraded of the resonators are different by as much as 10 dB when 10 m (27%). It is important to note that all three factors can jointly contribute to a less effective sideband reduction. Fig. 16 shows the simulated output spectrum of the same5 m, bias and antibias topologies with 0.7 m , and . The sideband reduction in this case is less than those achieved in the ideal V, the reduction of M1 case (Fig. 14). For example, at V, the reduction of M1 is 13 dB. It is is 9 dB; and at also found that the simulation result of antibiased filter remains the same when positive and negative bias voltages are switched.
D. Measurement and Experimental Validation To validate the theory and the CAD models, a two-pole tunable evanescent-mode cavity filter is fabricated and measured. The fabricated filter is shown in Fig. 17(a) and (b). The design and fabrication process for this filter has been presented in [7]. The small-signal -parameters of the filter are measured using an Agilent 8722ES network analyzer. Shown in Fig. 17, the filter
Fig. 15. Simulated effects of fabrication uncertainty. (a) Different dc gap. (b) Different RF gap. (c) Different spring constant, under the condition of : GHz, f kHz, V V, and P dBm. f
= 4 1165
=1
=5
= 020
is continuously tunable from 2.8 to 4.2 GHz with 0.7% fractional bandwidth and insertion loss of 4.8–3.5 dB (extracted of 310–450). The nonlinear test of the two-pole filter is carried out using the setup shown in Fig. 18. The main RF signal is generated by an Agilent 4433B signal generator and is applied to the input of the tunable filter through a 20-dB bi-directional coupler. The output signal is fed into an Agilent E4448 spectrum analyzer through another coupler. The 20-dB coupling ports of the couplers are connected with the input and output ports of an Agilent E8361C PNA so that the frequency sweep response of the tunable filter can be observed at the same time. First, the proper frequency response of the filter is formed by gradually adjusting the bias voltages. The signal generator is then set to output a CW signal at the center frequency of the filter and an LF noise is generated by the function generator. The output spectrum is captured using the spectrum analyzer. Fig. 19 plots the measured results. The filter is tuned to V voltages. The voltages 4.1165 GHz with 151 V and are somewhat different because the tuners and resonators are
CHEN et al.: ANTIBIASED ELECTROSTATIC RF MEMS VARACTORS AND TUNABLE FILTERS
3979
Fig. 18. Nonlinear testing setup for the two-pole filter.
1
Fig. 16. Simulated output spectra of two-pole filter with g : and g =g . (a) f : GHz, f V V, and P dBm. (b) f : GHz, f V V, and P dBm.
13 5% 1 =1 =5
= 18% = 020 = 020
= 4 1165 = 4 1165
=g = = 1 kHz, = 1 kHz,
Fig. 19. Measured output spectra of same-biased and antibiased two-pole fil: ters. (a) f GHz, f kHz, V V, and P dBm. : GHz, f kHz, V V, and P dBm. (b) f
= 4 1165 = 4 1165
Fig. 17. (a) Fabricated two-pole tunable evanescent-mode cavity filter. The bias electrodes are not shown to reveal the diaphragm tuners. (b) Fabricated two-pole tunable filter with the bias electrodes. (c) Measured S -parameters of the tunable filter. Although only a selection of data points are shown, the filter is continuously tunable across the whole tuning range.
=1
=1
=5
=1
= 020 = 020
shown in the antibiased case of Fig. 19(a). It is observed that the antibiasing of the filter results in around 9-dB improvement in the first modulation components (M1) and 10 dB in M2. Similar measurements are taken with noise amplitude of V [see Fig. 19(b)]. In this case, the improvement is 13 dB for M1, 20 dB for M2, and 25 dB for M3. The measured results agree with what the CAD modeling predicts (Fig. 16). A perfect cancellation of M1 and M3 is not achieved here due to the dimension differences between the two resonators. Such tolerances are typical given the specific assembly process in an academic setting [7]. In a mass-production oriented industry setting, the tolerances are expected to be improved by at least an order of magnitude. IV. CONCLUSION
slightly different due to fabrication tolerances. The output GHz with an spectrum to an input signal of kHz, V is shown in the LF noise signal of same-biased case of Fig. 19(a). The polarity in one of the bias voltages is subsequently reversed and the resulting spectrum is
We have presented a versatile antibias approach for improving the linearity and reducing the effects of bias noise for electrostatic RF MEMS devices. Theoretical analysis based on Taylor-series expansion is first presented, explicitly showing the effectiveness of the proposed method. This method has
3980
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
been successfully applied to a shunt MEMS varactor (traveling-wave structure) and a MEMS tunable evanescent-mode cavity filter (resonant structure). In the varactor case, the antibias topology almost completely eliminates the odd-order modulation components, achieving a linearity improvement of 20–28 dB depending on the design and signal conditions. In the tunable filter case, a sideband reduction as high as 13 dB has been observed. CAD modeling shows that the reduction can be further improved with advanced precision fabrication techniques that leads to lower fabrication uncertainties.
REFERENCES [1] J. B. Muldavin and G. M. Rebeiz, “RF MEMS switches and switch circuits,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 1, pp. 50–71, Jan. 2001. [2] K. Entesari and G. M. Rebeiz, “A 12–18-GHz three-pole RF MEMS tunable filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 8, pp. 2566–2571, Aug. 2005. [3] J. Hung, L. Dussopt, and G. M. Rebeiz, “Distributed 2- and 3-bit -band MEMS phase shifters on glass substrates,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 2, pp. 600–606, Feb. 2004. [4] N. Behdad and K. Sarabandi, “Dual-band reconfigurable antenna with a very wide tunability range,” IEEE Trans. Antennas Propag., vol. 54, no. 2, pp. 409–416, Feb. 2006. [5] W. E. Neo, J. Lin, X. Liu, L. C. N. de Vreede, L. E. Larson, M. Spirito, M. Pelk, K. Buisman, A. Akhnoukh, A. de Graauw, and L. Nanver, “Adaptive multi-band multi-mode power amplifier using integrated varactor-based tunable matching network,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2166–2177, Sep. 2006. [6] X. Liu, L. P. B. Katehi, W. J. Chappell, and D. Peroulis, “A 3.4–6.2 GHz continuously tunable electrostatic MEMS resonator with quality factor of 460–530,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1149–1152. [7] X. Liu, L. P. B. Katehi, W. J. Chappell, and D. Peroulis, “High- continuously tunable electromagnetic cavity resonators and filters using SOI-based RF MEMS actuators,” J. Microelectromech. Syst., vol. 19, no. 4, pp. 774–784, Jul. 2010. [8] S. Park, I. Reines, C. Patel, and G. M. Rebeiz, “High- RF-MEMS 4–6-GHz tunable evanescent-mode cavity filter,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 381–389, Feb. 2010. [9] A. Pothier, J. C. Orlianges, G. Zheng, C. Champeaux, A. Catherinot, D. Cros, P. Blondy, and J. Papapolymerou, “High- RF-MEMS 4–6 GHz tunable evanescent-mode cavity filter,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 354–360, Jan. 2005. [10] X. Liu, L. P. B. Katehi, W. J. Chappell, and D. Peroulis, “Power handling capability of high- evanescent-mode RF MEMS resonators with flexible diaphragm,” in Asia–Pacific Microw. Conf., 2009, pp. 575–578. [11] L. Dussopt and G. M. Rebeiz, “Intermodulation distortion and power handling in RF MEMS switches, varactors, and tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 927–930, Apr. 2003. [12] G. David and O. Nerea, “Study of intermodulation in RF MEMS variable capacitors,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1120–1130, Mar. 2006. [13] K. Makie-Fukuda, T. Anbo, and T. Tsukada, “Substrate noise measurement by using noise-selective voltage comparators in analog and digital mixed-signal integrated circuits,” IEEE Trans. Instrum. Meas., vol. 48, no. 6, pp. 1068–1072, Dec. 1999. [14] G. DiCataldo and G. Palumbo, “Design of an th order Dickson voltage multiplier,” IEEE Trans. Circuits Syst. I, Fundam. Theory Appl., vol. 43, no. 5, pp. 414–418, May 1996. [15] M. R. Hoque, T. Ahmad, T. McNutt, A. Mantooth, and M. M. Mojarradi, “Design technique of an on-chip, high-voltage charge pump in SOI,” in IEEE Int. Circuits Syst. Symp., Mar. 2006, pp. 133–136. [16] V. Jimenez, J. Pons, M. Domingues, A. Bermejo, L. Castaner, H. Nieminen, and V. Ermolov, “Transient dynamics of a MEMS variable capacitor driven with a Dickson charge pump,” Sens. Actuators A. Phys., vol. 128, no. 1, pp. 89–97, Mar. 2006.
W
Q
Q
[17] K. Chen, A. Kovacs, and D. Peroulis, “Anti-biased RF MEMS varactor topology for 20–25 dB linearity enhancement,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1142–1145. [18] G. M. Rebeiz, RF MEMS Theory, Design, and Technology. Boston, MA: Wiley, 2003. [19] K. Buisman, L. C. N. de Vreede, L. E. Larson, M. Spirito, A. Akhnoukh, T. L. M. Scholtes, and L. K. Nanver, “Distortion-free varactor diode topologies for RF adaptivity,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 157–160. [20] R. G. Meyer and M. L. Stephens, “Distortion in variable-capacitance diodes,” IEEE J. Solid-State Circuits, vol. SSC-10, no. 1, pp. 47–54, Jan. 1975. [21] G. M. Rebeiz, “Phase-noise analysis of MEMS-based circuits and phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1316–1323, May 2002. [22] Y. Lu, “RF MEMS devices and their applications in reconfigurable rf/microwave circuits,” Ph.D. dissertation, Dept. Elect. Comput. Eng., The Univ. Michigan at Ann Arbor, Ann Arbor, MI, 2006. [23] H. Hsu, S. W. Lee, and D. Peroulis, “ -band loss characterization of electroplated nickel for RF MEMS devices,” in IEEE AP-S Int. Symp., Jun. 2007, pp. 289–292. [24] H. Hsu and D. Peroulis, “An experimental investigation on viscoelastic behavior in tunable planar RF-MEMS resonators,” in IEEE MTT-S Int. Microw. Symp. Dig., Mar. 2010, pp. 1150–1153. [25] D. M. Pozar, Microwave Engineering, Third ed. Boston, MA: Wiley, 2005.
K
Kenle Chen (S’10) received the Bachelor’s degree in communication engineering from Xi’an Jiaotong University, Xi’an, China, in 2005, the Master’s degree in electronics and information engineering from Peking University, Beijing, China in 2008, and is currently working toward the Ph.D. degree at Purdue University, West Lafayette, IN. From 2007 to 2008, he was with the Institute of Micro Electronics, National Key Laboratory of Micro/Nano Fabrication, Peking University, where his research focused on RF MEMS switches, tunable filters, and vacuum packaging. He is currently with the School of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University. His research interests include highly efficient broadband power amplifiers, adaptive power amplifiers and transmitters, and novel RF MEMS circuits.
Q
Q
n
Xiaoguang Liu (S’07) received the Bachelor’s degree in electrical engineering from Zhejiang University, Hangzhou, China, in 2004, and the Ph.D. degree from Purdue University, West Lafayette, IN, in 2010. He is currently a Postdoctoral Research Associate with the Department of Electrical and Computer Engineering and Birck Nanotechnology Center, Purdue University. His research interests include novel RF MEMS devices and high- tunable filters for reconfigurable radio frontends. Dr. Liu was the recipient of the 2009 IEEE Antenna and Propagation Society Graduate Research Fellowship.
Q
Andrew Kovacs (S’09) received the B.S. and M.S. degrees in electrical engineering from Purdue University, West Lafayette, IN, in 2005 and 2008, respectively. He is currently a graduate student with Purdue University. His current research specialties are harsh environment sensors and uncertainty quantification in MEMS devices.
CHEN et al.: ANTIBIASED ELECTROSTATIC RF MEMS VARACTORS AND TUNABLE FILTERS
William J. Chappell (S’98–M’02) received the B.S.E.E., M.S.E.E., and Ph.D. degrees from The University of Michigan at Ann Arbor, in 1998, 2000, and 2002, respectively. He is currently an Associate Professor with the Electrical and Computer Engineering Department, Purdue University, West Lafayette, IN, and is also a member of the Birck Nanotechnology Center and the Center for Wireless Systems and Applications. His research focus is on advanced applications of RF and microwave components. He has been involved with numerous Defense Advanced Research Projects Agency (DARPA) projects involved in advanced packaging and materials processing for microwave applications. His research sponsors include the Homeland Security Advanced Research Projects Agency (HSARPA), Office of Naval Research (ONR), National Science Foundation (NSF), the State of Indiana, Communications-Electronics Research, Development, and Engineering Center (CERDEC), Army Research Office (ARO), as well as industry sponsors. His research group uses electromagnetic analysis, unique processing of materials, and advanced design to create novel microwave components. His specific research interests are the application of very high-quality and tunable components utilizing package-scale multilayer components. In addition, he is involved with high-power RF systems, packages, and applications. Dr. Chappell was the recipient of the URSI Young Scientist Award, the Joel Spira Teaching Excellence Award, and the Eta Kappa Nu 2006 Teacher of the Year Award presented by Purdue University.
3981
Dimitrios Peroulis (S’99–M’04) received the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 2003. He has been with Purdue University, West Lafayette, IN, since August 2003, where he is currently leading a group of graduate students on a variety of research projects in the areas of RF MEMS, sensing and power harvesting applications, as well as RF identification (RFID) sensors for the health monitoring of sensitive equipment. He has been a Principle Investigator (PI) or a co-PI in numerous projects funded by government agencies and industry in these areas. He is currently a key contributor in two Defense Advanced Research Project Agency 1000) (DARPA) projects at Purdue, which focus on very high-quality ( RF tunable filters in mobile form factors (DARPA Analog Spectral Processing Program, Phases I, II and III) and on developing comprehensive characterization methods and models for understanding the viscoelasticity/creep phenomena in high-power RF MEMS devices (DARPA M/NEMS S&T Fundamentals Program, Phases I and II). Furthermore, he leads the experimental program on the Center for the Prediction of Reliability, Integrity and Survivability of Microsystems (PRISM) funded by the National Nuclear Security Administration. In addition, he heads the development of the MEMS technology in a U.S. Navy project (Marines) funded under the Technology Insertion Program for Savings (TIPS) program focused on harsh-environment wireless microsensors for the health monitoring of aircraft engines. He has authored or coauthored over 110 refereed journal and conference publications in the areas of microwave integrated circuits and antennas. Dr. Peroulis was the recipient of the 2008 National Science Foundation CAREER Award. His students have been the recipients of numerous Student Paper Awards and other student research-based scholarships. He has also been the recipient of eight teaching awards including the 2010 HKN C. Holmes MacDonald Outstanding Teaching Award and the 2010 Charles B. Murphy Award, which is Purdue University’s highest undergraduate teaching honor.
Q>
3982
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
An Experimental Concentric Near-Field Plate Mohammadreza F. Imani, Student Member, IEEE, and Anthony Grbic, Member, IEEE
Abstract—We present a concentrically corrugated near-field plate that can form a subwavelength near-field focal spot. The experimental plate consists of a coaxial aperture surrounded by nonperiodic concentric corrugations. The measured subwavelength patterns are shown to be significantly narrower than those created by a coaxial probe (without corrugations) of similar dimensions. Close agreement between simulated and measurement results is observed. Further, the subwavelength beam emitted by the corrugated near-field plate is shown to be narrower that of the coaxial probe, confirming the superior electromagnetic confinement achieved by the near-field plate over a focal length. Finally, the near-field plate is used to image two sources separated by subwavelength distances. The images obtained using the near-field plate exhibit significantly higher resolution than those obtained using a coaxial probe. The reported near-field plate will find use in near-field probing and microscopy applications. Index Terms—Electromagnetic (EM) probes, EM theory, metamaterial, near-field imaging, super resolution techniques.
I. INTRODUCTION
M
ETHODS OF overcoming the diffraction limit have been an active research topic for nearly a century ever since Synge [1] first proposed tapping into an object’s evanescent spectrum to obtain subwavelength resolutions. Ash and Nicholls [2] experimentally verified Synge’s early proposal and near-field microscopy has been a reality since [3], [4]. In near-field microscopy, electrically small probes such as tapered waveguide apertures and metallic and dielectric tips are used to obtain subwavelength resolution images [5], [6]. These probes provide high resolution by confining electromagnetic energy to subwavelength dimensions. In order to maintain high resolutions, the object must be placed extremely close to the probe due to the strong divergence of radiation from the electrically small probe. However, high resolution at larger operating distances is desired in many applications. In order to achieve high resolution at extended distances, the strong divergence of electromagnetic field from a small aperture has to be overcome. In this paper, we present near-field plates as a possible solution. Manuscript received July 02, 2010; revised September 29, 2010; accepted October 05, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by a Presidential Early Career Award for Scientists and Engineers (FA9550-09-1-0696), a National Science Foundation (NSF) Faculty Early Career Development Award (ECCS-0747623), and under the Multidisciplinary University Research Initiative Program (FA9550-06-01-0279). This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the Radiation Laboratory, Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, Ann Arbor, MI 48109 USA (e-mail: [email protected]: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2088136
With the introduction of the superlens concept by Pendry [7], metamaterials have become a candidate solution for overcoming the diffraction limit and obtaining higher resolutions at extended near-field focal lengths. While metamaterials and superlenses have attracted much interest over the past decade [8]–[11], they have also inspired other planar devices capable of forming subwavelength focal patterns [12]–[15]. These devices have been referred to as near-field plates. Devices similar in principle, termed holography-inspired screens and spatially beam-shifted transmission screens, have also been pursued by others [16], [17]. A near-field plate is a nonperiodically patterned surface that can form a subwavelength focus. The patterned surface acts as a nonperiodic surface reactance that supports a highly oscillatory field which forms a subwavelength focal spot at a near-field distance. Near-field plates provide a number of advantages over conventional near-field probes. The subwavelength focal pattern produced by the near-field plate can be tailored by simply patterning its surface in different ways. This is not the case with conventional probes. In addition, a near-field plate has a larger operating distance (focal length) than a conventional probe. Therefore, a given subwavelength resolution can be maintained at a greater distance than would be possible using a conventional probe [18], [19]. The procedure used to design near-field plates is frequency independent. While the initial near-field plates operated at microwave frequencies, they can also be designed at higher frequencies. For example, theoretical near-field plates at optical wavelengths have been proposed in [20]–[23]. Finally, it should be emphasized that near-field plates were originally inspired by negative refractive index superlenses, but their operation is distinct. Superlenses are bulk periodic structures, while near-field plates are nonperiodic surfaces or arrays. As a result, near-field plates are much simpler to fabricate. In [14], an experimental near-field plate was shown to achieve a focal pattern with full width at half maximum (FWHM) of at 1 GHz. Since that time, various other near-field plate implementations have been pursued. Near-field plates implemented using corrugated surfaces have been studied in [24] and [25]. A design procedure for a near-field plate consisting of a waveguide-fed slit surrounded by linear nonperiodic corrugations was outlined in [24]. It was shown that the nonperiodic corrugations could form a magnetic-field focal pattern at 1 GHz at a focal length. with a null-to-null beamwidth of These simulation results were experimentally verified in [26]. In [25], a near-field plate consisting of concentric corrugations surrounding a coaxial aperture (shown in Fig. 1) was designed. Simulations were presented confirming the near-field plate’s ability to form a 2-D subwavelength focus. In [27], a concentrically corrugated near-field plate was examined through simulation, and preliminary experimental results demonstrating its
0018-9480/$26.00 © 2010 IEEE
IMANI AND GRBIC: EXPERIMENTAL CONCENTRIC NEAR-FIELD PLATE
3983
TABLE I NORMALIZED GROOVES VOLTAGES AND DEPTH VARIATIONS OF THE DESIGNED NEAR-FIELD PLATE. THE VOLTAGES ARE NORMALIZED TO THE INCIDENT VOLTAGE COMPUTED USING [25, eq. (6)]
Fig. 1. Cross section of the concentrically corrugated near-field plate and the semirigid probe used to measure its near-field patterns. The concentric corrugations have uniform width and spacing, while their depths are varied nonperiodL. ically in order to form the desired focal pattern at z
=
performance were reported for the first time. The electric field patterns produced by the concentrically corrugated near-field plate were measured at various distances. It was confirmed that the near-field plate produces focal patterns with significantly narrower beamwidths compared to those produced by a coaxial probe of the same dimensions. The measured electric field patterns were compared to those numerically computed for the measurement setup and exhibited close agreement, thereby verifying the design procedure. Furthermore, the near-field plate’s experimental frequency response was shown to be consistent with simulation results. In this paper, the performance of a concentrically corrugated near-field plate as a high resolution near-field probe is studied. In the first section, the near-field plate design procedure and simulation results are described. In the following section, we briefly review the experimental results presented in [27]. The focal patterns reported in [27] are for a near-field plate that is not impedance matched, while the experimental results reported in this paper are for a near-field plate that has been matched. It is shown that by matching the near-field plate, the field strength increases, and as a result the measured patterns are smoother (less noisy) and closer to those from simulation. Next, the measured 2-D focal spot formed by the near-field plate is presented and compared to the 2-D pattern formed by a coaxial probe. The near-field plate is shown to create a significantly smaller focal spot. In addition, 2-D plots of the beams emitted by both the near-field plate and the coaxial probe are compared. The comparison confirms that the beam diverges more rapidly from the coaxial probe than from the near-field plate. The beam emitted from the near-field plate is confined over a larger operating distance (a focal length). In the last section, the near-field plate is used to create 1-D and 2-D images of two in-phase dipole (coaxial) sources separated by subwavelength distances. The images obtained using the near-field plate exhibit significantly higher resolution than those obtained using a conventional coaxial probe. Lastly, it should be noted that the corrugated near-field plate reported in this paper is fundamentally different from corrugated surfaces studied earlier [28]–[31] (and references therein). The earlier works considered periodic corrugations that enhanced
transmission and beamed radiation into the far field. In contrast, the corrugated near-field plate presented here possesses nonperiodic grooves, which manipulate the near field to create a subwavelength focus at a near-field focal distance. Even in [32], where a nonperiodic corrugation was considered, the device still operated in the far field and achieved diffraction-limited focusing. II. DESIGN AND SIMULATION The concentrically corrugated near-field plate is shown in Fig. 1. It consists of a coaxial aperture surrounded by concentric corrugations with uniform width and spacing. In the design procedure, detailed in [25], the corrugation depths are nonperiodically varied to form a prescribed near-field pattern of the -com. Following ponent of the electric field at the focal plane this design procedure, a near-field plate was designed to produce m of a subwavelength Airy focal pattern at 1.0 GHz the following form: (1) where , is the wavenumber in free space, and is the Bessel function. To simplify fabrication, the designed nearfield plate has only four concentric grooves. The focal plane is mm. The central coaxial assumed to be at mm and feed has inner and outer radii equal to mm, which correspond to standard semirigid coaxial cable dimensions. The width of each groove is , and and the inner and outer radii of the grooves are for . Using the dimensions stated above, the depths of the concentric corrugations were systematically designed. The grooves were modeled as frills (magnetic current loops) [33] in free space, by employing the equivalence principle and image theory [25]. The focal pattern can be viewed as an interference pattern produced by the frills. The complex amplitudes of the frill voltages needed to produce the desired focal pattern (given by (1)) were computed using the point matching method, as described in [25]. The groove depths required to produce the required frill voltages were then found through scattering simulations [25]. and groove depths are listed in Table I. The frill voltages It should be noted that the signs of the voltage phases for adjacent elements (frills) are reversed, which is a characteristic feature of a near-field plate’s aperture field. It is also reminiscent of the aperture field of superdirective antenna arrays [34]. In both structures, the rapid phase variation increases the reactive power compared to that radiated, resulting in a high quality
3984
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
factor [18], [34]. Due to this high , both near-field plates and superdirective antenna arrays are narrowband (see [34] and [27]). They possess small input resistances and large input reactances, resulting in a significant input impedance mismatch. While near-field plates and superdirective antenna arrays share these features, they are also distinct. Primarily, near-field plates produce a prescribed subwavelength near-field pattern, while superdirective antenna arrays produce a far-field pattern that is more directive than that produced by a uniformly excited aperture of the same size. Further, since near-field plates operate in the near-field and superdirective arrays in the far field, they are designed using entirely different procedures. The difference is evident from a comparison of the step-by-step design procedure for near-field plates outlined in [13], [14], and [25] with that used to design superdirective arrays [35]. While several different experimental near-field plates have been reported in literature to date [14], [16], [27], superdirective antenna arrays have remained difficult to realize [36], [37]. One reason is that the tolerances on the magnitude and phase of the element excitations are exceedingly tight, making the feed extremely challenging to implement. Since near-field plates operate in the reactive near field, these tolerances are less stringent simplifying fabrication. Superdirective antennas with passive reflectors or parasitic elements have also been pursued recently. Superdirective reflector arrays and parasitic arrays of electrically small antennas were designed in [36]–[38], respectively. Much like in near-field plates, mutual coupling between elements was exploited to minimize the complexity and loss of the feeding structure. These superdirective arrays, however, are still quite distinct from near-field plates. They are composed of identical, resonant elements that generate a superdirective far-field pattern, while the elements of a near-field plate are nonperiodically varied to produce a subwavelength near-field pattern. The -directed electric-field focal pattern produced by the is shown in Fig. 2, and frill voltages at the focal plane denoted as Frills. The designed near-field plate, with grooves’ depths listed in Table I was simulated using the commercial finite-element electromagnetic solver Comsol Multiphysics. The focal pattern produced by the near-field plate at 1.01195 GHz is shown in Fig. 2 and is labeled Near-Field Plate. The Frills and Near-Field Plate patterns show close agreement, and thereby verify the design procedure. For comparison purposes, the nearpattern produced by a coaxial probe (without corfield rugations) is also depicted in Fig. 2, and denoted as Coaxial. References [25] and [27] can be consulted for the detailed design procedure and specifics pertaining to the full-wave modeling of corrugated near-field plates. In the next section, the fabricated structures and setup used to measure them are described. Electric field measurements in 1-D and 2-D for both a coaxial probe and the corrugated near-field plate of equal dimensions are reported. It is shown that the simulated and experimental results are in close agreement, thereby validating the design procedure of the concentric near-field plate and its performance. III. MEASUREMENTS The designed near-field plate was fabricated to a 12.5- m (0.5 mil) accuracy by electric discharge machining (EDM) an
Fig. 2. Simulated electric (E ) near-field at z = L = 20 mm. The plot labeled Frills represents the focal pattern produced by the computed magnetic frills. The plot labeled Near-Field Plate represents the focal pattern formed by the lossless, concentrically corrugated near-field plate. The plot labeled Coaxial represents the near-field pattern formed by a lossless coaxial probe of the same dimensions and without corrugations.
Fig. 3. (a) Concentrically corrugated near-field plate fabricated from an aluminum cylinder using sink EDM. The near-field plate is fed by an SMA connector. (b) Coaxial probe consisting of a coaxial aperture in a cylindrical aluminum cylinder fed by an SMA connector (from [27]).
aluminium cylinder [see Fig. 3(a)]. For comparative purposes, a coaxial probe of equal dimensions, consisting of a single coaxial aperture and no corrugations, was also fabricated and is shown in Fig. 3(b). The experimental setup used to measure the -directed electric-field patterns produced by the concentric near-field plate is illustrated in Fig. 1. In the setup, a semirigid probe, with an inner conductor radius of 0.46 mm and outer conductor radius of 1.8 mm, was used to detect the near-field plate’s electric-field patterns. The inner conductor of the semirigid probe was extended 4.5 mm to increase the signal strength received by the probe. The distance between the outer conductor of the semirigid probe and the surface of the corrugated plate was considered the measurement distance. Therefore, to measure a focal mm, the semirigid probe was pattern at placed such that its outer conductor was 20 mm from the surface of the near-field plate. In the experiments, the semirigid probe translation stage. In this was moved using an automated
IMANI AND GRBIC: EXPERIMENTAL CONCENTRIC NEAR-FIELD PLATE
3985
Fig. 5. Measured 2-D electric near-field patterns (z = 15 mm) produced by the: (a) coaxial probe and (b) concentrically corrugated near-field plate.
=
Fig. 4. (a) Electric near-field patterns at z 20 mm detected using the measurement set up described in Fig. 1. Corrugated Measurement and Coaxial Measurement are the measured near-field patterns formed by the matched concentrically corrugated plate and coaxial probe, respectively. Corrugated Simulation and Coaxial Simulation are the corresponding simulated near-field patterns. (b) Electric near-field patterns at z = 15 mm. (c) Electric near-field patterns at z = 10 mm. The simulation results include dielectric and conductor losses.
section, we present the experimental patterns presented in [27] for the case when the near-field plate is impedance matched. At the experimental frequency of 1.0105 GHz [27], the reflection coefficient was measured to be 0.75 dB. Such a high reflection coefficient is expected, given that the feed of the near-field plate terminates in an open circuit. However, when the near-field plate was used as a probe in the experiments, a
lower reflection coefficient was needed to increase its sensitivity: the received signal strength. Therefore, the near-field plate was matched using a double stub tuner to obtain a reflection coefficient of 6.5 dB at 1.0105 GHz. In addition, the semirigid probe used in the measurement setup shown in Fig. 1 was also matched using a double stub tuner to a reflection coefficient of 13.3 dB. In the following, the focal patterns formed by the matched near-field plate and measured using the matched semirigid probe are presented. near-field patterns measured along the focal The electric plane mm are shown in Fig. 4(a). The focal pattern formed by the near-field plate is labeled Corrugated Meamm . surement and exhibits an FWHM of At the same distance, the experimental pattern formed by the coaxial probe (without corrugations) is labeled Coaxial Meamm surement. This pattern exhibits an FWHM of , which is 1.79 times wider than that produced by the near-field plate. It should be noted that both the coaxial and corrugated patterns are subwavelength in size since the focal plane is within the structures’ near field. However, at this same focal distance, the concentric corrugations allow for a significantly narrower spot. Plotted in Fig. 4(a) is also a third pattern labeled Corrugated Simulation. It is the simulated focal pattern of the near-field plate detected by the semirigid probe along the mm. It is computed using the Ansoft focal plane HFSS finite-element electromagnetic solver. Similarly, Coaxial
3986
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 7. Resolving two dipole (coaxial) sources located at z = 15 mm and separated by: (a) s = 9:1 mm = =33 and (b) s = 13:8 mm = =21:74 along the x-axis (y = 0). The plot labeled Corrugated denotes the electric near-field pattern measured using the concentrically corrugated near-field plate. The plot labeled Coaxial denotes the pattern measured using the coaxial probe. The plot labeled Probe denotes the pattern measured using a semirigid coaxial probe (with inner radius 0.52 mm, outer radius 2.20 mm, and inner conductor is 5.2 mm extended).
Fig. 6. Measured beam emitted from: (a) the coaxial probe and (b) the concentrically corrugated near-field plate. In both plots, the measured electric field z plane is normalized to its maximum value: E (; z = along each z z )=E ( = 0; z = z ). The near-field patterns along z = 0:066 = 20 mm, z = 0:05 = 15 mm, and z = 0:033 = 10 mm are plotted in Fig. 4.
=
Simulation is the simulated electric-field pattern of the coaxial probe detected by the semirigid probe at the same distance. It should be noted that the HFSS simulations are reported at the design frequency of 1.01195 GHz, while the experimental results are reported at 1.0105 GHz: the fabricated plate’s operating frequency. This 0.14% frequency shift can be attributed to the 12.5- m tolerance of the EDM process used to fabricate the near-field plate. Fig. 4(b) and (c) shows the simulated and measured electric-field patterns at mm and
mm, respectively. Once again, the measurement results show excellent agreement with simulation. mm and mm [see The patterns measured at Fig. 4(b) and (c)] are considerably less noisy than the patterns reported in [27, Fig. 4]. This is due to the fact that the nearfield plate and semirigid probe are matched, and the detected signal strength is significantly higher. Specifically, the measured pattern for the matched case is 7 dB higher than the measured pattern for the unmatched case at the same distance. To demonstrate the near-field plate’s ability to confine the electromagnetic near field to a symmetric narrow spot, the mm for both the measured 2-D electric field patterns at corrugated near-field plate and the coaxial probe are depicted in Fig. 5. Finally, the emitted beam from the coaxial probe and the corrugated near-field plate are compared in Fig. 6. In along each the plot, the measured electric field plane has been normalized to its corresponding maximum value: . These two figures confirm the superior ability of the near-field plate to confine the electromagnetic near field over an extended operating distance. In the next section, the electromagnetic confinement provided by the near-field plate is used to resolve two sources separated by subwavelength distances.
IMANI AND GRBIC: EXPERIMENTAL CONCENTRIC NEAR-FIELD PLATE
=
Fig. 8. Resolving two dipole (coaxial) sources located at z 15 mm and separated by s = 9:1 mm = =33 along the x axis. (a) 2-D electric near-field pattern measured using the coaxial probe. (b) 2-D pattern measured using the corrugated near-field plate.
IV. DETECTION OF SOURCES Fig. 5 demonstrates that the concentrically corrugated nearfield plate produces higher resolution focal spots than a coaxial probe. This also suggests that the near-field plate could provide higher resolution when imaging sources. To test this, two similar dipole sources (coaxial) separated by a distance were placed at a distance mm from the near-field plate. The distance is defined as the distance between the centers of the two dipole sources. The concentrically corrugated near-field plate and the coaxial probe were then used to image the two sources by detecting the electric field emitted by them. Fig. 7 shows the detected patterns for two different separation distances . The pattern measured by the near-field plate is denoted as Corrugated, while Coaxial denotes the pattern measured by the coaxial probe. For comparative purposes, the pattern measured by a simple semirigid probe (with inner radius 0.52 mm, outer radius 2.20 mm, and a 5.2 mm extended inner conductor) is also shown in Fig. 7, and is denoted as Probe. Fig. 7(a) shows the extreme case when the sources cannot be resolved by the coaxial probe. In this figure, the sources have mm apart. In contrast, the nearbeen placed field plate can distinguish the two sources at the same separation distance [see Fig. 7(a)]. The distance between the two peaks mm , measured by the corrugated near-field plate is while the physical separation between the two sources is mm . The difference is due to mutual interaction of the two sources. The 2-D patterns measured using the nearfield plate and the coaxial probe are shown in Fig. 8. The two sources are easily distinguishable from the pattern measured by
3987
Fig. 9. Resolving two dipole (coaxial) sources located at z = 15 mm and separated by s = 13:8 mm = =21:74 along the x axis. (a) 2-D electric near-field pattern measured using the coaxial probe. (b) 2-D pattern measured using the corrugated near-field plate.
the near-field plate, while the pattern measured by the coaxial probe shows only one source. Fig. 7(b) shows another extreme, where the two sources are resolvable by the coaxial probe. In this case, the two sources are mm apart. It is evident that the placed near-field plate can resolve the two sources completely, while the coaxial probe can barely distinguish them. The separation between the two sources is observed using the corrugated nearmm , which is very close to the field plate is mm . The physical separation distance of slight difference is due to the finite step size of the -translation stage used in the measurement setup. The higher resolution obtained using the corrugated near-field plate in resolving the two sources is more pronounced in the 2-D patterns shown in Fig. 9. V. CONCLUSION In this paper, the experimental performance of a concentrically corrugated near-field plate possessing four grooves was investigated. The electric field patterns produced by the corrugated plate and a simple coaxial probe (without corrugations) of the same dimensions were measured at different focal distances at approximately 1 GHz and compared. The subwavelength electric-field pattern produced by the corrugated nearmm was shown to possess an field plate at FWHM that is 1.79 times narrower than that of the coaxial probe. Also, measurement and simulation results were shown to be in close agreement, thus validating the procedure used to design the near-field plate. In addition, the beam emitted by the corrugated near-field plate was significantly narrower than that emitted by the coaxial probe, thus confirming the near-field
3988
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
plate’s superior ability to confine electromagnetic field over a focal length (an extended operating distance). Finally, the nearfield plate was used to image two coaxial sources placed subwavelength distances apart. The images obtained using the nearfield plate exhibited significantly higher resolution than those obtained using the coaxial probe. The results reported in this paper demonstrate that nonperiodic concentrically corrugated surfaces (near-field plates) can provide new opportunities to develop high resolution near-field probes and sensors. REFERENCES [1] E. H. Synge, “A suggested method for extending the microscopic resolution into the ultramicroscopic region,” Philosoph. Mag., vol. 6, 1928, Art. ID 35636. [2] E. A. Ash and G. Nicholls, “Super-resolution aperture scanning microscope,” Nature, vol. 237, pp. 510–511, 1972. [3] D. W. Pohl, W. Denk, and M. Lanz, “Optical stethoscopy: Image recording with resolution =20,” Appl. Phys. Lett. vol. 44, no. 7, pp. 651–653, 1984. [Online]. Available: http://link.aip.org/link/?APL/44/ 651/1 [4] A. Lewis, M. Isaacson, A. Harootunian, and A. Murray, “Development of a 500-Å spatial-resolution light-microscope,” Ultramicroscopy, vol. 13, pp. 227–231, 1984. [5] L. Novotny and B. Hecht, Principles of Nano-Optics. Cambridge, U.K.: Cambridge Unvi. Press, 2006. [6] S. M. Anlage, V. V. Talanov, and A. R. Schwartz, Principles of NearField Microwave Microscopy, S. V. Kalinin and A. Gruverman, Eds. New York: Springer-Verlag, 2007. [7] J. B. Pendry, “Negative refraction makes a perfect lens,” Phys. Rev. Lett., vol. 85, pp. 3966–3969, Oct. 2000. [8] A. Grbic and G. V. Eleftheriades, “Overcoming the diffraction limit with a planar left-handed transmission-line lens,” Phys. Rev. Lett., vol. 92, p. 117403, Mar. 2004. [9] D. Melville and R. Blaikie, “Super-resolution imaging through a planar silver layer,” Opt. Exp., vol. 13, pp. 2127–2134, Mar. 2005. [10] N. Fang, H. Lee, C. Sun, and X. Zhang, “Sub-diffraction-limited optical imaging with a silver superlens,” Science, vol. 308, pp. 534–537, Apr. 2005. [11] F. Mesa, M. J. Freire, R. Marques, and J. D. Baena, “Three-dimensional superresolution in metamaterial slab lenses: Experiment and theory,” Phys. Rev. B, Condens. Matter, vol. 72, Dec. 2005, Art. ID 235117. [12] R. Merlin, “Radiationless electromagnetic interference: Evanescentfield lenses and perfect focusing,” Science, vol. 317, pp. 927–929, Jul. 2007. [13] A. Grbic and R. Merlin, “Near-field focusing plates and their design,” IEEE Trans. Antennas Propag., vol. 56, no. 10, pp. 3159–3165, Oct. 2008. [14] A. Grbic, L. Jiang, and R. Merlin, “Near-field plates: Subdiffraction focusing with patterned surfaces,” Science, vol. 320, pp. 511–513, Apr. 2008. [15] A. Grbic, L. Jiang, and R. Merlin, “Near-field focusing plates,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 209–212. [16] G. V. Eleftheriades and A. M. H. Wong, “Holography-inspired screens for sub-wavelength focusing in the near field,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 236–238, Apr. 2008. [17] L. Markley, A. M. H. Wong, Y. Wang, and G. V. Eleftheriades, “Spatially shifted beam approach to sub-wavelength focusing,” Phys. Rev. Lett., vol. 101, Sep. 2008, Art. ID 113901. [18] M. F. Imani and A. Grbic, “An analytical investigation of near-field plates,” Metamaterials vol. 4, no. 2–3, pp. 104–111, 2010. [Online]. Available: http://www.sciencedirect.com/science/article/B8G3N-4YMY78Y-3/2/c65a30d1 0b06a30fb31402d006b78378, metamaterials–2009 Congress in London [19] L. Markley and G. Eleftheriades, “A near-field probe for subwavelength-focused imaging,” in IEEE MTT-S Int. Microw. Symp., Jun. 2009, pp. 281–284. [20] I. Tsukerman, “Superfocusing by nanoshells,” Opt. Lett., vol. 34, pp. 1057–1059, 2009. [21] R. Gordon, “Proposal for superfocusing at visible wavelengths using radiationless interference of a plasmonic array,” Phys. Rev. Lett., vol. 102, May 2009, Art. ID 207402. [22] H. Shi and L. J. Guo, “Design of plasmonic near field plate at optical frequency,” Appl. Phys. Lett., vol. 96, Apr. 2010, Art. ID 141107.
[23] Y. Wang, A. M. H. Wong, L. Markley, A. S. Helmy, and G. V. Eleftheriades, “Plasmonic meta-screen for alleviating the trade-offs in the near-field optics,” Opt. Exp., vol. 17, pp. 12 351–12 361, Jul. 2009. [24] M. Imani and A. Grbic, “Near-field focusing with a corrugated surface,” IEEE Antennas Wireless Propag. Lett., vol. 8, pp. 421–424, 2009. [25] M. F. Imani and A. Grbic, “Tailoring near-field patterns with concentrically corrugated plates,” Appl. Phys. Lett., vol. 95, Sep. 2009, Art. ID 111107. [26] M. Imani and A. Grbic, “Subwavelength focusing with a corrugated metallic plate,” in IEEE AP-S Int. Symp., Jun. 2009, pp. 1–4. [27] M. Imani and A. Grbic, “A concentrically corrugated near-field plate,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1648–1651. [28] L. Martín-Moreno, F. J. García-Vidal, H. J. Lezec, A. Degiron, and T. W. Ebbesen, “Theory of highly directional emission from a single subwavelength aperture surrounded by surface corrugations,” Phys. Rev. Lett., vol. 90, Apr. 2003, Art. ID 167401. [29] F. J. Garcia-Vidal, H. J. Lezec, T. W. Ebbesen, and L. Martin-Moreno, “Multiple paths to enhance optical transmission through a single subwavelength slit,” Phys. Rev. Lett., vol. 90, May 2003, Art. ID 213901. [30] D. R. Jackson, A. A. Oliner, T. Zhao, and J. T. Williams, “The beaming of light at broadside through a subwavelength hole: Leaky-wave model and open stopband effect,” Radio Sci., vol. 40, 2005, Art. ID RS3002. [31] F. J. García de Abajo, “Colloquium: Light scattering by particle and hole arrays,” Rev. Modern Phys., vol. 79, no. 4, pp. 1267–1290, Oct. 2007. [32] X. Luo, C. Wang, C. Du, H. Shi, H. Gao, J. Ma, Y. Fu, and H. Li, “Beaming light from a subwavelength slit surrounded by an array of grooves with different depth,” Proc. SPIE, vol. 5927, p. 59270X (8 pages), 2005. [33] A. Sakitani and S. Egashira, “Simplified expressions for the near fields of a magnetic frill current,” IEEE Trans. Antennas Propag., vol. AP-34, no. 8, pp. 1059–1062, Aug. 1986. [34] C. A. Balanis, Antenna Theory: Analysis, and Design, 2nd ed. New York: Wiley, 1996. [35] R. C. Hansen, Electrically Small, Superdirectivity, and Superconducting Antennas. New York: Wiley, 2006. [36] S. Bokhari, H. Smith, J. Mosig, J. Zurcher, and F. Gardiol, “Superdirective antenna array of printed parasitic elements,” Electron. Lett., vol. 28, no. 14, pp. 1332–1334, Jul. 1992. [37] A. D. Yaghjian, T. H. O’Donnell, E. E. Altshuler, and S. R. Best, “Electrically small supergain end-fire arrays,” Radio Sci., vol. 43, p. RS3002, 2008, DOI: 10.1029/2007RS003747. [38] V. Veremey, “Superdirective antennas with passive reflectors,” IEEE Antennas Propag. Mag., vol. 37, no. 2, pp. 16–27, Apr. 1995. Mohammadreza F. Imani (S’07) received the BSE degree in electrical engineering from the Sharif University of Technology, Tehran, Iran, in 2007, the MSE degree in electrical engineering from The University of Michigan at Ann Arbor, in 2010, and is currently working toward the Ph.D. degree in electrical engineering at The University of Michigan at Ann Arbor. His research interests include near-field electromagnetics and optics, metamaterials, and analytical electromagnetics.
Anthony Grbic (S’00–M’06) received the B.A.Sc., M.A.Sc., and Ph.D. degrees in electrical engineering from the University of Toronto, Toronto, ON, Canada, in 1998, 2000, and 2005, respectively. In January 2006, he joined the Department of Electrical Engineering and Computer Science, The University of Michigan at Ann Arbor, where he is currently an Assistant Professor. His research interests include engineered electromagnetic structures (metamaterials, electromagnetic-bandgap materials, frequency-selective surfaces), printed antennas, microwave circuits, and analytical electromagnetics. Dr. Grbic was the recipient of the Best Student Paper Award of the 2000 Antenna Technology and Applied Electromagnetics Symposium and a 2001 IEEE Microwave Theory and Techniques Society Graduate Fellowship. He was the recipient of the 2008 AFOSR Young Investigator Award and a National Science Foundation (NSF) Faculty Early Career Development Award. He was also the recipient of a 2010 Presidential Early Career Award for Scientists and Engineers.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3989
Cost-Effective 60-GHz Antenna Package With End-Fire Radiation for Wireless File-Transfer System Ryosuke Suga, Member, IEEE, Hiroshi Nakano, Yasutake Hirachi, Life Member, IEEE, Jiro Hirokawa, Senior Member, IEEE, and Makoto Ando, Fellow, IEEE
Abstract—This paper proposes a cost-effective antenna package suitable for the mobile terminal of the wireless file-transfer system. The antenna package provides the end-fire radiation from the open-ended post-wall waveguide built into the side of the package. The antenna package, in which a 60-GHz CMOS chip is attached, is mounted on the edge of a printed circuit board by the ball-grid-array assembly. The fabricated antenna, to be built 6.4 mm 1.0 mm. in the package, had the size of 4.8 mm The beamwidths of 120 (E-plane) and 72 (H-plane) and the gain of 2.2 dBi were measured at 60 GHz. The cost-effective antenna package with two antennas for the transmitter (Tx) and the receiver (Rx) was fabricated. The isolation between the Tx and Rx antenna was measured to be greater than 36 dB in the frequency range of 59–66 GHz. Moreover, the antenna with higher gain required from the system was fabricated. The gain of 6 dBi in the range of 58–68 GHz was measured. The beamwidth of 60 (E-plane) and 30 (H-plane) at 60 GHz were measured, respectively. Index Terms—Antenna package, cost-effective, IEEE 802.15.3c, millimeter-wave, unlicensed 60-GHz band, wireless file-transfer.
I. INTRODUCTION IRELESS file-transfer systems are expected as a practical attractive application of the unlicensed 60-GHz band (59–66 GHz in Japan) [1], [2]. In order to realize the mobile terminal of this system, there are the following subjects; the low cost, the low power consumption, the small size, and the low profile. The cost reduction is one of the most important issues. In particular, the fabrication of a printed circuit board (PCB) and the packaging of devices, such as a CMOS chip and an antenna to a PCB, are important to reduce the total cost. The specifications and packaging of the antenna are also very important, since they largely affect the characteristics of the system. The mobile terminal in the wireless file-transfer
W
Manuscript received June 30, 2010; revised September 30, 2010; accepted October 05, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported in part by “The research and development project for expansion of radio spectrum resources” of The Ministry of Internal Affairs and Communications, Japan. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. R. Suga, J. Hirokawa, and M. Ando are with the Department of Electrical and Electronic Engineering, Tokyo Institute of Technology, Tokyo 152-8552, Japan (e-mail: [email protected]; [email protected]; [email protected]). H. Nakano and Y. Hirachi are with AMMSys Inc., Tokyo 145-0062, Japan (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2087032
Fig. 1. Usage model of the wireless file-transfer systems. (a) Download from fixed terminal to mobile terminal. (b) Mobile-to-mobile communication.
system, as shown in Fig. 1, is radiating the rectilinear millimeter wave from its side-face pointing at the fixed terminal or another mobile terminal. This means that the direction of the radiation should be parallel to the PCB in the terminal. Therefore, the packaging of the antenna to the PCB is very important for determining the radiation characteristics of the antenna. Small low-cost antennas integrated on a chip or in a package have been developed [3]–[9]. These antennas, however, have a broad beamwidth, so there is no need for alignment or radiation perpendicular to the PCB. A few antennas radiating parallel to the PCB have been reported [9], [10]. This paper proposes a cost-effective antenna package suitable for the mobile terminal in the wireless file-transfer system. A post-wall waveguide-aperture antenna integrated in the side of the proposed antenna package can radiate parallel to the substrate. In this paper, the post-wall waveguide-aperture antenna is designed and evaluated for confirmation of the design validity of the antenna. The cost-effective, surface-mount, 60-GHz antenna package with two antennas for Tx and Rx is fabricated. The isolation between the Tx and the Rx antennas is measured. Finally, an antenna with the gain required for a wireless file-transfer system is fabricated and evaluated. II. PROPOSAL OF COST-EFFECTIVE 60-GHz ANTENNA PACKAGE WITH END-FIRE RADIATION A. Proposed Antenna Package This paper proposes a package with antennas suitable for the wireless file-transfer system using the 60-GHz band, as shown in Fig. 2. A 60-GHz CMOS chip is mounted in the package
0018-9480/$26.00 © 2010 IEEE
3990
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 3. Fabrication process of the antenna package.
Fig. 2. Cross-sectional view of the proposed antenna package and the post-wall waveguide-aperture antenna. Fig. 4. Fabricated post-wall waveguide-aperture antenna.
made of low-cost, multilayered organic materials. The package has two antennas with end-fire radiation composed of the openended post-wall waveguide [11]. The antenna can radiate in the direction parallel to the PCB from the antenna aperture of the waveguide. Moreover, the antenna is very cost-effective, especially in high-volume production, because it can be fabricated simultaneously with the package. Finally, the antenna package is mounted on the edge of the PCB by the ball-gridarray (BGA) assembly. In this paper, the package is called an antenna package as it has antennas. B. Structure of the Antenna The fabrication process of the antenna is shown in Fig. 3. The antenna was made of a post-wall waveguide layer and two microstrip-line (MSL) layers. The MSL layers made of thin buildup film were laminated on the top and bottom of the post-wall waveguide layer. The substrates for the MSL and post-wall waveguide layer are an epoxy substrate (ABF film from Ajinomoto Fine-techno Co., Inc.) and a glass cloth resin substrate (MCL-LZ-71G from Hitachi Chemical Co., Ltd), respectively. The post-wall waveguide is made by an interstitial via hole (IVH) process, and the MSL layer is made by the buildup process. These processes are commonly used for multilayer PCB process. The post-wall waveguide is formed between metal 1 and metal 4. The antenna aperture was made of the open-ended post-wall waveguide, and the MSL/waveguide transition and the GSG pad were printed on the top MSL layer. The circuits and pads for the interconnection between the package and the PCB were structured on the bottom MSL layer. The antenna is called a post-wall waveguide-aperture antenna in this paper.
III. POST-WALL WAVEGUIDE-APERTURE ANTENNA A. Antenna Design was designed to be The input impedance of the antenna for impedance matching under the condition that 50bonding wires were connected as shown in Fig. 2. The length and the diameter of the wire are 270 and 25 m considering the is the inductance of the bonding mounting process. Here, wire. The dielectric constants of the substrates for the postwall waveguide layer and the MSL layer were measured in the millimeter-wave band by the cutoff circular waveguide method [12]. The measured dielectric constants are: the relative permit, the dielectric loss tangent for tivity the post-wall waveguide layer, and , for the MSL layer. The antenna was designed by using the 3-D EM simulator Ansoft High Frequency Structure Simulator (HFSS). The fabricated antenna is shown in Fig. 4. The total size of the 6.4 mm 1.0 mm. The other fabricated antenna is 4.8 mm design details are reported in [13]. B. Antenna Characteristics of the antenna seen from the The reflection coefficient is 8 dB at GSG pad is shown in Fig. 5. The designed with the wire as shown in Fig. 2 62.5 GHz. The designed is less than 10 dB in the frequency range 59–66 GHz. The reflection coefficient of the fabricated antenna was measured from the GSG pad by using an RF probe. The measured was shifted about 5% to a higher frequency compared with the designed one. The cause for the frequency shift of 5% in the
SUGA et al.: COST-EFFECTIVE 60-GHz ANTENNA PACKAGE WITH END-FIRE RADIATION
3991
Fig. 6. Fabricated antenna and the test jig to measure the radiation characteristics of the antenna. Fig. 5. Reflection coefficient of the antenna.
TABLE I MEASURED DIELECTRIC CONSTANTS AND THE THICKNESS OF THE SUBSTRATE FOR EACH LAYER IN THE PACKAGE
measured was investigated as due to anisotropic dielectric of the post-wall waveguide layer. The dominant mode of the post-wall waveguide is designed mode. Thus, the vertical components of the dito be the electric constants were effective in the post-wall waveguide. The anisotropy of the dielectric constants is due to the glass fibers arranged in the horizontal axis in the substrate. The frequency shift is caused by the anisotropic dielectric constant of the post-wall waveguide layer. The measured anisotropic dielectric constants and the thickness of each layer in the package are summarized in Table I. The vertical components of the dielectric constants were measured by using the balanced-type circular disk rescononator [14]. The frequency trend of the recalculated sidering anisotropic dielectric constants agreed with the measured one, as shown in Fig. 5. The gain and radiation pattern of the antenna were measured in an anechoic chamber using the test jig shown in Fig. 6. The jig was made of a V-connecter, a ceramic substrate, and a metal block. The antenna is mounted on the tip of the test jig. The ceramic substrate was connected with the GSG pad on the antenna by bonding wires. A back-to-back-structured two-test jigs, in which two V-connectors are connected each other, was measured for evaluating the loss of the jig by contacting RF probes on each conducter-backed CPW of two ceramic substrates. The feeding power was calibrated at the GSG pad on the antenna for the gain measurement. The measured radiation patterns of the antenna at 60 GHz are shown in Fig. 7. The patterns predicted by using HFSS with simplified modeling of the metal block in Fig. 6 are also presented as the designed ones in the figure. It was confirmed that the proposed antenna provides the end-fire radiation as shown in Fig. 2. The measured pattern, as a whole, agrees well with
Fig. 7. Radiation pattern at 60 GHz.
the designed one. In the E-plane at the angle 0 to 90 , on the other hand, the significant difference between the designed and measured radiation pattern is observed. The reasons for the difference are considered to be due to both the scattering from the jig and the radiation from the Coax/MSL transition as shown in Fig. 6, which were neglected in the simplified modeling. The measured beamwidth of the E- and H-planes are about 120 and 72 , respectively. The frequency characteristics of the antenna gain are shown in Fig. 8. The measured gain agrees well with the designed one. The gain is about 2.2 dBi in the frequency range 59–66 GHz. Now, we briefly discuss the relations between the physical size of the antenna, the beamwidth, the gain of the antenna, and the insertion loss. An effective aperture size of the antenna, derived from the measured beamwidth, is 4.6 mm 2.1 mm at -mode 60 GHz [15], based upon the assumption of an ideal distribution aperture. The directivity calculated from this effective aperture size is 6.0 dBi, while the directivity predicted by HFSS for the simplified model is 4.8 dBi. The difference of about 1 dBi is attributed to the scattering effects of the simplified model. By the way, the insertion loss of the antenna is calculated to be approximately 1 dB by using HFSS, which is about half of the discrepancy between the directivity of 4.8 dBi and the gain of 2.2 dBi. The feasibility of the post-wall waveguide-aperture antenna and the validity of the design method were made clear from the agreement of the designed and measured results reported above.
3992
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 10. Fabricated antenna package with two antennas for Tx and Rx as shown in Fig. 4. (a) Top. (b) Back (with solder ball for BGA).
Fig. 8. Frequency characteristics of the antenna gain.
Fig. 11. Measurement of antenna isolation. Fig. 9. Block diagram of RF front-end for the transceiver (TDD, receiving state).
IV. ANTENNA PACKAGE A. Required Specifications From the System This wireless file-transfer system is based on IEEE 802.15.3c.1 Fig. 9 illustrates the example for the block diagram and the power levels of the transceiver using the time-division duplex (TDD) in the receiving state. This system has two antennas without using a transmit/receive switch. The local oscillator (LO) signal of 0 dBm at 60 GHz was generated by both an oscillator operating at 20 GHz and the frequency tripler. The LO and frequency tripler were shared between the Tx and Rx circuits. The other specifications of the system are as follows: the communication range is 1 m, the Tx output power level is 3 dBm, and the antenna gain is 6 dBi for the Tx and Rx antennas. The system requires the carrier noise ratio (CNR) of more than 10 dB for the bit error rate (BER) of 10 . The thermal noise is 81.6 dBm at 290 K. The antenna isolation between Tx and Rx is one of the problems to be solved in realizing such an antenna package, because the Tx antenna is located adjacent to the Rx antenna. Even though the system uses TDD, the antenna isolation is needed to suppress noise due to the local leakage. 1[Online].
Available: http://www.ieee802.org/15/pub/TG3c.html
As shown in Fig. 9, the noise figure (NF) of the low-noise amplifier (LNA) was assumed to be 10 dB [16], and the gain of the LNA is assumed to be sufficiently high to be able to neglect the effect of the Rx mixer noise. The LO to RF isolation of the of the power amplifier (PA) without bias Tx mixer and are assumed to be less than 20 dB [17], [18]. Therefore, the leaked LO power of 40 dBm would be fed to the antenna. Thus, the antenna isolation must be greater than about 30 dB with an antenna gain of 6 dBi because of a required CNR of 10 dB, system NF of 10 dB, and Rx level of 53 dBm at the Rx antenna. B. Fabricated Antenna Package The fabricated package is shown in Fig. 10. The laminated structure of the package is exactly the same as the antenna. The package has two antennas, one for Tx and one for Rx and the MSLs for IF and dc circuits. The cavity was structured in the center of the package for connecting the CMOS device and package with shorter bonding wires. Solder balls were attached on the pads in the back of the package for BGA assembly. Finally, the antenna package is mounted on the edge of the PCB for the end-fire radiation. The size of the fabricated package is 14.4 mm 1.0 mm and that of the cavity in the 14.4 mm center of the package was 4.4 mm 5.8 mm 0.3 mm. The photograph of the antenna isolation measurement is 4.2 mm shown in Fig. 11. A ceramic substrate of 4.2 mm
SUGA et al.: COST-EFFECTIVE 60-GHz ANTENNA PACKAGE WITH END-FIRE RADIATION
3993
Fig. 12. Antenna isolation and reflection characteristics.
0.32 mm was attached into the cavity of the package. The 50bent CPWs were formed on the substrate, since two probes cannot be contacted on the GSG pad for the Tx and Rx antennas at the same time. The antenna isolation was measured under the condition that CPWs and the GSG pads on the antenna were connected by bonding wires. The RF absorber was arranged around the antennas and the probe station. Fig. 12 shows the required isolation and the calculated and measured isolations between the Tx and Rx antennas in the antenna package. The measurable range of the antenna isolation was more than the noise floor level of 60 dB. The transmission loss of the CPW was measured to be less than 0.1 dB in the frequency range 50–70 GHz and confirmed to be negligibly of the antenna seen from small. The reflection coefficient the bent CPW was measured to be 10 dB in the frequency range 58.3–64.6 GHz. It is found from Fig. 12 that the measured isolation was greater than 36.2 dB and agreed well with the calculated one in the frequency range.
Fig. 13. Antenna with a gain of 6 dBi required from the system. (a) Designed antenna. (b) Fabricated antenna.
V. ANTENNA TO MEET THE SYSTEM REQUIREMENTS A. Design of the Antenna With a Gain of 6 dBi The specifications of the antenna for the wireless file-transfer system is a gain of 6 dBi and a beamwidth of 40 –60 in the frequency range 59–66 GHz. The tapered waveguide is the one of the simplest ways to realize the higher gain. The narrow beamwidth in the H-plane is able to be realized by using a tapered post-wall waveguide. The beamwidth in the H-plane roughly meets the specification for the system as shown in Fig. 7, but that in the E-plane is extremely broad. Hence, the beamwidth in the E-plane should be narrowed to meet the system requirement. To realize a gain of 6 dBi, two loop-type directors were arranged in front of the antenna aperture, as shown in Fig. 13. The square-shaped loop-type directors were made of two through holes and metal patterns. The size and the position of the directors were designed to realize the gain of 6 dBi and the antenna length was designed to be as short as possible. The matching posts were also redesigned. The designed antenna for realizing the higher gain of 6 dBi required from the system is shown in
Fig. 14. Reflection coefficient of the antenna with a gain of 6 dBi with and without bonding wire.
Fig. 13(a). The total size of the antenna is 8.7 mm 6.4 mm 1.0 mm. The fabricated antenna is shown in Fig. 13(b). B. Antenna Characteristics The reflection coefficient of the antenna is shown in Fig. 14. with the bonding wire as shown in Fig. 2 The designed was 10 dB in the frequency range 59.8–64.7 GHz. The measured without the bonding wire was shifted about 1.5% to a lower frequency compared with the designed one, but the trend of the frequency characteristics agree well. The radiation pattern of the antenna at 60 GHz is shown in Fig. 15. The ripple and the difference between the designed
3994
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 15. Radiation pattern of the antenna with a gain of 6 dBi at 60 GHz.
The antenna package with two post-wall waveguide-aperture antennas was designed and fabricated. Its size was 14.4 mm 14.4 mm 1.0 mm. The laminated structure of the package was exactly the same as the antenna. The isolation between the Tx and Rx antennas was measured to be greater than 36.2 dB in the frequency range of 59–66 GHz. Moreover, the proposed antenna with a gain higher than 6 dBi required for the system was designed and fabricated. The size of 6.4 mm 1.0 mm. The the fabricated antenna was 8.7 mm beamwidth is 60 and 30 in the E- and H-planes at 60 GHz, and the gain of 6 dBi in the frequency range of 58–68 GHz were measured. These experimental results showed that the characteristics of the developed antenna package and antenna met the specification required of the system. The practical usage of the antenna package can be expected in the wireless file-transfer system. REFERENCES
Fig. 16. Gain of the antenna with a gain of 6 dBi.
and measured patterns in the E-plane below 0 arose from the same causes as the characteristics in Fig. 7. The measured beamwidths are 60 and 30 in the E- and H-planes at 60 GHz, respectively. The antenna gain is shown in Fig. 16. The trend of frequency characteristics of the designed and measured gain agreed well. The measured gain of 6 dBi in the range of 58–68 GHz was measured. It was confirmed that the antenna characteristics met the requirement of the system. VI. CONCLUSION A cost-effective, 60-GHz antenna-package with the end-fire radiation from the post-wall waveguide aperture antenna was proposed. The package is suitable for the mobile terminals in the wireless file-transfer system. The proposed antenna, which was the key in the realization of the antenna package, was designed, fabricated, and evaluated. The reflection coefficient and gain of the antenna were measured to be less than 10 dB and 2.2 dBi at the center frequency of the 59–66 GHz range, respectively. The beamwidth of the Eand H-planes were measured to be 120 and 72 at 60 GHz, respectively. The total size of fabricated antenna is 4.8 mm 6.4 mm 1.0 mm. The feasibility of the proposed antenna and the validity of the design method were found.
[1] R. Fisher, “60 GHz WPAN standardization within IEEE 802.15.3c,” in Proc. Int. Symp. Signals, Syst. Electron., 2007, pp. 103–105. [2] R. Funada, H. Harada, Y. Shoji, R. Kimura, Y. Nishiguchi, M. Lei, C. Choi, F. Kojima, C. Pyo, Z. Lan, I. Lakkis, M. Umehira, and S. Kato, “A design of single carrier based PHY for IEEE 802.15.3c standard,” in Proc. Int. Symp. Pers., Indoor Mobile Radio Commun., 2007, pp. 1–5. [3] S. Montusclat, F. Gianesello, and D. Gloria, “Silicon full integrated LNA, filter and antenna system beyond 40 GHz for MMW wireless communication links in advanced CMOS technologies,” in Proc. IEEE Radio Frequency Integr. Circuits Symp., 2006, pp. 77–80. [4] J. Hirokawa, K. Kimishima, M. Ando, and Y. Hirachi, “Dipole antenna on a thick resin layer on the back side of a silicon chip at 60 GHz,” in Proc. Eur. Microw. Conf., Sep. 2009, pp. 528–531. [5] Y. Tsutsumi, M. Nishio, S. Sekine, H. Shoki, and T. Morooka, “A triangular loop antenna mounted adjacent to a lossy Si substrate for millimeter-wave wireless PAN,” in Proc. IEEE Antenna Propagat. Symp., Jun. 2007, pp. 1008–1011. [6] K. Maruhashi, M. Ito, S. Kishimoto, and K. Ohata, “60-GHz-band LTCC module technology for wireless gigabit transceiver applications,” in Proc. IEEE Int. Symp. Radio-Frequency Integr. Technol., Dec. 2005, pp. 131–134. [7] H. Nakano, K. Kosemura, T. Hamada, Y. Hirachi, J. Hirokawa, and M. Ando, “Cost-effective 60-GHz modules with a post-wall planar antenna for gigabit home-link systems,” in Proc. Eur. Microw. Conf., Oct. 2003, pp. 891–894. [8] J. Grzyb, L. Duxian, U. Pfeiffer, and B. Gaucher, “Wideband cavitybacked folded dipole superstrate antenna for 60 GHz applications,” in Proc. IEEE Antenna Propagat. Symp., 2006, pp. 3939–3942. [9] R. Willmot, D. Kim, and D. Peroulis, “A Yagiuda array of high-efficiency wire-bond antennas for on-chip radio applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3315–3321, Dec. 2009. [10] R. Suga, H. Nakano, Y. Hirachi, J. Hirokawa, and M. Ando, “Lateral radiation millimeter-wave antenna package using post-wall waveguide,” in Proc. IEEE Antenna Propagat. Symp., Jun. 2009, pp. 1–4. [11] J. Hirokawa and M. Ando, “Single-layer feed waveguide consisting of posts for plane TEM wave excitation in parallel plates,” IEEE Trans. Antennas Propagat., vol. 46, no. 5, pp. 625–630, May 1998. [12] T. Shimizu and Y. Kobayashi, “Cut-off circular waveguide method for dielectric substrate measurements in millimeter wave range,” IEICE Trans. Electron., vol. E87-C, no. 5, pp. 672–680, May 2004. [13] R. Suga, H. Nakano, Y. Hirachi, J. Hirokawa, and M. Ando, “Costeffective 60-GHz antenna-package with end-fire radiation from openended post-wall waveguide for wireless file-transfer system,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 449–452. [14] H. Kawabata and Y. Kobayashi, “The analysis of a balanced-type circular disk resonator excited by coaxial cable lines to measure the complex permittivity,” in Proc. Asia–Pacific Microw. Conf., 2001, pp. 1322–1325. [15] S. Silver, Microwave Antenna Theory and Design. New York: McGraw-Hill, 1984, pp. 180–187. [16] M. Kraemer, D. Dragomirescu, and R. Plana, “A low-power high-gain LNA for the 60 GHz band in a 65 nm CMOS technology,” in Proc. Asia–Pacific Microw. Conf., 2009, pp. 1156–1159.
SUGA et al.: COST-EFFECTIVE 60-GHz ANTENNA PACKAGE WITH END-FIRE RADIATION
[17] M. Varonen, M. Karkkainen, M. Käntänen, and K. A. I. Halonen, “Millimeter-wave integrated circuits in 65-nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 9, pp. 1991–2002, Sep. 2008. [18] J. Tsai, H. Yang, T. Huang, and H. Wang, “A 30–100 GHz wideband sub-harmonic active mixer in 90 nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 554–556, Aug. 2008.
Ryosuke Suga (M’08) was born in Tokyo, Japan, on December 31, 1979. He received the B.S., M.S., and D.E. degrees in electrical engineering and electronics from Aoyama Gakuin University, Kanagawa, Japan, in 2002, 2004, and 2008, respectively. Since 2008, he has been a Postdoctoral Fellow with the Tokyo Institute of Technology, Tokyo, Japan, where he has been engaged in research on millimeter-wave devices and antennas. Dr. Suga is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.
Hiroshi Nakano was born in Nagano, Japan, on March 13, 1961. He received the B.S. and M.S. degrees in electrical and electric engineering from Shibaura Institute of Technology, Tokyo, Japan, in 1984 and 1986, respectively. From 1986 to 1998, he was with the Olympus Optical Co., Ltd., as an Engineer of memory devices using ferroelectric material. From 1998 to 2004, he was with Fujitsu Quantum Devices Ltd. and was engaged in the development of high-frequency RF transmitter and receiver modules. He is currently with AMMSYS Inc., Tokyo, Japan, where he is engaged in the development of high-frequency monolithic microwave integrated circuit and RF modules.
Yasutake Hirachi (M’74–LM’09) was born in Tokyo, Japan, on December 24, 1944. He received the B.S. and M.S. degrees in electrical engineering from Tokyo University of Agriculture and Technology, Tokyo, Japan, in 1968 and 1970, respectively, and the Ph.D. degree in electrical engineering from Tokyo Institute of Technology, Tokyo, Japan, in 1979. From 1970 to 1971 he was a Research Assistant with the Tokyo Institute of Technology, Tokyo, Japan. In 1971, he joined Fujitsu Laboratories Ltd., where he was engaged in the research, development, and business for microwave and millimeter-wave IMPATT diodes, GaAs FETs and HEMTs. In 1998, he joined Fujitsu Quantum Devices Ltd., where he managed the development and business of monolithic microwave integrated circuits and millimeter-wave subsystems. From 2000 to 2005, he was a Visiting Professor with the Tokyo Institute of Technology, where he is currently a Research Fellow. In 2005, he established AMMSYS Inc., Tokyo, Japan, and has been the President of the company. Since 2007, he has been one of the research readers of the millimeter-wave project supported by the Ministry of Internal Affairs and Communications of Japan.
3995
Jiro Hirokawa (S’89–M’90–SM’03) was born in Tokyo, Japan, on May 8, 1965. He received the B.S., M.S., and D.E. degrees in electrical and electronic engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1988, 1990, and 1994, respectively. He was a Research Associate from 1990 to 1996 and is currently an Associate Professor with the Tokyo Institute of Technology, Tokyo, Japan. From 1994 to 1995, he was with the antenna group of Chalmers University of Technology, Gothenburg, Sweden, as a Postdoctoral Fellow. His research area has been in slotted waveguide array antennas and millimeter-wave antennas. Dr. Hirokawa is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of an IEEE Antennas and Propagation Soceity Tokyo Chapter Young Engineer Award in 1991, a Young Engineer Award from the IEICE in 1996, a Tokyo Institute of Technology Award for Challenging Research in 2003, a Young Scientists’ Prize from the Minister of Education, Cultures, Sports, Science and Technology in Japan in 2005, a Best Paper Award in 2007, and a Best Letter Award in 2009 from the IEICE Communications Society.
Makoto Ando (SM’01–F’03) was born in Hokkaido, Japan, on February 16, 1952. He received the B.S., M.S., and D.E. degrees in electrical engineering from the Tokyo Institute of Technology, Tokyo, Japan, in 1974, 1976, and 1979, respectively. From 1979 to 1983, he was with Yokosuka Electrical Communication Laboratory, NTT, where he was engaged in the development of antennas for satellite communication. He was a Research Associate with the Tokyo Institute of Technology, Tokyo, Japan, from 1983 to 1985, and is currently a Professor. He was the general chair of the 2004 URSI EMT Symposium in Pisa, Italy, and of the ISAP 2007 in Niigata, Japan. He served as the chairs of the Technical committee of Electromagnetic theory (2004–2005) and Antennas and Propagation (2005–2007) in the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. His main interests have been high-frequency diffraction theory such as physical optics and geometrical theory of diffraction. His research also covers the design of reflector antennas and waveguide planar arrays for DBS and VSAT. His latest interests include the design of high-gain millimeter-wave antennas. Dr. Ando is a Fellow of the IEICE. He was the recipient of the Young Engineers Award of IEICE Japan in 1981 and the Achievement Award and the Paper Awards from IEICE Japan in 1993 and 2009. He was also the recipient of 5th Telecom Systems Award in 1990, the 8th Inoue Prize for Science in 1992, the Meritorious Award of the Minister of Internal Affairs and Communications and the Chairman of the Broad of ARIB in 2004, and the Award in Information Promotion Month 2006 of the Minister of Internal Affairs and Communications. He served as the guest editor-in-chief of more than six special issues of IEICE, Radio Science, and the IEEE TRANSACTIONS ON ANTENNAS AND PROPAGATION. He served as a member of Administrative Committee of IEEE Antennas and Propagation Society 2004–2006 and a member of Scientific Council for Antenna Centre of Excellence—ACE in the European Union’s 6th framework programme since 2004. He served as the Chair of Commission B of URSI 2002–2005. He was the 2007 President of Electronics Society IEICE and the 2009 President of the IEEE Antennas and Propagation Society. He served as the Program Officer 2006–2009 for the engineering science group in the Research Center for Science Systems, Japan Society for the Promotion of Science.
3996
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Safe Operating Area of GaAs HBTs Based on Sub-Nanosecond Pulse Characteristics Renfeng Jin, Student Member, IEEE, Cheng Chen, Member, IEEE, Subrata Halder, Senior Member, IEEE, Walter R. Curtice, Life Fellow, IEEE, and James C. M. Hwang, Fellow, IEEE
Abstract—Using a novel sub-nanosecond pulse current–voltage measurement technique, InGaP/GaAs heterojunction bipolar transistors (HBTs) were shown to survive strong impact ionization and to have a much larger safe operating area (SOA) than previously measured or predicted. As the result, an empirical model for impact ionization with both voltage and current dependence was extracted and added to a commercially available HBT model. The modified model could predict the HBT characteristics across the enlarged SOA, as well as the performance of ultra-wideband pulse generators and the ruggedness of continuous wave Class-C power amplifiers. Index Terms—Avalanche breakdown, heterojunction bipolar transistor (HBT), impact ionization, microwave power amplifier, multiplication factor, safe operation area.
I. INTRODUCTION
P
ULSE current–voltage (I–V) characteristics have been shown [1], [2] to be a better predictor of a transistor’s RF performance than dc I–V characteristics. However, most of the pulse measurements were performed with pulsewidths on the order of a microsecond, which are comparable to thermal and trap time constants of typical transistors. Recently, I–V measurements were performed [3]–[6] with 40–500-ns pulses, which significantly reduced self-heating and trapping effects. Most recently, we further reduced [7] the pulsewidth to as short as 0.2 ns, which accurately preserved the quiescent thermal and trapping states of the transistor under test, extracted its impact-ionization model without being complicated by self-heating, and simulated the performance of ultra-wideband pulse generators [8]. This paper expands on [7] by using the improved impact-ionization model in conjunction with a previously proposed Kirk-effect model [9] to predict the ruggedness of continuous wave (CW) Class-C power amplifiers, especially in terms of safe operating area (SOA). Manuscript received July 01, 2010; revised October 04, 2010; accepted October 04, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported in part by the Department of Community and Economy Development, Commonwealth of Pennsylvania, under Pennsylvania Infrastructure Technology Alliance (PITA). This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. R. Jin, S. Halder, W. R. Curtice, and J. C. M. Hwang are with the Department of Electrical and Computer Engineering, Lehigh University, Bethlehem, PA 18015 USA (e-mail: [email protected]; [email protected]; wcurtice@aol. com; [email protected]) C. Chen is with RF Micro Devices, Greensboro, NC 27409 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086468
Fig. 1. Sub-nanosecond pulse measurement setup. Insets indicate input (V ) and output (V ) waveforms sampled at Channel 1 and Channel 2, respectively, of the oscilloscope.
SOA is an important concern for heterojunction bipolar transistor (HBT) power amplifiers when they are used to drive antennas which impedance varies with the environment. To date, SOA has mostly been empirically determined [10] with little theoretical understanding or experimental validation. For example, [11] considered the reduction of tolerable collector voltage with increasing collector current, [12] modeled the effects of base resistance and ambient temperature on the collector–emitter breakdown voltage, [13] proposed a limit by the flyback (bifurcation) of the collector current due to impact ionization and/or self heating, and [14] considered the effects of both base and emitter resistances on breakdown and defined the SOA by flyback similar to [13]. However, in [7], we showed experimentally that HBTs could survive flyback. In the following, we will show both theoretically and experimentally that HBT power amplifiers can indeed enjoy a larger SOA beyond flyback. II. EXPERIMENTAL Fig. 1 illustrates the sub-nanosecond pulse measurement setup with the HBT biased in the common-emitter configurais tion for Class-C operation. Although the collector bias constantly applied, the HBT is turned on only when the signal from an Avtech AVM-2-C pulse generator is added to the to raise the base–emitter voltage above the base bias threshold. The HBT base shares the input pulse with Channel 1 of an Agilent 86100A digital sampling oscilloscope across a
0018-9480/$26.00 © 2010 IEEE
JIN et al.: SOA OF GaAs HBTs
3997
resistive power splitter. Since the pulse generator and the oscilloscope both have an internal resistance of 50 , the voltage sensed by the oscilloscope is only half of the generator voltage. when the HBT is cut off. When Therefore, is less than because the HBT is turned on, is split between the internal resistance of the generator and the can only base–emitter resistance of the HBT. In this case, be simulated by subjecting the input of an HBT model to a amplitude and 50- internal resistance. pulse generator of The simulation also corrects for the power splitter, bias tee, and cables in series. By contrast, the collector characteristics of the sampled HBT are directly measurable. The output voltage by Channel 2 of the oscilloscope is de-embedded to the HBT so that the collector current collector as and the collector–emitter voltage . The measurement setup and calibration procedure have been detailed is Gaussian elsewhere [9]. The inset of Fig. 1 confirms that is inverted Gaussian. shaped, while The sub-nanosecond pulse measurement is typically performed with a pulse-repetition frequency of 40 kHz so that the duty cycle is less than 0.001% and the measurement is truly isothermal. For comparison, microsecond pulse measurement is also performed by using an Agilent 85124A pulse modeling system, while dc measurement is performed by using an Agilent 4156C semiconductor parameter analyzer. To bypass packaging parasitics, all measurements are done on wafer. All results reported in this paper are obtained on the same HBT wafer with better than 3% uniformity. The device-under-test is a commercially available single-finger n-p-n InGaP/GaAs HBT1 with an emitter area m 20 m. Typically, it has a cutoff frequency of 40 GHz, a maximum frequency of oscillation of 60 GHz, of a common-emitter open-base breakdown voltage 15 V, and a common-base open-emitter breakdown voltage of 30 V. The thermal time constant is approximately 3 s. The same HBT was used to construct a CW Class-C single-stage power amplifier, which was characterized by using a Maury MT982B01 0.8–18-GHz automated load–pull system. Typically, a fundamental input frequency of 1.9 GHz was used. In additional to scalar measurements in the frequency domain, time-domain waveforms and dynamic load lines were measured by using a Hewlett-Packard 70820A microwave transition analyzer [15]. III. SUB-NANOSECOND HBT CHARACTERISTICS A. Sub-Nanosecond SOA versus Microsecond SOA Fig. 2 shows that the SOA measured under sub-nanosecond pulses is significantly larger than that measured under dc or microsecond pulses. Each I–V characteristic is obtained by constant while stepping until the HBT dies. keeping For example, approximately ten HBTs are sacrificed to obtain Fig. 2(a). The termini of all characteristics empirically define the SOA. It can be seen that under sub-nanosecond pulses, 1WIN
Semiconductors, HBT H02U-10 Technology, Taoyuan, Taiwan.
Fig. 2. Measured: (a) sub-nanosecond pulse, (b) microsecond pulse, and (c) dc current–voltage characteristics of a common-emitter HBT. Each characteristic is obtained by pulsing to the same V while stepping up V after each pulse until the HBT dies. Simulated characteristics by using the Agilent model (- - -) and the modified model (—) are included for comparison.
measurements are extended to the region where decreases with increasing . Such flyback has long been predicted [13], [14], [16], but rarely measured. This is because conventional microsecond pulse measurements reduce, but do not eliminate self-heating so that the HBT would die as soon as the collector current flied back. Thus, the SOA was conventionally defined
3998
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
by the inflection points of flyback and the assumption was that the HBT would die instantly of oscillation at these bifurcation points. The present result suggests that oscillation takes time to build up in strength and the HBT may survive occasional excursion into the flyback region such as under sub-nanosecond pulse operation or above-gigahertz CW operation. Also, the device-under-test is loaded with 50 so that oscillation will not occur simply because the HBT output impedance becomes nil. Oscillation is possible only when the HBT output impedance is more negative than 50 . Even under sub-nanosecond pulses, flyback for collector currents lower than 20 mA is usually too sharp to be reliably captured. Above 80 mA, the collector current increases sharply, but does not flyback, because in this case, the breakdown is heavily influenced by the Kirk effect [9]. Bifurcation has also been predicted [13] for thermally coupled multifinger transistors. However, although the result shown here is limited to single-finger HBTs, we have measured sub-nanosecond characteristics in multifinger HBTs well beyond flyback too. B. Effect of Temperature on SOA Dominated by thermal runaway, dc and microsecond SOAs usually shrinks with increasing ambient temperature. However, under sub-nanosecond pulses, the SOA actually expands with increasing ambient temperature thereby confirming that it is limited by avalanche breakdown instead of thermal runaway. Fig. 3 shows such dependence between 25 C–100 C under the or . It can be seen that, in both cases, below 80 mA same the collector current increases with increasing temperature due to increased thermionic emission. However, above 80 mA, the collector current decreases with increasing temperature due to decreased carrier velocity, which aggravates the Kirk effect [9]. The decreased carrier velocity also retards breakdown and expands the SOA. These effects have been empirically modeled (Section IV) with good agreement, as shown in Fig. 3.
Fig. 3. Measured (symbol) versus modeled (curve) sub-nanosecond pulse characteristics under different ambient temperatures.
C. Effects of Pulsewidth and Quiescent Bias on SOA Fig. 4 shows that when the pulsewidth is increased from 0.2 to 1.0 ns, flyback sharpens and the SOA shrinks, although the HBT remains isothermal under both 0.2- and 1.0-ns pulses. This is because, in a common-emitter configuration, the avalanche breakdown current is a product of the transport factor across the base and the electron multiplication factor across the collector. While the time constant for electron multiplication is on the order of picoseconds, the time constant for base diffusion is on the order of nanoseconds. Therefore, while the impact multiplication across the collector at 1.0 ns is comparable to that at 0.2 ns, the transport factor across the base is higher at 1 ns than that at 0.2 ns. This shows that the sub-nanosecond pulse measurement can be a powerful technique to characterize not only the breakdown in the collector, but also the transport in the base. Fig. 5 shows that the 0.2-ns pulse characteristics change little is increased from 0.5 to 1.2 V, when the quiescent bias which is still below the turn-on voltage of 1.3 V and without self-heating. However, the SOA shrinks by approximately the , which is V V V. difference in
Fig. 4. Sub-nanosecond pulse characteristics under different pulsewidths.
Again, although not shown, these effects of pulsewidth and quiescent bias were well captured by the modified HBT model of Section IV. Also, although all the impact-ionization model parameters were extracted from the 0.2-ns/0.5-V characteristics, they could just as well be extracted from the 1.0-ns or 1.2-V characteristics without significant differences.
JIN et al.: SOA OF GaAs HBTs
3999
Fig. 5. Sub-nanosecond pulse characteristics under different quiescent base biases. The pulse amplitude is adjusted to give the same V in both cases.
Fig. 6. Modeled electron multiplication factors by using physical and empirical equations, respectively.
IV. MODIFIED HBT MODEL Without self heating, high-voltage and high-current device characteristics are mainly governed by impact-ionization [13] and Kirk [9] effects. As shown in Fig. 2(a), the gradual increase of the collector current beyond the knee voltage is mainly due to the Kirk effect, whereas the sharp turn up of the collector current beyond the flyback voltage is mainly due to impact ionization. In this case, the electron multiplication factor is a function of , but also the collector not only the collector–base voltage current . This is because perturbs the distribution of space charge, and in turn, the distribution of electric field and carrier velocity in the collector. Since the current and field closely influence each other, complicated integration formula have been developed [17] such as
cm (4) where is the electron charge. For the present HBTs, m. To account for field reversal under the Kirk effect, we modified (1) and (2) as in the following:
(5)
(1) where lector,
is the distance measured from the base into the colis the field distribution in the collector, and are the physical width and depletion width of the colis the dead space where electrons must drift through lector, to acquire the threshold energy for impact ionization, and and are electron and hole ionization coefficients. For GaAs, eV
eV
(6)
contours according to (1)–(6). It Fig. 6 shows the constant between 15–25 V, first decan be seen that under a creases then increases with increasing . Below 15 V, there is no significant impact ionization when the current is less than 20 mA. Above 25 V, exceeds 1.3 for all currents. The current when reaches the minimum corresponds to the threshold current that introduces sufficient space charge in the collector to neutralize the depletion region and to reverse the field there [17]. Accordingly,
(2) (7) cm (3)
is the collector doping concentration and where saturated electron velocity. For the present HBTs, cm and cm/s. Therefore,
is the mA.
4000
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Since (1)–(6) are too complicated for compact modeling, a simple empirical alternative has been proposed [12]
(8) where , and are fitting parameters. However, (8) decreases monotonically with increasing and fails to allow to increase after field reversal. To correct this deficiency, we propose a new empirical equation
(9) is the forward collector current, is the internal where collector–base voltage after accounting for voltage drops across and are fitthe external base and collector resistors, and ting parameters. Compared to (8), (9) uses a hyperbolic-cosine to both decrease and increases with the function to allow collector current. For the present HBT, and W. Fig. 6 shows that (9) and (5) agree quite well. is made to depend on temperature as In addition,
Fig. 7. Measured (symbol) impulse response of an HBT versus that simulated by using the Agilent (- - -) and modified (—) HBT models. The input pulse is of 1.45 V peak-to-peak and 0.2-ns full width at half maximum. Artificial delays between impulses are added for clarity.
the measured impulse response under different collector biases, while the original Agilent model saturates prematurely at V. The same model was also successfully used to design and simulate an ultra-wideband pulse generator [8]. V. SOA OF HBT POWER AMPLIFIERS
(10) K is the ambient where is the junction temperature, temperature, and and are fitting parameters. For the present HBTs, and A. This temperature dependence gives reasonable fit to the measured data, as shown in Fig. 3. Once is properly modeled, the collector characteristics can be obtained by
(11) is the base–collector diffusion current. where The impact ionization model of (9)–(11), as well as the modified Kirk model [9] were coded in Verilog-A2 and added to the commercially available Agilent HBT model3 to simulate sub-nanosecond characteristics under both high voltages and high currents. The modified model is sufficiently robust to en, with the former helped sure convergence near and by the smooth hyperbolic function used in (9) and the latter helped by the small step size used in simulation. Fig. 2(a) shows that the modified model agrees with the measured I–V characteristics across the entire SOA, while the original Agilent model cannot simulate breakdown at all. However, Fig. 2(b) and (c) shows that under much longer pulses or dc conditions, the difference between the Agilent model and the modified model diminishes because failures under these conditions are caused by thermal runaway instead of avalanche breakdown. Fig. 7 shows that the modified model agrees with 2Cadence 3Agilent
Design Systems, San Jose, CA. Technol., Santa Rosa, CA.
To further validate the modified HBT model, it was used to simulate the performance of a CW Class-C single-stage power amplifier under both small and large signals. Fig. 8 shows that while both the Agilent and the modified models can fit the smallsignal characteristics, the modified model is superior to the Agilent model in simulating large-signal HBT characteristics such as the self-biasing effect. Fig. 9 confirms that the measured dynamic load lines of the CW Class-C single-stage power amplifier are limited by the sub-nanosecond SOA instead of the microsecond or dc SOA. Different collector biases are used in the measurement. At each bias, the load impedance is re-optimized for maximum output power while the input power is gradually stepped up until the HBT dies. Only the last load line before the HBT dies is shown in the figure. It can be seen that the maximum load lines for V all exceed the dc or microsecond SOA and are tangential to the sub-nanosecond SOA boundary near cutoff. This shows that the SOA of the Class-C amplifier is mainly limited by off-state breakdown, which can be reliably characterized by sub-nanosecond pulses. In comparison, the on-state breakdown under CW conditions is complicated by self-heating. While an SOA model is being constructed to include the effects of temperature, pulsewidth, and quiescent biases, as illustrated in Figs. 3–5, a simple analysis [18] can be used to predict the maximum output power of the amplifier as in the following. The dynamic load lines of a Class-C amplifier with a conduction angle can be expressed as (12)
JIN et al.: SOA OF GaAs HBTs
4001
Fig. 9. Measured maximum load lines of a CW Class-C single-stage power amplifier under different collector biases and optimum loads for maximum power. SOA boundaries (- - -) under sub-nanosecond pulses, microsecond pulses and dc, respectively, from Fig. 2 are included for comparison.
Fig. 8. Measured (symbol) CW. (a) Small-signal forward current-gain cutoff frequency and (b) large-signal self-biasing effect of a Class-C single-stage power amplifier versus that simulated by using the Agilent (- - -) and modified (—) HBT model.
where is the equivalent quiescent collector current, is the amplitude of a sinusoidal signal of angular frequency , and is time. The corresponding expression in the frequency domain is (13) where is the dc component that includes the self-biasing is an integer, and and effect, as shown in Fig. 8(b), are amplitudes of fundamental and harmonic currents. (In practice, only five harmonics were used.) Since the waveform varies with the input power, as of (12) is not sinusoidal, shown in Fig. 10(a). For the sake of convenience, an average of 6 mA can be used for all power levels, which is approximately equal to the collector current extrapolated from V according to the the turn-on voltage of 1. 3 V to slope at 1.3 V, as shown in Fig. 10(b). The voltage developed by on a load impedance of magnitude and angle is (14)
Fig. 10. DC component of the collector current estimated from: (a) nonsinusoidal waveforms and (b) Gummel plot.
Fig. 11(a) shows that the measured dynamic load lines under the same load, but different collector biases are in general agreement with that simulated according to (13) and (14) when they are tangential to the sub-nanosecond SOA boundary.
4002
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
, which decreases power-added efficiency and increases self-heating. Notice that the sub-nanosecond SOA is defined by impact ionization alone without self-heating. Similarly, Fig. 12 shows that the measured load–pull maximum power contours at V are in general agreement with that simulated by using (13) and (14), but the agreement degrades with increasing mismatch, decreasing output power, and decreasing power-added efficiency. VI. CONCLUSION Using a combination of experimentally measured SOA by sub-nanosecond pulses and a simple theoretical analysis of the dynamic load lines that are tangential to the measured SOA boundary, the safe power ratings of a CW Class-C HBT amplifier was successfully predicted and experimentally verified. Based on the measured dependence of the SOA on temperature, pulsewidth, and quiescent bias, a more sophisticated SOA model is being constructed, which should be applicable to other classes of power amplifiers with their safe operation limited by not only impact ionization, but also self-heating. ACKNOWLEDGMENT The authors are grateful to the stimulating discussion with Prof. A. Suarez , University of Cantabria, Santander, Spain. REFERENCES
Fig. 11. (a) Measured (—; ) versus simulated (- - -) maximum: (a) dynamic load lines and (b) output powers under the same load, but different collector biases.
Fig. 12. Measured (—) versus simulated (- - -) load–pull maximum power contours in the first quadrant of the Smith chart.
However, the agreement degrades at higher settings. This degradation is better illustrated by plotting the maximum output against that measured. The deviation at high power at each is probably caused by the lower output powers at higher
[1] T. M. Barton, C. M. Snowden, J. R. Richardson, and P. H. Ladbrooke, “Narrow pulse measurement of drain characteristics of GaAs MESFETs,” Electron. Lett., vol. 23, pp. 686–687, Jun. 1987. [2] A. Platzker, A. Palevesky, S. Nash, W. Struble, and Y. Tajima, “Characterization of GaAs devices by a versatile pulsed I–V measurement system,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1990, pp. 1137–1181. [3] S. Heckmann, R. Sommet, J.-M. Nebus, J.-C. Jacquet, D. Floriot, P. Auxemery, and R. Quere, “Characterization and modeling of bias dependent breakdown and self-heating in GaInP/GaAs power HBT to improve high power amplifier design,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 12, pp. 2811–2819, Dec. 2002. [4] G. Meneghesso, A. Chini, M. Maretto, and E. Zanoni, “Pulsed measurement and circuit modeling of weak and strong avalanche effects in GaAs MESFETs and HEMTs,” IEEE Trans. Electron Devices, vol. 50, no. 2, pp. 324–332, Feb. 2003. [5] J. Pan, G. Niu, and D. C. Sheridan, “RF pulse I–V based avalanche measurement in high speed SiGe HBTs,” Electrochem. Soc. Proc., vol. 2004–07, pp. 429–436, 2004. [6] A. Saleh, G. Neveux, D. Barataud, J. M. Nebus, J. P. Teyssier, R. Quere, Y. Bouvier, J. Godin, and M. Riet, “40 ns pulsed I/V setup and measurement method applied to InP HBT characterization,” Electron. Lett., vol. 45, no. 5, Feb. 2009. [7] R. Jin, C. Chen, S. Halder, W. R. Curtice, and J. C. M. Hwang, “Sub-nanosecond pulse characteristics of InGaP/GaAs HBTs,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 409–412. [8] R. Jin, S. Halder, J. C. M. Hwang, and C. L. Law, “Tunable pulse generator for ultra-wideband applications,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, pp. 1780–1783. [9] S. Halder, R. Jin, J. C. M. Hwang, J. Lim, and S. Cheon, “Modeling and characterization of sub-nanosecond impulse response of high voltage heterojunction bipolar transistor,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 609–612. [10] A. Inoue, S. Nakatsuka, S. Suzuki, K. Yamamoto, T. Shimura, R. Hattori, and Y. Mitsui, “Direct measurement of the maximum operating region in AlGaAs HBTs for RF power amplifiers,” IEICE Tran. Electron., vol. E86-C, pp. 1451–1457, Aug. 2003. [11] M. Rickelt, H. Rein, and E. Rose, “Influence of impact-ionization- induced instabilities on the maximum usable output voltage of Si-bipolar transistors,” IEEE Trans. Electron Devices, vol. 48, no. 4, pp. 774–783, Apr. 2001.
JIN et al.: SOA OF GaAs HBTs
[12] J. Kraft, D. Kraft, B. Loffler, H. Jauk, and E. Wachmann, “Usage ,” in Proc. IEEE Bipolar/BiCMOS Circuit of HBTs beyond BV Technol. Meeting, Oct. 2005, pp. 33–36. [13] N. Rinaldi and V. d’Alessandro, “Theory of electrothermal behavior of bipolar transistors: Part III—Impact ionization,” IEEE Trans. Electron Devices, vol. 53, no. 7, pp. 1683–1697, Jul. 2006. [14] C.-P. Lee, F. H. F. Chau, W. Ma, and N. L. Wang, “The safe operating area of GaAs-based heterojunction bipolar transistors,” IEEE Trans. Electron Devices, vol. 53, no. 11, pp. 2681–2688, Nov. 2006. [15] C. J. Wei, Y. E. Lan, J. C. M. Hwang, W. J. Ho, and J. A. Higgins, “Waveform-based modeling and characterization of microwave power heterojunction bipolar transistors,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 12, pp. 2899–2903, Dec. 1995. [16] M. N. Marbell, S. V. Cherepko, J. C. M. Hwang, M. A. Shibib, and W. R. Curtice, “Effects of dummy gate on breakdown and degradation of LDMOSFETs,” IEEE Trans. Device Mater. Rel., vol. 8, no. 1, pp. 193–202, Mar. 2008. [17] W. Liu, Handbook of III–V Heterojunction Bipolar Transistors. New York: Wiley, 1998, pp. 292–293. [18] S. C. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006, pp. 55–59.
Renfeng Jin (S’05) received the B.S. degree in electrical engineering from Shanghai Jiao Tong University, Shanghai, China, in 2003, and is currently working toward the Ph.D. degree in electrical engineering at Lehigh University, Bethlehem, PA. From 2003 to 2005, she was with Intel (Shanghai) Ltd., where she was involved with memory devices development. Her current research interest includes HBTs, and HEMT device modeling and circuits design.
Cheng Chen (S’06–M’10) received the B.S. degree in physics from Wuhan University, Wuhan, China, in 2002, the M.S. degree in electrical engineering from the Chinese Academy of Sciences, Beijing, China, in 2005, and the Ph.D. degree in electrical and computer engineering from Lehigh University, Bethlehem, PA, in 2010. He is currently with RF Micro Devices Inc., Greensboro, NC, where he is responsible for the development of pHEMT-based RF switches. His research interests include modeling and characterization GaAs-based HBTs and quantum-dot/quantum-dash lasers.
4003
Subrata Halder (M’96–S’04–M’07–SM’09) received the B.Tech. degree from the Indian Institute of Technology, Kharagpur, India, in 1988, the M.Eng. degree from Nanyang Technological University, Singapore, in 2001, and the Ph.D. degree from Lehigh University, Bethlehem, PA, in 2007, all in electrical engineering. From 2001 to 2003, he was with DenseLight, Singapore. From 2008 to 2009, he was with Anadigics Inc., Warren, NJ. He is currently a Senior Research Scientist with Lehigh University. He has authored or coauthored over 30 technical papers. He holds one U.S. patent. His research interest includes microelectromechanical systems (MEMS), HBTs, HEMTs, and other microwave devices and circuits.
Walter R. Curtice (M’58–SM’69–F’88–LF’97) received the B.E.E., M.S., and Ph.D. degrees from Cornell University, Ithaca, NY. He then spent five years each with the Raytheon Compnay and The University of Michigan at Ann Arbor. In 1973, he joined RCA Laboratories, Princeton, NJ, where he developed GaAs field-effect transistor (FET) models, including several circuit models that are widely used in SPICE and harmonic-balance simulators. In 1987, he joined the Microwave Semiconductor Corporation, Somerset, NJ, as Manager of Computer-Aided Design and Modeling. In 1989, he became a Consultant with W. R. Curtice Consulting, Washington Crossing, PA. Since 2008, he has been a Visiting Research Scientist with Lehigh University, Bethlehem, PA. He has authored or coauthored over 100 technical papers. He holds 11 U.S. patents.
James C. M. Hwang (M’81–SM’82–F’94) received the B.S. degree in physics from National Taiwan University, Taipei, Taiwan, in 1970, and the M.S. and Ph.D. degrees in materials science from Cornell University, Ithaca, New York, in 1976 and 1978, respectively. After working with IBM, AT&T, GE, and GAIN, he joined Lehigh University, Bethlehem, PA, in 1988 as a Professor of electrical engineering and Director of the Compound Semiconductor Technology Laboratory. His current research interests include MEMS, microwave transistors and integrated circuits, lasers, and photodetectors. Dr. Hwang was the recipient of the 2007 IBM Faculty Award.
4004
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS Ming-Hsien Tsai, Shawn S. H. Hsu, Member, IEEE, Fu-Lung Hsueh, and Chewn-Pu Jou
Abstract—This paper studies the electrostatic discharge (ESD)-protected RF low-noise amplifiers (LNAs) in 65-nm CMOS technology. Three different ESD designs, including double-diode, modified silicon-controlled rectifier (SCR), and modified-SCR with double-diode configurations, are employed to realize ESD-protected LNAs at 5.8 GHz. By using the modified-SCR in conjunction with double-diode, a 5.8-GHz LNA with multiple ESD current paths demonstrates a 4.3-A transmission line pulse (TLP) failure 6.5-kV Human-Body-Mode (HBM) level, corresponding to a ESD protection level. Under a supply voltage of 1.2 V and a drain current of 6.5 mA, the proposed ESD-protected LNA demonstrates a noise figure of 2.57 dB with an associated power gain of 16.7 dB. The input third-order intercept point (IIP3) is 11 dBm, the input and output return losses are greater than 15.9 and 20 dB, respectively. Index Terms—CMOS, electrostatic discharge (ESD), low-noise amplifier (LNA), radio frequency (RF), silicon-controlled rectifier (SCR), transmission-line pulse (TLP).
Fig. 1. General RF LNA configuration with ESD protection networks showing four ESD testing modes: PD, ND, NS, and PS.
I. INTRODUCTION LECTROSTATIC discharge (ESD) is one of the most critical reliability issues as the feature size in CMOS technology shrinks rapidly [1]–[5]. The gate-oxide thickness reduces down to the range of 1–2 nm in the 90-nm node and beyond, making the ESD protection a real challenge in integrated circuit (IC) design [6]. A good ESD design should provide sufficient immunity to the ESD stress, but not affect the signal under normal operation conditions. However, it is inevitable that the ESD protection devices introduce additional parasitic capacitances and resistances to the signal path, especially when a high ESD robustness is required. This could affect the RF front-end circuits and degrade RF performance [7]–[9]. For RF receivers, the low-noise amplifier (LNA) is the first stage, and it is often exposed to the risk of electrostatic charge directly. Fig. 1 shows a general configuration of an RF LNA together with ESD protection networks. The figure also illustrates the four ESD testing modes under different pin combinations,
E
Manuscript received July 01, 2010; revised September 20, 2010; accepted September 22, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported in part by the National Tsing Hua University-Taiwan Semiconductor Manufacturing Company JointDevelopment Project. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium held on May 23–28, 2010 in Anaheim, CA. M.-H. Tsai and S. S. H. Hsu are with the Department of Electrical Engineering and Institute of Electronics Engineering, National Tsing Hua University, Hsinchu 300, Taiwan. (e-mail: [email protected]). F.-L. Hsueh, C.-P. Jou, and M.-H. Tsai are with Taiwan Semiconductor Manufacturing Company, Ltd., Hsinchu 300, Taiwan. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2087033
including positive ESD pulse with grounded (PD), positive ESD pulse with grounded (PS), negative ESD pulse grounded (ND), and negative ESD pulse with with grounded (NS) modes [3]. The double-diode scheme often employed for RF ESD protection has a simple configuration with low parasitic capacitance [10], [11]. The diodes together with a power clamp connected and ground can provide a complete ESD protecbetween tion network. However, this ESD network suffers from a large IR voltage drop between the input pad and the power clamp for the PS and ND modes due to an extra voltage drop across the supply path during ESD zapping. Consequently, the thin gate oxide is exposed under a high clamping voltage and may cause ESD damages. To improve the ESD protection robustness, it is highly desirable to have the direct discharge paths to ground to minimize the parasitic resistances in the ESD current path [12], [13]. The silicon-controlled rectifier (SCR) with high current capability is also widely used as the ESD protection block [14]–[18], which provides a direct discharge path for the PS mode. However, the high switching voltage and the transient-induced latch-up issues need to be overcome, especially for the nanometer CMOS technology [15]. Previously published results proposed various approaches to improve these problems [16], [17]. A substrate-triggered SCR can be quickly turned on when a current is applied [16]; for a gate-grounded nMOS (GGNMOS) triggered SCR, the nMOS transistor is used as an external trigger device to quickly trigger the SCR [17]. In these studies, the ESD current still needs to propagate through long paths in the PD, ND, and NS modes, and the parasitic resistance
0018-9480/$26.00 © 2010 IEEE
TSAI et al.: MULTI-ESD-PATH LNA WITH A 4.3-A TLP CURRENT LEVEL IN 65-NM CMOS
4005
Fig. 3. (a) Circuit topology of the gate-driven power clamp. (b) High current I –V curve of the power clamp.
Fig. 2. Core circuit of the proposed ESD-protected LNAs.
of the ground buses causes a large IR voltage drop leading to the thin gate oxide exposed under high stress. It is also highly desirable to have the ESD design with direct discharge paths to minimize the parasitic effects. Similar to the double-diode configuration, a power clamp is usually used here to provide the complete ESD paths of different ESD pin combinations during ESD testing. In this study, ESD-protected LNAs at 5.8 GHz are realized in 65-nm CMOS. The idea of multipath ESD design including direct/indirect paths is emphasized and analyzed, and three different ESD topologies are designed and compared. The LNA using the proposed modified-SCR combined with double-diode provides multipath ESD protection with direct ESD discharge paths and achieves Human-Body-Mode (HBM) ESD levels up to 6.5 kV for all of the four testing modes. This paper is organized as follows. Section II discusses the core LNA configuration and the power clamp. Both blocks are used in all three designs. In Section III, details of the ESD protection circuits in the three LNAs are presented. The experimental results including both RF and ESD measurements are shown in Section IV, and Section V concludes this work. II. GENERAL DESIGN CONSIDERATIONS A. LNA Configuration Fig. 2 shows the core circuit of the LNAs (without ESD), which is a cascode configuration with the inductive degeneration applied in the common-source stage. The cascode design cannot only improve the reverse isolation but also eliminate the Miller effect. The input impedance of the LNA is matched to by selecting the source-degeneration inductor with 50 of and the corresponding the chosen transconductance . The gate inductor is used to gate–source capacitance and set the imaginary part of (the source resonate out impedance for optimum noise figure) to zero at the center fresuch quency. It is also necessary to choose the dimension of is equal to the source impedance of 50 that the real part of for simultaneous noise and power matching [19], [20]. The inductor functions as the inductive peaking and also the output
matching to 50 . The gate of common-gate transistor is through to provide dc gate potential. The connected to is used to provide a good ac ground for the shunt capacitor common-gate stage. The parasitic capacitances of the ESD blocks are considered in the matching network using the co-design approach. The ESD blocks are viewed as a part of the input matching to be opti, and mized simultaneously. In practical design, the size of the value of are determined first with the considerations of power dissipation, gain, and noise. The ESD blocks are then selected based on the estimated protection levels and parasitic capacitances. The shunt parasitic capacitances introduced by the and to achieve simulESD blocks are co-designed with taneous noise and power matching. If the obtained noise and/or gain are not satisfied, the design procedure is repeated by seand/or the ESD components with different sizes. By lecting using the co-design approach, the parasitic capacitances of the ESD blocks provide additional design freedom of the matching network and relax the tradeoff between the ESD level and circuit performance. B. Gate-Driven Power Clamp In this study, the same power clamp is employed in all three ESD designs to complete the ESD paths and for a fair comparison. The power clamp provides a low-impedance path from the power supply to ground during an ESD zap. Different designs of the ESD power clamp were reported, such as the gategrounded nMOS (GGNMOS) and diode string [18], [21] for various applications. The GGNMOS has the disadvantage of a large trigger voltage, and the diode string has the drawback of a large substrate leakage. The gate-driven power clamp employed here has a low turn-on voltage, high turn-on speed, and relatively small leakage current, which is suitable for ESD design in advanced technology nodes with a small gate-oxide breakdown [21]. Fig. 3(a) shows the circuit configuration of a gate-driven and P-type poly resistor power clamp. The MOS capacitor produce an RC time delay to ensure functions corwith a multirectly during an ESD event. The transistor finger topology has a total gate width up to 2000 m to sustain a high ESD current level. The large size of with a low on-resistance also allows the power clamp to consume less voltage budget under a certain current level and thus relaxes the ESD design requirement for other ESD elements. The parasitic resistances and capacitances introduced by the power clamp are
4006
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 4. ESD-protected LNA consists of double-diode and power clamp. Fig. 6. ESD-protected LNA consists of modified-SCR and power clamp.
Fig. 5. (a) Layout view of the STI diode (the dots indicate a large number of vias and contacts). (b) Cross section of the P =N-well STI diode D .
+
not that critical for the RF characteristics since this block is connected between the power rails only. Fig. 3(b) illustrates the typical high-current – curve of the gate-driven power clamp. In this design, the power clamp has a small turn-on voltage of 0.7 V and can be quickly switched on by the RC trigger circuit. III. DESIGN OF ESD PROTECTION NETWORKS A. Double-Diode ESD Topology and Fig. 4 illustrates the LNA using the double-diode ( ) ESD protection configuration. The figure also indicates the discharge paths for the four different ESD testing modes. It can be seen that the direct ESD paths are not available for the PS and ND modes. Fig. 5 shows the layout and cross section N-well shallow-trench-isolation (STI) diode used of the P . The anode (P ) of the STI diode confor the top diode is surrounded by the STI, nected to the RF input port and the cathode (N ), enclosing the STI and , is connected . The bottom diode has a similar structure but with to N P-sub, and the N and P nodes are connected to and , respectively. This configuration has the advantage regarding the parasitic capacitance variation versus the input pad dc voltage. For example, as the pad bias increases, the junction decreases due to the increased reverse bias, capacitance of which could be compensated by the increased capacitance of to maintain an overall small parasitic capacitance variation [11]. A single-finger layout with a large length/width ratio ( 30 m and 0.8 m) is employed to maximize the overall perimeter of the diode. Under a fixed chip area, as the total
perimeter increases, the current handling capability is enhanced by reduced parasitic resistances. The parasitic and electromigration (EM) effects introduced from the metal lines, contacts, and vias are also critical to the on-resistance and failure current of the ESD diodes. In practical design, the number of contacts and vias are both maximized ( 300 each) under the design rule to achieve a small on-resistance and sustain an ESD current level up to several amperes. In addition, a large total width of 30 m for the metal lines of each ESD path is used to increase the current handling capability. The single-finger STI diode consumes a chip area of 129 m (intrinsic area of 24 m ) with an associated parasitic capacitance of 40 fF. The diode size is chosen to achieve the desired ESD level. As mentioned earlier, the ESD block is co-designed with the matching network to reduce its impact on circuit performance. With a larger ESD diode, the ESD level could be further increased but with slightly degraded noise figure (NF) and gain. B. Modified-SCR ESD Topology The SCR-based topology is another candidate for RF ESD protection design with the advantages of small on-resistance and large current capability per unit area [1]. Fig. 6 shows the ESD-protected LNA using the proposed modified-SCR, which consists of an embedded PNPN structure, a trigger diode chain , and ), a trigger nMOS , a bottom diode , and ( an embedded parasitic resistance . The figure also indicates that the modified-SCR and power clamp both provide bi-directional ESD bypass paths, forming the complete ESD paths for here is used as the direct ESD the four testing modes. Note path for the NS mode. The basic SCR is a PNPN structure with a parasitic resis, embedded in CMOS [13]. During an ESD event, the tance, goes into avalanche breakdown collector-base junction of generating electron current, which forward biases the emitter. The SCR will latch and provide a low base junction of the impedance path to bypass the ESD current to ground. The major drawback of the conventional SCR is the high trigger voltage. In this design, the trigger voltage was reduced by adding two , and ) together with current paths of the diode chain ( . The current injecting into the base of the trigger nMOS is greatly increased leading to fast trigger on of SCR. The number of trigger diodes is a critical design parameter. The
TSAI et al.: MULTI-ESD-PATH LNA WITH A 4.3-A TLP CURRENT LEVEL IN 65-NM CMOS
Fig. 7. (a) Layout view of the modified-SCR. (b) Corresponding cross section of the structure.
increased reduces the voltage across each diode leading to reduced leakage current. On the contrary, a low associated with a smaller can speed up the turn on of ESD [15]. Fig. 7(a) and (b) shows the detailed layout of the proposed modified-SCR and the corresponding cross section, respecN-well/P-sub tively. The PNPN structure is formed by the P , as region together with the N contacts connecting to shown on the right-hand side of the figure, which should have a sufficiently large area to sustain a large ESD current. However, a large area also introduces considerable parasitic capacitive loading and degrades circuit RF performance. In this design, and are 7.6 and 1.4 m respectively. the sizes of , The parasitic resistance of the P-substrate is described by as indicated in Fig. 6. The diode string , and is constructed by the P+/N-well region with the N contact for the cathode. Since these diodes are only for the SCR trigger, without the need to sustain a large current, a relatively small 0.4 m and 1.4 m of each) of the diodes size ( designed with two fingers is used. The trigger nMOS 0.15 m and 8 m of each finger) is employed to ( further improve the trigger capability. Although not shown in the figure, two diode chains are placed symmetrically around are arranged the PNPN region and four trigger transistors surrounding the PNPN square to improve the uniformity of the ESD current distribution. In addition, the areas of the , defined by and , should also be P-sub/N-well sufficiently large to handle a large ESD current; the size of is 7.6 m and is 1.4 m. Note the design of the metal width ( 30 m), and the number of vias and contacts ( 300 each) is similar to that in the double-diode configuration for EM and parasitic resistances considerations. The ESD design using a modified-SCR increases the parasitic capacitance by about 75 fF at the RF input. C. Modified-SCR With Double-Diode ESD Topology Fig. 8 shows the circuit topology of the proposed ESD-protected LNA with multipath ESD protection, including the direct paths for the PS, PD, and NS modes and the auxiliary paths for the PS and PD modes. This ESD topology can be viewed as the core of the modified-SCR together with a double-diode with configuration; it can also be seen as an extra P+/N-well
4007
Fig. 8. LNA with the proposed multipath ESD protection network consisting of modified-SCR, double-diode, and power clamp.
Fig. 9. Chip micrographs of LNAs with: (a) double-diode ESD protection (ESD-LNA A) and (b) the modified-SCR with double-diode (ESD-LNA C).
the modified-SCR since the parallel connected and can be equivalent to one diode. Compared with the double-diode topology, this design provides an extra direct ESD path of the PS mode; compared with the conventional SCR topology, this design provides extra direct paths of both the PD and NS modes. As shown in Fig. 8, there are two ESD current paths for the PS mode, PS1 and PS2, including a direct path PS1 through the followed modified-SCR and an auxiliary path PS2 through by the power clamp. Similarly, there are also two ESD current paths, PD1 and PD2, for the PD mode. With the proposed multipath ESD network design, both of the PS and PD modes find the direct and auxiliary paths to the ground resulting in excellent ESD protection capability. This point can be verified from the measurement results. The topology of modified-SCR with double-diode increases the parasitic capacitance by about 150 fF at the RF input. IV. RESULTS AND DISCUSSION The ESD-protected LNAs were fabricated using a 65-nm CMOS low-power process with one poly and six metal layers (1P6M), provided by Taiwan Semiconductor Manufacturing Company (TSMC). This process features a gate-oxide thickness of 2 nm and a minimum channel length of 60 nm for the core devices with a top metal thickness of 3.4 m. The and of 90 and typical nMOS transistor presents 130 GHz, respectively [22]. The proposed ESD-protected LNA using three different topologies (double-diode, modified-SCR, and modified-SCR with double-diode denoted as ESD-LNA A,
4008
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 13. Measured TLP current–voltage (I –V ) characteristics of different ESD protection schemes in the PD mode.
Fig. 10. (a) Measured S protection circuits.
and (b) measured S
of LNAs with/without ESD
Fig. 11. Measured noise figures of different LNAs with/without ESD protection circuits.
Fig. 14. Measured TLP current–voltage (I –V ) characteristics of different ESD protection schemes in the NS mode.
Fig. 15. Measured TLP current–voltage (I –V ) characteristics of different ESD protection schemes in the ND mode.
TABLE I ESD PERFORMANCE COMPARISON OF DIFFERENT ESD PROTECTION NETWORKS
Fig. 12. Measured TLP current–voltage (I –V ) characteristics of different ESD protection schemes in the PS mode.
spectively. Note the ESD-LNA B with the modified-SCR also has a chip size of 0.59 mm . ESD-LNA B, and ESD-LNA , respectively), along with the power clamp were realized in the 65-nm CMOS process. Fig. 9(a) and (b) shows the chip micrographs of ESD-LNA A and ESD-LNA C with chip sizes of 0.55 and 0.59 mm , re-
A. RF Measurements The RF characteristics were measured on-wafer using Cascade G-S-G microwave probes with a 100- m pitch. The -pa-
TSAI et al.: MULTI-ESD-PATH LNA WITH A 4.3-A TLP CURRENT LEVEL IN 65-NM CMOS
4009
TABLE II PERFORMANCE COMPARISON OF THE PROPOSED ESD-PROTECTED RF LNAS WITH PRIOR ARTS
rameters and noise measurements were performed by the Agilent E8361A PNA network analyzer and the Agilent N8975A noise figure analyzer, respectively. The short-open-load-thru (SOLT) calibration and loss compensation have been done before the measurements. The LNAs operate from a 1.2-V and supply and draw a current of 6.5 mA. The measured of the LNAs are shown in Fig. 10(a) and (b), respectively. With the well-designed ESD circuits, the peak power-gain frequencies are all at 5.8 GHz, and the frequency responses are almost identical. The input return loss is greater than 15 dB for all cases. The power gains at 5.8 GHz are 17.3, 17.4, and 16.7 dB for the three cases, respectively, which reduce by 0.8, 0.7, and 1.4 dB, compared with the reference LNA of 18.1 dB. Fig. 11 shows the measured NF of 1.93, 2.44, and 2.57 dB at 5.8 GHz, and the NF of the reference design is 1.85 dB. For the LNAs with the SCR device (ESD-LNA B and ESD-LNA C), the matching networks are adjusted accordingly using the co-design approach, and the expected noise figure should be only slightly higher ( 0.2–0.3 dB based on simulation) than that of the ESD-LNA A. The measured results showed more pronounced degradation of noise figure, which could be attributed to the inaccurate SCR circuit model used for simulation. A two-tone test using 5.8025 and 5.7975 GHz is performed to measure the input third-order intercept point (IIP3). The measured IIP3 of ESD-protected and reference LNAs are 11 and 10 dBm, respectively. B. ESD Testing Results The transmission-line pulse (TLP) measurement technique is widely used to provide precise, high-voltage, and high-current waveforms for ESD characterization [23]. The ESD testing was performed on-wafer by dc probes using a Barth 4002 TLP test system. The pulse of a 10-ns rise time with a 100-ns pulsewidth was used to simulate the HBM ESD condition. The relation beand the HBM tween the TLP second breakdown current can be approximated as (V) ESD level (A) * , where 1.5 k is the equivalent resistance of human body resistance [24]. For example, a TLP current level of 1.3 A corresponds to a 2-kV HBM ESD level. Fig. 12 shows the TLP test results of different ESD-protected LNAs in the PS mode. The results of ESD-LNA A presents a
linear characteristic, indicating that the ESD bypass current ento , and then ters from the RF input pad, flows through reaches via the power clamp (without a direct ESD bypass path). A sudden increase of the leakage current suggests that a up to 2.6 A can be achieved corresecond breakdown current sponding to an HBM ESD level of 3.9 kV. The pure snapback turn-on behavior of ESD-LNA B indicates the ESD bypass curdirectly, and the trigger rent travels from the RF input pad to is about 4.7 V, which is lower than the gate-oxide voltage breakdown voltage of about 7 V (measured from the TLP tester up to 2.4 A corresponds to with a stress time of 100 ns). An an HBM ESD level of 3.6 kV. The figure also shows that the is about 1.47 V, which is higher snapback holding voltage (1.2 V) to avoid latch-up during normal RF operathan tion. The – characteristic of ESD-LNA C suggests that turns on first in the linear mode, followed by the trigger of the modified-SCR together with the power clamp in the snapback of about 4.7 V. Consequently, a snapback mode also at a characteristic appears at about 3.72 V, and then an of 4.3 A can be achieved, corresponding to an HBM ESD level of 6.5 kV. Compared with ESD-LNA A (or ESD-LNA B) with a single ESD path in the PS mode, ESD-LNA C with multiple ESD paths can enhance the corresponding HBM ESD level from 3.9 kV (or 3.6 kV) to 6.5 kV. Fig. 13 shows the TLP test results of the PD mode. Similarly, the linear behavior of ESD-LNA A indicates that the ESD current goes through to directly, and an up to 3.0 A is obtained, corresponding to a HBM ESD level of 4.5 kV. For ESD-LNA B, the snapback turn-on behavior with a trigger of 5 V and a holding voltage of 2.1 V, and an voltage up to 2.4 A can be achieved, corresponding to a HBM ESD level of 3.6 kV. The design of ESD-LNA C presents a linear mode turn-on behavior first, followed by the trigger of a modified-SCR together with the power clamp in the snapback mode of about 4.8 V. A snapback characteristic appears at at a about 2.6 V, and an of 4.4 A is achieved, corresponding to a HBM ESD level of 6.6 kV. The clearly enhanced HBM ESD level can be attributed to the multiple ESD paths of both PD1 and PD2. Fig. 14 shows the TLP results of the NS mode. For ESD-LNA A, an of 2.6 A is obtained, corresponding kV. The almost identical TLP to an HBM ESD level of
4010
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
curves for ESD-LNA A and ESD-LNA B indicates that the and are on-resistance and current bypass capability of similar. For ESD-LNA C, the on-resistance is reduced by about half due to the parallel connected and , and the HBM ESD level is increased up to 6.6 kV. Fig. 15 shows the TLP test results of the ND mode. The curves have similar characteristics with the NS mode, but the curves shift toward higher voltage under the same current level due to an extra voltage drop of the power clamp in the ND mode. It should be mentioned that the TLP test with only the power 8-kV HBM ESD failure level, suggesting clamp indicates the diodes are the limiting factors of the ESD performance. With the same bottleneck of the diodes, both the ND and NS modes have a 6.5-kV HBM ESD level. However, the increased voltage drop in the ND mode due to the power clamp induces a higher stress on the gate oxide. In contrast, the stress is smaller in the NS mode owing to the direct ESD path, which is still of advantage in terms of ESD design considerations. Table I summarizes the HBM ESD results of the three LNAs for the four testing modes. Table II compares this work with other published ESD-protected RF LNAs. The proposed ESDprotected LNA achieves a highest HBM ESD level with a comparable NF by the 65-nm CMOS technology with the thinnest gate oxide. The ESD protection only increases the noise figure from 1.85 to 2.57 dB in our design.
V. CONCLUSION In this paper, we studied the ESD-protected RF LNAs in 65-nm CMOS technology with three different ESD designs. The proposed multi-ESD-path LNA using the modified SCR in conjunction with double-diode and a gate-driven power clamp presented TLP currents greater than 4.3 A, corresponding to HBM ESD levels to 6.5 kV for all four testing modes. This design and and provided direct ESD discharge paths to both auxiliary ESD paths for the PS and PD modes. Under a supply voltage of 1.2 V and a drain current of 6.5 mA, the LNA presented a NF of 2.57 dB with an associated power gain of 16.7 dB at 5.8 GHz.
REFERENCES [1] S. Voldman, ESD: Circuits and Devices. New York: Wiley, 2006. [2] A. Amerasekera and C. Duvvury, ESD in Silicon Integrated Circuits, 2nd ed. London, U.K.: Wiley, 2002. [3] A. Wang, H. Feng, R. Zhan, G. Chen, and Q. Wu, “ESD protection design for RF integrated circuit: New challenges,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2002, pp. 411–418. [4] M. Mergens, O. Marichal, S. Thijs, B. Camp, and C. Russ, “Advanced SCR ESD protection circuits for CMOS/SOI nanotechnologies,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2005, pp. 481–488. [5] M.-H. Tsai, F. Hsueh, C. Jou, M. Song, J. Tseng, S. Hsu, and S. Chen, “A 6.5 kV ESD-protected low noise amplifier in 65-nm CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 485–488. [6] K. Chatty, R. Gauthier, C. Putnam, M. Muhammad, M. Woo, J. Li, R. Halbach, and C. Seguin, “Study of factors limiting ESD diode performance in 90 nm CMOS technologies and beyond,” in Proc. IEEE Int. Reliabil. Phys. Symp., Aug. 2005, pp. 98–105. [7] A. Wang, “A review on RF ESD protection design,” IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1304–1306, Jul. 2005.
[8] D. Linten, S. Thijs, M. Natarajan, P. Wambacq, W. Jeamsaksiri, J. Ramos, A. Mercha, S. Jenei, S. Donnay, and S. Decoutere, “A 5-GHz fully integrated ESD-protected low-noise amplifier in 90 nm RF CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 7, pp. 1434–1442, Jul. 2005. [9] M. Kaamouchi, M. Moussa, P. Delatte, G. Wybo, A. Bens, J. Raskin, and D. Vanhoenacker-Janvier, “A 2.4-GHz fully integrated ESD-protected low-noise amplifier in 130-nm PD SOI CMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2822–2831, Dec. 2007. [10] M.-H. Tsai, S. Hsu, F. Hsueh, C. Jou, S. Chen, and M. Song, “A wideband low noise amplifier with 4 kV HBM ESD protection in 65 nm RF CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 734–736, Nov. 2009. [11] C. Richier, P. Salome, G. Mabboux, I. Zaza, A. Juge, and P. Mortini, “Investigation on different ESD protection strategies devoted to 3.3 V RF applications (2 GHz) in a 0.18 m CMOS process,” in Proc. EOS/ESD Symp., Sep. 2000, pp. 251–259. [12] H. Sarbishaei and M. Sachdev, “ESD protection circuit for 8.5 gbps I/Os in 90 nm CMOS technology,” in Proc. IEEE Custom Integr. Circuits Conf., Sep. 2009, pp. 697–700. [13] J. Sarro, K. Chatty, R. Gauthier, and E. Rosenbaum, “Study of design factors affecting turn-on time of silicon controlled rectifiers (SCRs) in 90 and 65 nm bulk CMOS technologies,” in Proc. IEEE Int. Reliabil. Phys. Symp., Mar. 2006, pp. 163–168. [14] M.-D. Ker and C. Lin, “Low-capacitance SCR with waffle layout structure for on-chip ESD protection in RF ICs,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1286–1294, May 2008. [15] M. Mergens, C. Russ, K. Verhaege, J. Armer, P. Jozwiak, R. Mohn, B. Keppens, and C. Trinh, “Speed optimized diode-triggered SCR (DTSCR) for RF ESD protection of ultra-sensitive IC nodes in advanced technologies,” IEEE Trans. Device Mater. Rel., vol. 5, no. 3, pp. 532–542, Sep. 2005. [16] M.-D. Ker and K. Hsu, “Substrate-triggered SCR device for on-chip ESD protection in fully silicided sub-0.25-m CMOS process,” IEEE Trans. Electron Devices, vol. 50, no. 2, pp. 397–405, Feb. 2003. [17] C. Russ, M. Mergens, K. Verhaege, J. Armer, P. Jozwiak, G. Kolluri, and L. Avery, “GGSCR: GGNMOS triggered silicon controlled rectifiers for ESD protection in deep submicron CMOS process,” in Proc. EOS/ESD Symp., Sep. 2001, pp. 22–31. [18] S. Dong, X. Du, Y. Han, M. Huo, Q. Cui, and D. Huang, “Analysis of 65 nm technology grounded-gate nMOS for on-chip ESD protection applications,” Electron Lett., vol. 44, no. 19, pp. 1129–1130, Sep. 2008. [19] D. K. Shaeffer and T. H. Lee, “A 1.5-V, 1.5-GHz CMOS low noise amplifier,” IEEE J. Solid-State Circuits, vol. 32, no. 5, pp. 745–759, May 1997. [20] S. T. Nicolson and S. P. Voiningescu, “Methodology for simultaneous noise and impedance matching in W -band LNAs,” in Proc. IEEE Compound Semicond. Integr. Circuit Symp., Nov. 2006, pp. 279–282. [21] M.-D. Ker, “Whole-chip ESD protection design with efficient VDD-to-VSS ESD clamp circuits for submicron CMOS VLSI,” IEEE Trans. Electron Devices, vol. 46, no. 1, pp. 173–183, Jan. 1999. [22] C. Chan, S. Chen, M. Tsai, and S. Hsu, “Wiring effect optimization in 65-nm low-power NMOS,” IEEE Electron Device Lett., vol. 29, no. 11, pp. 1245–1248, Nov. 2008. [23] H. Hyatt, J. Harris, A. Alonzo, and P. Bellow, “TLP measurements for verification of ESD protection device response,” IEEE Trans. Electron. Packag. Manuf., vol. 24, no. 2, pp. 90–98, Apr. 2001. [24] A. Z. H. Wang, On-Chip ESD Protection for Integrated Circuits: An IC Design Perspective. Boston, MA: Kluwer, 2002. [25] C. Chang, J. Hou, J. Su, C. Chen, T. Liou, S. Wong, and Y. Wang, “A high gain and low supply voltage LNA for the direct conversion application with 4-kV HBM ESD protection in 90-nm RF CMOS,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 11, pp. 612–615, Nov. 2006. [26] D. Linten, S. Thijs, W. Jeamsaksiri, J. Ramos, A. Mercha, M. Natarajan, P. Wambacq, A. Scholten, and S. Decoutere, “An integrated 5 GHz low-noise amplifier with 5.5 kV HBM ESD protection in 90 nm RF CMOS,” in Proc. VLSI Circuits Symp., Jun. 2005, pp. 86–89. [27] J. Borremans, S. Thijs, P. Wambacq, D. Linten, Y. Rolain, and M. Kuijk, “A 5 kV HBM transformer-based ESD protection 5–6 GHz LNA,” in Proc. VLSI Circuits Symp., Jun. 2007, pp. 100–101. [28] V. Chandrasekhar, C. Hung, Y. Ho, and K. Mayaram, “A packaged 2.4 GHz LNA in a 0.15 m CMOS process with 2 kV HBM ESD protection,” ESSCIRC Tech. Dig., pp. 347–350, Sep. 2002.
TSAI et al.: MULTI-ESD-PATH LNA WITH A 4.3-A TLP CURRENT LEVEL IN 65-NM CMOS
Ming-Hsien Tsai received the B.S. degree from National Tsing Hua University, Hsinchu, Taiwan, in 1996, and the M.S. degree from the Institute of Photonics, National Chiao-Tung University, Hsinchu, Taiwan, in 1998. He is currently working toward the Ph.D. degree at the Institute of Electronics, National Tsing Hua University, Hsinchu, Taiwan. In 2001, he joined the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan, as a Principal Engineer. He was involved with the developments of I/O libraries, electrical fuse IPs, and logic ESD protection circuit design. His major research interests include RF ESD protection and RF integrated circuits design.
Shawn S. H. Hsu (M’04) was born in Tainan, Taiwan. He received the B.S. degree from National Tsing Hua University, Hsinchu, Taiwan, in 1992, and the M.S. and Ph.D. degrees from the University of Michigan, Ann Arbor, in 1997 and 2003, respectively. He is currently an Associate Professor with the Institute of Electronics Engineering, National Tsing Hua University, Hsinchu, Taiwan. He serves as a technical program committee member in SSDM (2008-present) and A-SSCC (2008-present). His current research interests include the design of monolithic microwave ICs (MMICs) and RFICs using Si/III–V-based devices for low-noise, high-linearity, and high-efficiency system-on-chip (SOC) applications. He is also involved with the design, fabrication, and modeling of high-frequency transistors and interconnects. Prof. Hsu was the recipient of the Junior Faculty Research Award of National Tsing Hua University in 2007 and the Outstanding Young Electrical Engineer Award of the Chinese Institute of Electrical Engineering in 2009.
4011
Fu-Lung Hsueh received the B.S. and M.S. degrees from National Chiao-Tung University, Hsinchu, Taiwan, and the Ph.D. degree from Lehigh University, Bethlehem, PA, all in electrical engineering. He is the Director of Design Technology Division, Taiwan Semiconductor Manufacturing Company (TSMC), Hsinhcu, Taiwan, and is a Fellow of the TSMC Academy. Prior to joining TSMC in 2003, he was with Sarnoff Corporation (formerly RCA Research Center), Princeton, NJ, for 22 years. His major technical experiences include mixed-signal circuits, flat-panel display, and CMOS/CCD image sensor designs. Dr. Hsueh was the recipient of five Outstanding Technical Achievement Awards at Sarnoff and three Chairman Innovation Awards at TSMC.
Chewn-Pu Jou received the B.S.E.E. and M.S.E.E. degrees from National Taiwan University, Taipei, Taiwan, in 1982 and 1984, respectively, and the Ph.D. degree from the State University of New York, Stony Brook, in 1991. He was an RF Designer for wireless LAN circuits and LTCC components with the Industrial Technology Research Institute (ITRI), Hsinchu, Taiwan. In 1998, he initiated RFCMOS technology development at United Microelectronics Corporation (UMC). In 2001, he started Uwave tech. delivering RFCMOS wireless devices. Since 2006, he has led the Taiwan Semiconductor Manufacturing Company (TSMC), Hsinchu, Taiwan, RF design team to help RF foundry business. Dr. Jou was the recipient of the National Award of the 1997 Best MOEA Program.
4012
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model Telmo R. Cunha, Member, IEEE, Eduardo G. Lima, Member, IEEE, and José C. Pedro, Fellow, IEEE
Abstract—Although the Cartesian signal decomposition has been the preferred representation in baseband polynomial power-amplifier (PA) behavioral models, this is not the only 2-D reference frame that could be considered for representing the input complex envelope signal. Indeed, in this paper, we demonstrate that, if the alternative polar representation is considered, the resulting Volterra series model is much more adequate to model the physical behavior of PA devices. This is the feature that supports the design of an innovative PA model, denominated the Polar Volterra model, which is more flexible and general than the traditional Volterra series commonly used in PA baseband modeling. The closeness of the new model formulation with the PA physical operation enabled, for the first time in PA low-pass equivalent behavioral modeling, the theoretical derivation of a Volterra series model directly from the PA circuit analysis. In fact, as the proposed model directly isolates such PA physical characteristics, a significant reduction of the number of model coefficients is achieved when compared with the traditional Cartesian Volterra model. Finally, validation results that highlight the advantages of the Polar Volterra model are presented. These were based on the laboratory measurements performed on two PAs with distinct architectures: a conventional class-AB amplifier and a polar transmitter. Index Terms—Modeling, nonlinear filters, power amplifiers (PAs), Volterra series.
I. INTRODUCTION
M
OLDELS based on Volterra series have been extensively used to characterize nonlinear dynamic systems mostly because of: 1) the polynomial structure they are built on, which is most intuitive for the analysis of nonlinear effects; 2) their asymptotic convergence properties, which allow them to approximate, as close as desired, the real system behavior (if the system is causal, stable, and of fading memory); and 3) the simple process required for parameter extraction, as Volterra series are linear in the parameters. Power amplifiers (PAs) are one case of nonlinear dynamic systems whose transfer characteristics comply with the requireManuscript received June 30, 2010; revised October 04, 2010; accepted October 04, 2010. Date of publication November 15, 2010; date of current version December 10, 2010. This work was supported in part the Portuguese Science Foundation (FCT) under project Digital PAs (PTDC/EEA-TEL/65988/2006). This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. T. R. Cunha and J. C. Pedro are with the Department of Electronics, Telecommunications and Informatics, Institute of Telecommunications, University of Aveiro, Campus Universitário de Santiago, 3810-193 Aveiro, Portugal (e-mail: [email protected]; [email protected]). E. G. Lima is with the Departamento de Engenharia Elétrica, Universidade Federal do Paraná, Curitiba, PR, 81531-970, Brasil (e-mail: elima@eletrica. ufpr.br). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2087347
ments for being modeled, up to the desired accuracy, by Volterra series [1], [2]. Unfortunately, as the accuracy level is increased, the number of parameters of the Volterra-based models is so high that, in practice, such models become useless. In this situation, intuition of the design engineer leads him to suspect that it should not be necessary to have all of those parameters to model a PA device, and pruning the general Volterra model becomes the next step. Several PA models based on pruned Volterra series have been proposed, where two clear approaches are usually considered: 1) the empirical approach that is supported in a set of imposed assumptions, mostly based on intuition, which are later tested against the measurement results of a particular set of real PA devices (see, for instance, [3]–[6]) and 2) the approach where physical analysis of the behavior of a general PA circuit is used to identify the combinations of the input signal (and, sometimes, of the output signal also, due to the PA internal feedback) that constitute the dominant contributions for the PA output [7]–[11]. Regardless of the strategy used for reducing the number of parameters of the PA model, all Volterra-based modeling approaches are supported on a representation of the general Volterra series which, as is known from theory, is not unique. As the PA is a bandpass system whose behavior of interest is only that of the input–output complex envelope mapping (sometimes called the baseband behavior or the low-pass equivalent representation), the input and output signals are a sequence of complex values. Thus, a particular representation for the complex values must be initially considered. As will be shown in Section II, the choice of the representation for the complex-valued signals, together with the restrictions imposed by the bandpass nature of the PA, have a strong impact on the model flexibility for PA characterization. Although the Cartesian signal decomposition (based on the in-phase (I) and quadrature (Q) complex envelope components) has been adopted for all published baseband Volterra models, the polar representation could also be chosen. In fact, many other 2-D representations could be used. Following the work presented in [12], we will show in this paper that, in PA modeling, there is a huge advantage to considering the polar representation instead of the traditional Cartesian one. This new approach led to the design of a novel PA model—the Polar Volterra model—that has higher flexibility and more degrees of freedom than previous models. Moreover, it is demonstrated in this paper that the analytical equations composing this new model have a form that is shared by the theoretical analysis of the effects known to be present in usual RF PA circuits. This very important circuit analysis, which is innovative in PA modeling, ensures that the proposed model has physical support.
0018-9480/$26.00 © 2010 IEEE
CUNHA et al.: VALIDATION AND PHYSICAL INTERPRETATION OF THE PA POLAR VOLTERRA MODEL
Section II presents the derivation of the proposed Polar Volterra model. Then, an analysis of its meaningfulness for representing physical properties of general PA devices is given in Section III. The proposed model is, in Section IV, validated against laboratory measurements performed on two distinct PA devices—a class-AB amplifier and a polar transmitter. Finally, in Section V, concluding remarks summarize and highlight the advantages of the proposed approach. II. DERIVATION OF THE POLAR VOLTERRA PA MODEL The traditional formulation of the low-pass equivalent Volterra series model is
4013
evidently assumed that the PA processes the real and imaginary components of the input signal in an undifferentiated way. Naturally, the ideal case would correspond to the representation of the 2-D input signal where the PA bandpass restriction would only take effect in one of the two variables describing the input complex envelope signal, leaving unrestricted the processing of the other variable—certainly giving the resulting model a higher degree of flexibility. For the case of the model of (1), the complex value representation that leads to the ideal situation is the polar representation given by (3) and are the amplitude and phase of , rewhere spectively. If we replace the representation of (3) into (1), resulting in
(1) and are the th samples of the PA input and where is the th output complex envelope signals, respectively, -order kernel, and is the complex conjugate operator. This formulation implicitly reflects the bandpass nature of the PA device as it considers products of the input signal comproducts of the complex conjugate plex envelope times of that same input complex envelope [13]. This determines that the modeled output signal will have only components at the PA fundamental band. In other words, this structure does not generate nonphysical output components (which would correspond to out-of-band terms of the real PA). The formulation of (1) is not completely defined yet, as it is still necessary to adopt a representation for the complex-valued signals—either Cartesian, polar, or even other 2-D mapping. Traditionally, the Cartesian representation has been the adopted one in previously published Volterra-based models. We believe that the reasoning for this choice was simply the direct association to the I/Q Cartesian modulator/demodulator commonly used in wireless transceivers. It is important to note that the choice for the representation of the complex-valued signals has to be considered from the start as it has impact on the model terms (note, for instance, that the conversion between Cartesian and polar representations is not a polynomial relation). Let us, then, analyze the impact that a particular choice for the complex value representation has on the model of (1). If we consider the traditional Cartesian representation
(4) then we immediately observe that only the phase of the input signal envelope will reflect the restriction imposed by the bandpass nature of the PA (it is the exponent of the exponential–the phase–that defines the frequency location of each term and not the amplitude component). This corresponds to imposing that must the number of positive phases of delayed versions of exceed by one the number of negative phases of the delayed terms. However, the very interesting fact that we emphasize is that such physical property of the PA does not impose any restriction on the amplitude combination of the input signal. As the amplitude terms are real values, these do not dictate the frequency location of their products. It is the combination of the that defines it. phases of This observation allows the model of (4) to be further relaxed by separating the polynomial order and memory depth of the amplitude terms from those of the phase terms and leaving the PA bandpass restriction associated only with the phase combinations. The number of delayed products of the amplitude terms can then be made independent of the number of delayed products of the phase terms. Thus, the proposed low-pass equivalent Polar Volterra model for PA characterization is presented in
(2) and are the real and imaginary parts of , where respectively, and is the square root of , the successive products of delayed terms of (2), imposed by (1), will mix the real together. As a consequence, the and imaginary parts of physical characteristics of the PA, namely its bandpass behavior, will lead to restrictions that involve simultaneously the real and imaginary components of the input signal. Thus, it is not possible, in this case, to distinguish the behavior that the PA presents to each of the input signal components, and it is
(5) and constitutes a more general model than the traditional approach of (1).
4014
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
in which the gate effective voltage is given by (7) is the threshold voltage, and are two output curwhere rent and input voltage positive scaling factors, and is a parameter that accounts for the FET’s output conductance. A. Modeling Dynamic Bias Circuitry Effects Now, assume the input Thèvenin voltage, as applied to the plus an RF FET’s gate, is composed of a dc bias voltage , and , carrier, amplitude, and phase modulated by respectively, as
(8) Fig. 1. (a) General current-mode PA circuit schematic. (b) Equivalent circuit of the PA depicted in (a) used in the analysis.
In Section III, it is shown that this more general model is physically meaningful, in the sense that it does not generate nonphysical output terms. Moreover, it will also be demonstrated that it is much more amenable for characterizing the most important physical properties of PA devices than its preceding Cartesian counterpart.
where we used for the fast carrier time and for its (uncorrelated) slowly varying envelope. Under this excitation, the output current will be composed of a train of sinusoidal pulse arches whose baseband and fundamental harmonic components depend on the conduction angle in a nonanalytical way. Although this is the general case, the usual RF PA behavior is is much simpler. Indeed, for a class-B or -AB PA, where , these pulses are very similar to half-sinunearly equal to soidal arches (180 conduction angle), for which the baseband and fundamental components can be given by
III. PHYSICAL INTERPRETATION OF THE PROPOSED MODEL To show how this model is more amenable to represent the expected nonlinear dynamic behaviors of usual RF PAs than the conventional Cartesian approach, we will now derive and discuss its structure for three cases recognized as the major sources of PA memory effects: 1) dynamic bias circuitry; 2) electro-thermal dynamics; 3) input and output filtering. Note, however, that we do not want to propose exact models for any of these effects, as this is, by its length and complexity, beyond the scope of this work, but simply to discuss the ability of the proposed model formulation in describing them, in comparison to the conventional Cartesian approach. For that, we assume a typical current-mode class-B, or class-AB, PA circuit as the one depicted in Fig. 1(a). It is composed of a set of linear input-matching networks (or, in general, a complete lineup of PA drivers), a nonlinear device, e.g., a field-effect transistor (FET), an output-matching network and the corresponding load resistance, and, finally, the bias circuitry. For simplicity (essential for deriving any closed form expressions for the model), we will assume that the active device is unilateral and that its input and output reactances are linear, so that they can be lumped into the input and output Thèvenin imand , respectively. So, under this appedances, proximation, and assuming that, for low nonlinear distortion, the FET is always kept operating in its active region, it can be completely described by a nonlinear voltage-dependent current source, which, for an RF short-channel FET, may be given by (6)
(9) (10) When the baseband current of (9) flows through the bias network, fed by an independent voltage source of , the dc voltage becomes dynamically modulated according to (11) or, in the discrete time
(
is the sampling rate), (12)
where the output Thèvenin impedance, in the frequency-domain represented by , is discretized into a FIR filter having taps and memory length equal to . For example, if the bias network could be understood as a , then (11) and (12) would simple RF choke inductance become
(13) (14) Realizing that the FET’s output conductance is much smaller than the corresponding baseband and fundamental termination
CUNHA et al.: VALIDATION AND PHYSICAL INTERPRETATION OF THE PA POLAR VOLTERRA MODEL
admittances current becomes
and
4015
, the output fundamental
The channel temperature rise can now be computed from the device dissipated power as
(15)
(19)
which leads to an output fundamental component of the form
is the fundamental load impedance. where This dissipated power acts as the excitation of the lumped thermal model, according to
(16)
(20)
where and are constant coefficients. Two interesting notes are in order here. The first one is that, if, in (9) and (10), we would not had assumed perfect linearity between the output baseband and fundamental current components and input amplitude, but a polynomial expansion, then (16) would have been transformed into
which can be given in explicit form and discrete time—the onemodel is discretized into a FIR filter having taps pole and memory length equal to —as
(17) which shares the form of [6, eq. (22)] (except that here the coefare constant for all polynomial orders, which is a ficients symptom of the single effect herein treated), and the form of the baseband memory effects of [9] and [14], the base of two of the most popular PA behavioral model structures. The second one is that, if the Cartesian representation would have been used, only and would be available, and (17) could products of by delayed versions not be represented as the product of , but just as the product of by of its amplitude , which delayed versions of its amplitude squared clearly does not reflect the physics of the PA operation and has shown to be insufficient to efficiently describe the observed PA behavior [4], [6], [15].
(21) and, so, the output fundamental current component becomes
(22)
B. Modeling Dynamic Electro-Thermal Effects
(23)
For building a model of the PA dynamic electro-thermal effects, we will first consider that the thermal model is a simple one-pole network, in which stands for the thermal resistance from the active device channel to the environment and is the equivalent lumped thermal capacitance of the device. Then, we assume that the transistor is sensitive to temperature , and the variations via the threshold voltage, , channel conductivity, represented in (6) by where stands for the difference between channel temperature and environmental temperature and and are two constant coefficients. Supposing the temperature variation is small, the overall change of channel current with channel temperature can be modeled, as a first-order approximation, as
(18) where
is a constant coefficient.
sharing the same form of (16) [and, for similar reasons, of (17)], except that now it involves powers of the input amplitude and the square of this quantity. C. Modeling Input and Output Filters One interesting observation that can be made to the previous results is that, since both forms only involve products of by or delayed versions of its amplipowers of its amplitude tude , the number of phase components, in (5), is . This means that the PA is only sensitive always one, and to the input amplitude variations, i.e., it manifests AM–AM and AM–PM, either in a dynamic or static form. So, one natural question arises: is this general? Or, in other words, what physical effects do the phase components model? To answer these questions, we need to start by realizing that our previous analysis only included static nonlinearities and dynamic effects on the input amplitude. Then, we should recognize that no static function, linear or nonlinear, can have any
4016
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
impact on these phase components. Indeed, for a power of to produce first-zone output components, the number of positive frequencies must always exceed by one the number of negative . Furtherones, and so the resulting output phase is always or on any more, no dynamic effect on the amplitude of , since power of it can produce any phase terms other than does not involve any phase information. the amplitude of So, to look for these phase terms, we need to seek dynamic , not only on its amplitude. For exeffects on the complete ample, these components appear if we consider in the model the inclusion of a linear filter (e.g., the PA input- or output-matching networks). In our discussion, the linear filter is modeled by an and memory length equal to , so that FIR filter with taps is related to the input by the output signal
(24) So, if the PA includes such a linear filter, then we should expect the exposure of these phase terms. Indeed, if the PA has a flat input-matching network, but an output-matching circuit whose bandwidth is comparable to the one of the input signal, and for which the low-pass equivalent filter cannot be assumed to be flat or memoryless, the PA may be seen as a static nonlinearity followed by a linear filter (the so-called Hammerstein model), and we would have (constrained by the necessary first-zone output physical conditions)
(25) where, for simplicity, we assumed that the nonlinearity is a polynomial of degree and the output-matching network is modand memory length equal eled by a FIR filter having taps . to A combination of this output filter and the baseband dynamics represented by (17) and (23) would lead to
(26) which is the form adopted for the generalized memory polynomial of [6]. Observe that (26) can be rewritten as (5) with equal to one, but now with different from 0. Thus, a polynomial order of 1 for the input phase components is sufficient to properly characterize such a PA. In the opposite case, where the dynamic effects are to be exclusively attributed to the input matching, so that the PA can be
considered as a linear filter followed by a static nonlinearity (the Wiener structure), its model would become
(27) where the input-matching network is modeled by an FIR filter and memory length equal to . Observe that having taps (27) already shares a form very close to the one adopted for our general model of (5). Indeed, it only differs from (5) in that the nonlinear orders and the memory depths of the amplitude and phase terms are equal, which is a consequence of the fact that we have considered only one filter and one (static) nonlinearity and differs also on the particular structure of the kernels, which in the Wiener case are constrained to be the product of the same first-order kernel. IV. VALIDATION OF THE POLAR VOLTERRA PA MODEL The evaluation of the proposed PA model of (5) was performed by means of laboratory measurements on two substantially different PA devices—a conventional current-mode GaNbased amplifier biased in class AB and a polar transmitter with a class-E GaN transistor. Both amplifiers have a center frequency of 900 MHz. In the following, there is a description of the modeling results that were obtained for both test cases. A. First Test Case: Conventional Class-AB PA For this amplifier, a WCDMA-3GPP input signal was used, presenting a bandwidth of 3.84 MHz. A sampling rate of 61.44 MHz was used with the vector signal analyzer (VSA) that was used to capture the time-domain complex envelope of the PA output signal [the input signal was generated by a vector signal generator (VSG) that provides the respective values of the samples]. In the following analysis, the PA input power was raised up to the point where the PA gain presented 1.6 dB of compression. As both the traditional PA model of (1) and the proposed model of (5) are linear in the parameters, the linear least-squares error minimization method was used to estimate the parameters of both models. For this purpose, two distinct WCDMA-3GPP signals were considered—one for the estimation of the model parameters and another one for producing the results used for the comparison. After the parameter extraction procedure, the models were implemented on a computer and simulated for the same complex envelope input signal. The simulated output signals were then compared with the output signal measured in the laboratory for the real PA device. The metric adopted for the comparison between simulated and measured signals was the
CUNHA et al.: VALIDATION AND PHYSICAL INTERPRETATION OF THE PA POLAR VOLTERRA MODEL
4017
normalized mean square error (NMSE), which is calculated (in dB) through
(28)
where the subscripts and correspond to the real and imaginary parts of the complex envelope signal, respectively, is the measured output signal, and is its simulated counterpart. The of the output signals was considtotal number of samples ered. As the two models under comparison have different degrees of freedom and distinct settings to adjust (polynomial order and memory span), this comparison was considered for the cases where the number of parameters in both models was similar. This is the situation that best illustrates the improvement that the proposed model could offer, since, on one hand, it contains input combinations that the traditional model does not have, and, on the other hand, it has more flexibility on the choice of the combinations to use (as it provides a separate treatment to the amplitude and phase components of the input signal). This approach consisted, then, in determining the parameters of the models for distinct polynomial orders and memory depths, followed by the identification of the cases where the number of produced parameters was very similar for both models. The NMSE values were compared for each case. The obtained results are shown in Fig. 2, which were subsequently grouped by memory depth (i.e., each line in Fig. 2 corresponds to models containing the same memory depth, whose value is referred in the legend by MemDepth). Following the same approach, the adjacent channel error power ratio (ACEPR), as defined in [2], was also determined for a frequency shift 5 MHz and considering a bandwidth of 3.84 MHz (the worst case error was selected from the two adjacent channels). Fig. 3 depicts the ACEPR results. The results of Figs. 2 and 3 confirm that the proposed PA model is much more accurate than the conventional Volterra model, for the same number of parameters. Moreover, such improvement increases as the memory depth considered for the models also increases. Also worth noticing is that, for the best case of the conventional Volterra model, where the NMSE is 49.4 dB, the required number of complex parameters is 240 (480 real coefficients). The proposed model would only require about 80 complex parameters to achieve the same level of accuracy, which is a significant reduction of the complexity of the model. Fig. 4 depicts the power spectral density of the measured and simulated output signals, for the case where the number of parameters for each model was around 250 and both models presented the same memory depth. As is again demonstrated, the proposed polar model presents a significantly lower error when compared to the measured output signal. The extra flexibility of the proposed PA polar model is now exploited. As it considers a separate polynomial order for both the amplitude and phase combinations of the input signal, we
Fig. 2. NMSE results of the traditional and proposed PA models, for a similar number of model parameters, for the class-AB test case.
Fig. 3. ACEPR results of the traditional and proposed PA models, for a similar number of model parameters, for the class-AB test case.
have analyzed the impact of each combination types on the overall accuracy of the model. For this purpose, we have selected a set of 17 combinations for the polynomial order for the and memory depth for the amplitude and amplitudes phase and, by varying the polynomial order of the phases , we have analyzed the impact that the parameter has on the best (in the least-square error sense) PA model for this class-AB amplifier. The considered 17 combinations are identified in Table I, and the NMSE values obtained for each combination, as a function of , is shown in Fig. 5. The result of Fig. 5 clearly indicates that the parameter does not affect at all the achievable accuracy with the proposed PA model. This means that a polynomial order of 1 for the input phase components is sufficient to properly characterize the PA device, which is in agreement with the analysis presented in Section III. This observation has a very important impact on the required number of parameters of the model. It also highlights
4018
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE I
IDENTIFICATION OF THE
(P ; M; L) COMBINATIONS CONSIDERED IN FIG. 5
Fig. 4. Power density spectra for measured and simulated output signal, when both conventional and proposed PA models have around 250 complex param;M ; proposed in (5): P ;P eters [conventional in (1): P ]. ;M ;L
1
=2 =2
=4
=2
=6
=
Fig. 5. Impact of the P parameter on the NMSE value obtainable with the proposed model of (5), for the class-AB test case. The P ; M; L combinations are identified in Table I.
(
)
the fact that the nonlinear behavior produced by the PA only affects the amplitude components and not the phase. This is a strong support for the reasoning followed in the design of the proposed PA model of (5). A similar analysis was also considered for evaluating the imon the model accuracy of the proposed model. This pact of is shown in Fig. 6 for 12 different combinations (presented in —for Table II) of the remaining parameters of the model was kept equal to 1. As was exthe reasons presented above, parameter does have a great impact pected, it is clear that the on the accuracy of the PA model. As increases, the NMSE value shows a significant reduction, up to the point where the model captures the nonlinear behavior of the PA device (after does not further reduce the this level, a further increase in NMSE as the model becomes over-fitted). This is again in line with the physical reasoning presented in Section III.
Fig. 6. Impact of the P parameter on the NMSE value obtainable with the proposed model of (5), for the class-AB test case. The M; L combinations are identified in Table II, whereas P was kept equal to 1.
(
TABLE II
IDENTIFICATION OF THE
)
(M; L) COMBINATIONS CONSIDERED IN FIG. 6
CUNHA et al.: VALIDATION AND PHYSICAL INTERPRETATION OF THE PA POLAR VOLTERRA MODEL
Fig. 7. NMSE results of the traditional and proposed PA models, for a similar number of model parameters, for the polar transmitter test case.
4019
Fig. 8. ACEPR results of the traditional and proposed PA models, for similar number of model parameters, for the polar transmitter test case.
B. Second Test Case: Class-E PA in a Polar Transmitter The validation of the proposed PA model was also performed on a polar transmitter, whose architecture (significantly different from that of the previously considered current-mode class-AB PA) splits input signal into its amplitude and phase components, which then treats each separately. A code-division multiple-access (CDMA) signal, with a bandwidth around 2 MHz, was used to excite this PA. The input power level was adjusted to until the PA presented a gain with 2.1 dB of compression. The sampling frequency was 100 MHz, where 200 000 samples were captured. The first part of the samples was used for model extraction while the remaining samples were used for model validation. Following a similar approach as that performed for the class-AB PA, different settings for both the conventional Volterra and the proposed Polar Volterra models were analyzed and the situations where both models presented a similar number of parameters were compared. This is presented in Fig. 7, where the best NMSEs for both models are presented. Again, the different analyzed cases were organized by memory in (1) and and in (5) were fixed to depth [where the same value of MemDepth]. Similarly, the ACEPR was 1.25 MHz and also determined for a frequency shift considering a bandwidth of 1 MHz (again, the worst case error was selected from the two adjacent channels). Fig. 8 depicts the ACEPR results. Like in the first test case, the improvement in accuracy achieved by the new proposed model is very significant, considering both models with the same number of parameters. Moreover, it is also highlighted that, for obtaining the same NMSE accuracy, the proposed model only requires a small fraction of the number of parameters needed for the conventional Volterra model. Fig. 9 illustrates the achieved contour of the AM–AM characteristic (zoomed in the higher amplitude zone) of the polar transmitter, for the case where each of the models being compared exhibit a number of complex parameters around 110 (the best
Fig. 9. Contour of the AM–AM plot for measured and simulated output signal, when both conventional and proposed PA models have around 110 complex pa;M ; proposed in (5): P ;P rameters [conventional in (1): P ;M ;L ].
1
=2 =1
=6
=1
=5
=
case for each model, and for the number of considered parameters, was selected). This figure shows how the proposed model better captures the PA behavior in high-amplitude values. For inhigher stance, at the highest amplitude values (normalized than 0.38), the conventional model appears to lose the ability to represent the nonlinear memory effects, as the AM–AM contour no longer presents hysteresis. Contrary, the proposed polar model is able to capture such effects. and parameters on the modeling acThe impact of the curacy of (5) were analyzed, similarly to what was performed comfor the class-AB PA. In Fig. 10 [where the binations are the same considered in Table I], it is shown that the NMSE changes are negligible with the variation of the parameter (especially for the combinations where the NMSE values are lower) and that, once more, setting equal to 1 is sufficient to guarantee a high level of accuracy (for the case and , the NMSE shows where
4020
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 10. Impact of the P parameter on the NMSE value obtainable with the proposed model of (5), for the polar transmitter test case. The (P ; M; L) combinations are identified in Table I.
the PA bandwidth is significantly wider than that of the input signal. This demonstrates that, as long as the excitation can be considered narrowband in comparison with the PA bandwidth (a common situation in typical wireless circuits), the PA nonlinear dynamic behavior is associated only with the input signal amplitude. This has a direct implication on the reduction of the model complexity since, instead of two components being used for the characterization of the PA nonlinear dynamic behavior—the real and imaginary parts of the conventional Volterra series model—only one component (the amplitude) is used to generate all of the necessary nonlinear combinations. Moreover, the fact that the processing of the amplitude and phase components was made independent in the model of (5) allows the proposed model to achieve the same accuracy of the conventional Cartesian Volterra model but requiring significantly less parameters than the latter. Finally, it is worth highlighting the importance that the theoretical analysis presented in Section III has to support the proposed model. It is crucial in showing, for instance, that the conventional Volterra model fails to represent all of the input combinations generated by a general PA device as it only has by even powers of the ability to represent products of . In fact, that theoretical analysis showed the im, portance of the terms involving the odd powers of which are included in the formulation of the proposed Polar Volterra model. ACKNOWLEDGMENT
Fig. 11. Impact of the P parameter on the NMSE value obtainable with the proposed model of (5), for the polar transmitter test case. The (M; L) combinations are identified in Table II, whereas P was kept equal to 1.
The authors would like to thank Prof. P. Cabral, Instituto de Telecomunicações, Universidade de Aveiro, Aveiro, Portugal, and Prof. J. Angel Garcia and his team, Universidad de Cantabria, Cantabria, Spain, for kindly providing the polar transmitter measurements used to validate the proposed approach. REFERENCES
an increased value due to the low conditioning of the regression matrix during the model extraction—a total of 1080 complex parameters are required for the model). The same is not verified parameter where a significant reduction for the impact of the increases, as shown in Fig. 11 of the NMSE is observed as combinations are that defined in Table II, and [the was set equal to 1 for all cases]. V. CONCLUSION The measurement data that were acquired from two completely different PA architectures—the conventional class-AB and the polar transmitter—verified the advantages of the proposed Polar Volterra model, as was expected from the theoretical analysis exposed in Sections II and III. In fact, by using the polar representation for the input complex envelope signal instead of the Cartesian one, it was observed that, for both PA circuits, the combinations of the phase component of the input signal (and its delayed versions) have no impact on the model accuracy. This is in agreement with the theoretical conclusion reached in Section III, under the assumption that
[1] J. C. Pedro and S. A. Maas, “A comparative overview of microwave and wireless power-amplifier behavioral modeling approaches,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1150–1163, Apr. 2005. [2] M. Isaksson, D. Wisell, and D. Rönnow, “A comparative analysis of behavioral models for RF power amplifiers,” IEEE Trans. Microwave Theory & Tech., vol. 54, no. 1, pp. 348–359, Jan. 2006. [3] A. Zhu, J. C. Pedro, and T. J. Brazil, “Dynamic deviation reductionbased Volterra behavioral modeling of RF power amplifiers.,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [4] L. Ding and G. T. Zhou, “Effects of even-order nonlinear terms on predistortion linearization,” in Proc. 10th IEEE DSP Workshop, Oct. 2002, pp. 1–6. [5] J. Kim and K. Konstantinou, “Digital predistortion of wideband signals based on power amplifier model with memory,” Electron. Lett., vol. 37, no. 23, pp. 1417–1418, Nov. 2001. [6] D. R. Morgan, Z. Ma, J. Kim, M. G. Zierdt, and J. Pastalan, “A generalized memory polynomial model for digital predistortion of RF power amplifiers,” IEEE Trans. Signal Process., vol. 54, no. 10, pp. 3852–3860, Oct. 2006. [7] T. R. Cunha, J. C. Pedro, and P. M. Cabral, “Design of a power amplifier feed-forward RF model with physical knowledge considerations,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pt. 2, pp. 2747–2756, Dec. 2007. [8] A. Zhu, J. C. Pedro, and T. R. Cunha, “Pruning the Volterra series for behavioral modeling of power amplifiers using physical knowledge,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 813–821, May 2007.
CUNHA et al.: VALIDATION AND PHYSICAL INTERPRETATION OF THE PA POLAR VOLTERRA MODEL
[9] T. R. Cunha, J. C. Pedro, P. M. Cabral, and A. Zhu, “General nonlinear feed-forward RF model for power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 2027–2030. [10] T. R. Cunha, J. C. Pedro, and E. G. Lima, “Low-pass equivalent feedback topology for power amplifier modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 1445–1448. [11] D. Wisell and M. Isaksson, “Derivation of a behavioral RF power amplifier model with low normalized mean-square error,” in Proc. Eur. Microw. Integr. Circuit Conf., Oct. 2007, pp. 485–488. [12] T. R. Cunha, E. G. Lima, and J. C. Pedro, “A polar-oriented Volterra model for power amplifier characterization,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 556–559. [13] S. Benedetto, E. Biglieri, and R. Daffara, “Modeling and performance evaluation of nonlinear satellite links—A Volterra series approach,” IEEE Trans. Aerosp. Electron. Syst., vol. AES-15, no. 4, pp. 494–507, Jul. 1979. [14] J. C. Pedro, N. B. Carvalho, and P. M. Lavrador, “Modeling nonlinear behaviour of bandpass memoryless and dynamic systems,” in IEEE MTT-S Int. Microw. Symp. Dig., Philadelphia, PA, Jun. 2003, pp. 2133–2136. [15] E. G. Lima, T. R. Cunha, H. M. Teixeira, M. Pirola, and J. C. Pedro, “Base-band derived Volterra series for power amplifier modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 1361–1364. Telmo R. Cunha (M’05) was born in Porto, Portugal, in 1973. He received the Diploma and Ph.D. degrees in electronics and computer engineering from the Universidade do Porto, Porto, Portugal, in 1996 and 2003, respectively. Before 2004, he was first involved with the Astronomical Observatory of the University of Porto, Porto, Portugal, and afterwards he was a Technical Director and Research Engineer with Geonav Lda., a private company near Porto. Since 2004, he has been an Assistant Professor with the Department of Electronics, Telecommunications and Informatics, Universidade de Aveiro, Aveiro, Portugal, and a Research Engineer with the Instituto de Telecomunicações. He has been lecturing in the areas of control theory and electronics, and he has been involved in several research projects, national and international. His current main research interests include behavioral modeling and linearization applied to RF and microwave devices.
4021
Eduardo G. Lima (M’07) was born in Mococa, Brazil, in 1980. He received the B.Sc. degree in electrical engineering from Politecnico di Torino, Turin, Italy, in 2004, the B.sc. degree from Universidade Estadual de Campinas, Campinas, Brazil, in 2005, and the Ph.D. degree in electronic devices from Politecnico di Torino in 2009. He was a Visiting Researcher with the Instituto de Telecomunicações, Universidade de Aveiro, Aveiro, Portugal, in 2007 and 2008. He is currently an Assistant Professor with the Departamento de Engenharia Elétrica, Universidade Federal do Paraná, Curitiba, Brasil. His research interests are behavioral modeling and digital baseband pre-distortion of microwave power amplifiers.
José C. Pedro (S’90–M’95–SM’99–F’07) received the Diploma, Ph.D., and Habilitation degrees from the University of Aveiro, Aveiro, Portugal, all in electrical engineering. He is currently a Professor with the University of Aveiro, Aveiro, Portugal. He is the leading author of the book Intermodulation Distortion in Microwave and Wireless Circuits (Artech House, 2003) and has authored or coauthored more than 150 papers in international journals and symposia. He has served his university department as the Coordinator of the Scientific Council and as the Department Head. His scientific interests include active device modeling and the analysis and design of various nonlinear microwave circuits. Dr. Pedro was the recipient of the Marconi Young Scientist Award in 1993 and the 2000 Institution of Electrical Engineers (IEE) Measurement Prize. He is an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and a reviewer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium and the European Microwave Conference.
4022
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Analysis, Design, and Evaluation of LDMOS FETs for RF Power Applications up to 6 GHz Daniel Gruner, Student Member, IEEE, Roland Sorge, Olof Bengtsson, Member, IEEE, Ahmed Al Tanany, Student Member, IEEE, and Georg Boeck, Fellow, IEEE
Abstract—The analysis, design, and evaluation of mediumvoltage laterally diffused metal oxide semiconductor (LDMOS) transistors for wireless applications up to 6 GHz is presented. Using an optimized N-LDMOS transistor, power devices of different transistor geometries were fabricated in a standard 0.25- m bipolar complementary metal oxide semiconductor (BiCMOS) technology with and without on-chip stabilization networks. The influences of the finger geometry and the stabilization networks on the RF performance were studied based on small-signal and large-signal on-wafer measurements. It was analytically shown and experimentally verified that transistor geometries with reduced gate width per finger but higher number of fingers are advantageous regarding the maximum oscillation frequency. From the source/load-pull characterization of a 1.8-mm total gate-width device, state-of-the-art, large-signal performance with a maximum output power of 29.7 dBm and a peak drain efficiency of 44% were obtained at 5.8 GHz. Power evaluation of the LDMOS transistors was also carried out in designed hybrid power amplifier modules targeted for vehicular wireless LAN applications. In the 5.8–5.9 GHz band, an output power of 1 W at 1-dB power compression, an adjacent channel power ratio of 38 dBc and an error vector magnitude of 3% at 1 dB peak power compression are reported. Index Terms—Laterally diffused metal oxide semiconductor (LDMOS), microwave power amplifiers, microwave power field-effect transistors (FETs), monolithic microwave integrated circuit (MMIC) power amplifiers, metal-oxide semiconductor field-effect transistor (MOSFET) power amplifiers.
I. INTRODUCTION
T
HE rapidly growing area of next-generation mobile communications necessitates high-performance but low-cost radio frequency (RF) transceivers. The choice of the appropriate transistor technology for the transceiver implementation significantly depends on the tradeoff between manufacturing costs and performance requirements [1]. III-V compound semiconductor technologies achieve higher breakdown voltages with Manuscript received July 02, 2010; revised September 15, 2010; accepted September 23, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S Int. Microwave Symposium held on May 23–28, 2010 in Anaheim, CA. D. Gruner, A. Al Tanany, and G. Boeck are with the Microwave Engineering Laboratory, Berlin Institute of Technology, 10587 Berlin, Germany (e-mail: [email protected]). R. Sorge is with the IHP Innovations for High Performance Microelectronics, 15236 Frankfurt (Oder), Germany. O. Bengtsson is with the Ferdinand-Braun-Institut, Leibniz-Institut fuer Hoechstfrequenztechnik, 12489 Berlin, Germany. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086469
the same cutoff frequency performance and are therefore qualified to be used within high performance RF-power applications. High-performance power amplifiers (PAs) using GaAsbased heterojunction bipolar transistor (HBT), pseudomorphic high electron mobility transistor (pHEMT), or heterostructure field-effect transistor (HFET) devices were reported in [2]–[4]. Due to the high power density of modern GaN and SiC transistors, less parasitics can be obtained for smaller power devices leading to increased cutoff frequencies and large power handling capability [5]. Considerable achievements were reported using GaN HEMTs for PA applications for the 5–6 GHz band [6] and for microwave as well as millimeter-wave implementations [7]. However, due to high fabrication costs, limited integration capability, and reliability issues of these transistors, silicon-based semiconductor technologies attract interest at both increasing frequency and higher power levels. With respect to fabrication costs and size, system-on-chip RF transceivers for handsets and small mobile stations offer advantageous performance. However, the realization of RF transceivers together with the digital parts on the same die is currently limited to the use of modern complementary metal-oxide semiconductor (CMOS) technologies. Due to further down-scaling of CMOS devices, an on-chip power amplifier suffers from decreased breakdown voltages and accordingly the PA is often implemented off-chip. Therefore, current research efforts concentrate on the power performance improvement of MOS transistors as shown in [8]–[10]. A further increased large-signal performance can be obtained by implementing intelligent device and circuit topologies as presented in [11]–[13]. As an alternative, LDMOS devices, with their high breakdown voltage, provide excellent power, linearity and efficiency performance up to 3 GHz. For these reasons, LDMOS is nowadays the leading technology for commercial base station power amplifiers [14] and its capability to go beyond 3 GHz was recently investigated [14], [15]. However, these high power devices are intended for the use in base station power amplifiers and are not compatible with standard bipolar complementary metal oxide semiconductor [(Bi)CMOS] processes. To overcome this problem, the integration of CMOS compatible LDMOS structures for medium power applications was reported in [16]–[19]. In an earlier work by the authors [20], a similar approach was presented implementing a first generation of medium voltage LDMOS transistors and their power evaluation within a 6-GHz power amplifier. The characterization of a second RF optimized LDMOS structure was reported in [21] showing a promising large-signal performance in the 5–6 GHz band. Using this technology, a 6-GHz power amplifier for
0018-9480/$26.00 © 2010 IEEE
GRUNER et al.: ANALYSIS, DESIGN, AND EVALUATION OF LDMOS FETs FOR RF POWER APPLICATIONS UP TO 6 GHz
WLAN applications with an output power of 1 W at 1-dB power compression and a maximum peak drain efficiency of 40% was presented in [22]. This work goes into more detail of the design, characterization, and analysis of the second-generation LDMOS transistors. The influence of the finger geometry is theoretically analyzed and verified by means of measurements. The design approach for the on-chip stabilization networks and their impact on the RF performance is also presented in detail. All is verified in the design and characterization of hybrid 5.8–5.9 GHz LDMOS power amplifier modules. Furthermore, the potential of the LDMOS technology in modern efficiency enhancement systems using supply modulation has been investigated by measuring the PA from [22] under static drain supply modulation. The organization of this paper is as follows: Section II covers the LDMOS technology used in this work. The detailed analysis and characterization of the designed transistors is presented in Section III. Section IV deals with the design and evaluation of the hybrid power amplifier modules. II. LDMOS TECHNOLOGY The 0.25- m SiGe:C BiCMOS technologies from IHP Microelectronics include medium-voltage N-LDMOS and P-LDMOS RF-transistors. The LDMOS module can be optionally integrated into a standard 0.25- m RF-BiCMOS technology without changing the CMOS device parameters. A simplified cross-section of the optimized n-type RF-LDMOS transistor is shown in Fig. 1. The gate oxide V. The thickness of 5 nm corresponds to a gate voltage of gate overlap of the standard p-well is lithographically defined and forms the inside gate length. After implantation of source and drain regions, the implant of the lightly doped drain region (n-LDD) and the p-region for a reduced surface field (p-RESURF) were formed. In order to ensure a satisfactory large-signal performance at operating frequencies up to 6 GHz, the parasitics of the LDMOS structure were carefully reduced. The key parameters for im, the parproved RF characteristics are the on-resistance , and drain-source capacasitic gate-drain capacitance as shown in [19]. A good small-signal perforitance mance is a necessary but not a sufficient condition for an optimum large-signal performance. Especially at frequencies beyond 3 GHz, the lossy output capacitance has a major impact on the transistor efficiency and the losses associated with the distributed RC output network have to be reduced. The implementation of an optimized p-RESURF region ensures a reduced surface field along the drift region which helps to by maintaining a satisfactory breakdown voltage. decrease An optimum connection of the channel to the drift region is real. The position and parameters ized by tuning the distance of the lightly doped drain region have been selected in order to guarantee a decreased drain-to-p-substrate/p-well capacitance , to realize a suffibeing part of the output capacitance cient channel connection near the gate overlapped drift region , and to ensure a full depletion in the off-state inside the is obtained drift region. A reduced feedback capacitance by tuning the parameters and the shape of the gate overlapped drift region.
4023
Fig. 1. Simplified cross-section of the developed RF N-LDMOS structure with gate overlapped drift region.
The structure optimization led to a N-LDMOS transistor with a gate/drift length of 0.6/0.7 m and with the following electrical parameters: A drain-source breakdown voltage of of 5 mm and a saturation drain 22 V, an on-resistance of 390 A m. current III. LDMOS POWER TRANSISTOR A. Transistor Design The design of multi-finger power transistors within the five layers metal stack was carried out especially in order to minimize the parasitic capacitances between the transistor terminals. On the other hand, a proper heat spread for the dissipated power of the device also had to be considered. Devices of different sizes were designed in different finger configurations to investigate the RF large-signal performance over a wide output power range and to analyze the impact of the finger geometry. The total gate width covered was 1.1–2.7 mm using two values for the gate width per finger m and number of fingers . Instability is a critical point when the transistors are used in RF power amplifiers. This is mainly caused by the large gain expected at lower frequencies. With the modular integration of the LDMOS transistors into the existing 0.25- m SiGe:C BiCMOS process, the complete technology design libraries were available for the transistor design. Therefore, metal–insulator–metal capacitors (MIM) and n-type polysilicon resistors (Rpnd) could be used to realize on-chip stabilization networks for some of the LDMOS devices. Fig. 2 illustrates the microphotographs and the corresponding circuit schematics of the power transistors designed with and without on-chip stabilization networks. Since no backside processing was available for the targeted standard BiCMOS technology, a large number of source pads were implemented. In a hybrid power amplifier test circuit, this helps to reduce the degeneration inductance caused by the source bondwires. For on-wafer characterization, the pads were designed to match with 100–200 m pitch ground-signal-ground probes. B. Stability Performance Since the stability performance was expected to be critical, some of the devices were realized with on-chip stabilization networks, as shown in Fig. 2. The networks consist of a resistive , realizing a voltage feedback from the voltage divider drain- to the gate- terminal of the transistors. Furthermore, a parallel network , was implemented at the gate terminal of
4024
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
K
Fig. 2. Circuit schematics and microphotographs of typical RF N-LDMOS power transistor circuits with and without on-chip stabilization networks.
the devices in order to reduce the gain at lower frequencies. This network can be externally bypassed using probe/bond pad . Sufficient conditions for unconditional stability are a stability of and a stability measure of . factor The goal of the stabilization network design was to realize a performance close to unconditional stability without degrading the RF large-signal performance substantially. Fig. 3 illustrates and that were determined from 50- small-signal measurem without ments of a 1.8-mm device stabilization networks. The values that result after adding the and the resistive voltage divider parallel gate network , are also presented in Fig. 3. Comparing of the deof the unstabilized vice with on-chip voltage divider with device, it can be seen that the effect of and reduces as the frequency increases. This is caused by the output and input impedances of the transistor, which tend to decrease for higher frequencies resulting in a reduced impact of the resistive voltage , a considerable divider. With the parallel gate network increase in the stability factor is observed at lower frequencies forcing the signal due to the frequency response of capacitor . At higher frequencies, only a slightly imto pass resistor proved is observed compared to the unstabilized device. In summary, the described networks ensure a stable transistor operation close to unconditional stability with close to one and . In order to guarantee a similar stability performance independent of transistor size, the parallel gate network , was scaled with respect to the particular total gate width. C. Cutoff Frequencies
B
Fig. 3. Impact of the stabilization networks on and for the 1.8-mm , transistor. Unstabilized device (triangle). Device with voltage divider (square). Device with parallel gate network , (circle). Device with , and , (solid); , , , .
R C
f
R R R R R C R = 40 C = 5:5 pF R = 135 R = 800
f C
Fig. 4. , , extracted from small-signal measurements of unstabilized LDMOS devices covering a total gate width from 1.1 mm up to 2.7 mm. represents the maximum oscillation frequency calculated from the transistor geometries using (6).
f
where is the transconductance, the gate-drain capacithe gate–source capacitance. As shown in Fig. 4, tance, and remains almost constant with increasing total gate width. Different finger configurations do not show a significant influence on the transition frequencies of the fabricated devices. The , and increase in equal measures for reason is that , larger transistors resulting in a non-varying ratio given in (1). The maximum oscillation frequency can be estimated by
(2)
and the maximum oscillation The transition frequencies extracted from small-signal measurements frequencies of the unstabilized devices are shown in Fig. 4 for a supply V and a drain current of mA mm. voltage of and can be derived from The cutoff frequencies the small-signal equivalent circuit of the LDMOS devices. After some simplifications, e.g., neglecting the resistance associated is given by with the drift region [18], a rough estimation for (1)
represents the parasitic gate resistance of the tranwhere sistor. Comparing of the 1.6-mm and 1.8-mm device in Fig. 4, it can be observed that the 1.8-mm transistor achieves although its total gate width is higher. This is a higher caused by the shorter fingers of the 1.8-mm transistor, which lead to a reduction of the distributed gate contact resistance. In order to prove this assumption and to verify the extracted values in Fig. 4, the maximum oscillation frequencies m of the 1.6/1.8/2.7-mm devices were calculated from the measured of the
GRUNER et al.: ANALYSIS, DESIGN, AND EVALUATION OF LDMOS FETs FOR RF POWER APPLICATIONS UP TO 6 GHz
4025
1-mm transistor m using basic geometric relations. The calculated maximum oscillation frequency for an arbitrary transistor geometry can be derived from a reference device with , , by
(3) Since is assumed to be constant for the finger geometries covered (Fig. 4) it yields
(4) The parasitic capacitance can be scaled with the total gate width because the finger metallization structure for all designed is high enough to neglect the imdevices is equal and the pact of the outer fingers. This is confirmed for the gate-drain cain Fig. 4 extracted from measurements of each pacitances particular transistor. Assuming a gate contact resistance, which it yields is proportional to the gate width per finger
Fig. 5. Optimum impedances of 1.8-mm LDMOS with and without on-chip at 2-dB power stabilization networks obtained to achieve the maximum P V; I mA; f 2.14, 2.66, 3.5, 5.2, 5.8 GHz. compression. V
= 10
= 90
=
(5) for an arbitrary transistor geometry Accordingly, covered in this work can be estimated by
(6) for the 1.6/1.8/2.7-mm Fig. 4 illustrates the transistors. The values were determined with (6) using the 1.1-mm transistor as reference device m . Although the presented equations are rather simple and do not represent the complete parasitic effects, a good agreement of estimated with (6) and the extracted the from the measurements is achieved. This confirms the accuracy of the cutoff frequencies extracted from small-signal measurements. D. Source/Load-Pull Characterization For the source/load-pull characterization of the LDMOS devices an on-wafer measurement setup was used. The setup consists of two automated passive impedance tuners. The optimum of the 1.8-mm transistor with and without impedances on-chip stabilization networks obtained to deliver the maximum output power at 2-dB power compression at 2.14, 2.66, 3.5, 5.2, 5.8 GHz are shown in Fig. 5. The supply voltage was 10 V of about 90 mA, which with a quiescent drain current corresponds to a class-AB operation. Only a minor impact of
Fig. 6. Source/load pull measurement results for the 1.8-mm LDMOS transistor. Unstabilized device (filled symbols). Stabilized device (hollow symbols). P at 1-dB compression and in saturation. Peak drain efficiency; V V; f 2.14, 2.66, 3.5, 5.2, 5.8 GHz.
10
=
=
the on-chip stabilization networks on the optimum load impedances is observed for frequencies above 2.66 GHz. In contrast to that, the source impedances significantly change due to parallel , . The implementation of this network negate network cessitates more inductive source impedances with also slightly increased real part due the losses associated with MIM capacand resistor . itor , output power , and drain The transducer gain obtained from the source/load-pull analysis of efficiency the 1.8-mm device with and without stabilization networks are summarized in Figs. 6 and 7. It can be shown that the implemented stabilization networks lead to a slight degradation of the RF performance of the device. The average degradation over the frequency is about 4% for the drain efficiency and 0.35 dB for the output power. The linearity of the devices under modulated signal excitation was characterized using a WCDMA signal measured over a 5-MHz bandwidth and a peak-to-avdB. The average output erage-power-ratio of that can be achieved while maintaining an power below dBc, when the device is terminated with the optimum impedances in Fig. 5 is presented in Fig. 7. As can be
4026
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE I COMPARISON TO STATE-OF-THE-ART TECHNOLOGIES
Fig. 7. Source/load pull measurement results for the 1.8-mm LDMOS transistor. Unstabilized device (filled symbols). Stabilized device (hollow . Average output power to maintain an symbols). Transducer gain = 10 V; =2.14, 2.66, 3.5, 5.2, 5.8 GHz. below 32 dBc.
0
V
G
ACPR
f
efficiency and gain reduce as the frequency increases. Compared to other CMOS compatible transistors, the optimized LDMOS devices in this work achieve excellent efficiency and gain performance up to 5.8 GHz while also providing a high output power. IV. POWER AMPLIFIER DESIGN AND CHARACTERIZATION To investigate the performance of the developed LDMOS transistors within a real power amplifier, hybrid PA modules were designed to be used for 5.8–5.9 GHz wireless LAN applications. A. Design of a Power Combining Amplifier
Fig. 8. Modulated signal load pull measurements of 1.8-mm device including stabilization networks: 2.14 GHz 3 GPP test signal. Contours for average output power (solid) with 0.2 dB step. contours (dashed) for lower and upper channel with 2 dB step ranging from 34 to 28 dBc.
ACPR 0
0
seen, the maximum for this case also degrades after adding the stabilization circuits. The discrepancy in transducer gain decreases from 7 dB at 2.14 GHz to 2 dB at 5.8 GHz due to frequency response of the stabilization networks as discussed in Section III-B. However, the networks implemented ensure a stability performance close to unconditional stability as shown in Fig. 3 and avoid oscillation effects that can lead to the breakdown of the unstabilized devices. Fig. 8 depicts the load contours for the modulated average of the 1.8-mm LDMOS, including output power and the stabilization networks. It is shown that if an output power degracan be improved dation of 0.2 dB can be accepted, the by more than 2 dB. A slight asymmetry is observed for impedances close to the maximum output power impedance point due to memory effects. These can, however, stem from either device internal memory or system inserted like, e.g., improperly terminated base-band impedances. Table I draws the comparison between the designed RF LDMOS devices and the state-of-the-art. All power transistors shown in this table are implemented in standard CMOS/BiCMOS technologies. Table I shows that especially
The targeted output power for this project was 1 W at 1-dB power compression. As shown in Figs. 6 and 7, the output power per millimeter gate width at 1-dB compression is about 0.33 W/mm. Consequently, a total gate width of roughly 3 mm is required for the power amplifier design. Since the of the 2.7-mm transistor seen in Fig. 4 and the available gain at frequencies around 6 GHz are comparatively low, the targeted output power was achieved by implementing a power combining of two 1.8-mm devices. Besides the enhanced small-signal performance, this ensures increased optimum impedances and relaxed matching conditions which result in an improved power amplifier performance. In order to guarantee a safe transistor operation, the LDMOS devices with on-chip stabilization networks were used for the power amplifier design. The designed class-AB power combining amplifier is illustrated in Fig. 9. For an appropriate heat spread of the dissipated power, the transistors were mounted on a 35 35 mm copper heat sink. Simple single stub microstrip matching networks were designed on a Rogers RO4003 substrate. The interconnections between printed circuit board and the transistor die with an area consumption of 630 400 m were realized using semi-automated wire bonding. Since the length of the bondwires at the gate and drain terminals was – m, the bondwires had to be considered as part about of the matching networks. To compensate the tolerances especially of the bonding and also from the etching technology, tunable matching networks were implemented. This tuneability was achieved by connecting or disconnecting additional open stub segments with short bondwires. Due to the high impedance
GRUNER et al.: ANALYSIS, DESIGN, AND EVALUATION OF LDMOS FETs FOR RF POWER APPLICATIONS UP TO 6 GHz
4027
Fig. 9. Power combining class-AB amplifier using two 1.8-mm LDMOS devices with on-chip stabilization networks [22].
at the end of the open stub, the inductance of the bondwires does not noticeably influence the open stub characteristic and just a change of its length can be obtained with this technique. The RF/DC decoupling was achieved with quarter wave length transmission lines shorted with several shunt capacitors. In this regard, the control of the envelope impedances was especially taken into account to reduce the degree of memory effects [22]. The power dividing/combining at the input/output of the PA module was realized with Wilkinson combiner structures.
Fig. 10. Output power, drain efficiency, and P AE performance of the power combining class-AB amplifier versus input power at 5.8, 5.9 GHz [22]. V : mA. V; I
10
=
= 0 18
B. Continuous Wave Measurements The large-signal measurement results of the power combining amplifier at 5.8 and 5.9 GHz are summarized in Fig. 10. The total quiescent current was A with a supply voltage V. of The small-signal transducer gain obtained was 7–8 dB over the targeted band. The output power measured in the band was typically 31.8 dBm in saturation and about 30 dBm at 1 dB power compression. The peak drain efficiency and maximum typically were 40% and 28%, respectively. This amplifier is one of the first LDMOS PA implementations in the 5–6 GHz band that achieves an output power in the 1-W range with drain efficiency up to 40%. The measured output power and drain efficiency at 5.85 GHz – V in 1-V steps are under varying supply voltage shown in Fig. 11. For these measurements the quiescent drain A. The output power and current was kept constant efficiency curves that can ideally be achieved under static drain supply modulation are also presented in Fig. 11 (symbols). It can be seen that the maximum output power is obtained at a supply voltage of 10 V while a slightly increased peak drain efficiency V. is observed for C. Digitally Modulated Signal Evaluation The linearity of the power combining PA was investigated by applying digitally modulated signals. The test signal used is an OFDM-based wireless LAN signal according to the IEEE 802.11p standard for vehicular communication systems. The generated signal consists of 52 active sub-carriers within the 10-MHz channel around the center frequency of 5.86 GHz. Each sub-carrier uses a 64 QAM modulation scheme resulting in a data rate of 27 Mbps and a peak to average power ratio of 8.3 dB. The normalized power spectral densities (PSD) of the
Fig. 11. Output power, drain efficiency curves (solid) of power combining PA at 5.85 GHz under varying supply voltage V – V using 1-V steps. Optimum performance under static drain voltage modulation (symbols).
= 3 10
input signal and the output signals of the PA at 1 dB, 3 dB peak power compression are shown in Fig. 12. In addition, the spectral mask for a power class C device according to IEEE 802.11 p standard is presented in Fig. 12. For the 1-dB peak power compression curve in Fig. 12, an dBc was measured without significant asymACPR of metry between lower and upper frequency channel. This indicates an appropriate envelope impedance termination and negligible memory effects. The measured PSD at 1-dB peak power compression complies with the power class C spectral mask of the IEEE 802.11p standard demonstrating the good linearity performance of the designed LDMOS devices. The measured AM/AM and AM/PM conversions for a test data sequence of 1024 bytes are illustrated in Figs. 13 and 14 for 1-dB peak power compression. Only minor AM/AM and AM/PM variations of dB and deg are obtained at smaller input amabout plitudes. Together with the symmetric spectral density curves in Fig. 12, these values represent no significant memory effects and confirm the quality of the matching and biasing network design. The resultant error vector magnitude (EVM) at 1-dB peak power compression is 3%. D. Two-Stage Power Amplifier In order to investigate the capability of the designed transistors within more complex amplifier topologies, a two-stage
4028
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 12. Normalized power spectral density graphs of 802.11p input signal and power combining PA output signal at 1 dB/3 dB peak power compression [22]. Spectral mask (dashed) for power class C device.
Fig. 13. AM/AM conversion for a data sequence of 1024 bytes. IEEE 802.11p test signal. Power combining PA using two 1.8-mm LDMOST [22].
Fig. 15. Two-stage class-AB PA using three of the 1.8-mm LDMOS devices with on-chip stabilization networks: schematic; fabricated PA.
Fig. 14. AM/PM conversion for a data sequence of 1024 bytes. IEEE 802.11p test signal. Power combining PA using two 1.8-mm LDMOST [22].
power amplifier was designed. With respect to the targeted 6-GHz application this helps to meet specifications for the transducer gain of the PA. For the power stage, a topology similar to the combining PA presented in the previous subsection was used. In addition, a driver stage was designed also using a 1.8-mm LDMOS device with on-chip stabilization networks. The matching networks of the driver amplifier were designed using 50- reference planes. The driver was implemented trading off gain and output power performance based on the source/load-pull characterization presented in Section III. The fabricated two-stage LDMOS PA and its simplified circuit schematic are shown in Fig. 15. The area consumption of the heat sink made from copper is 30 55 mm . The measured large-signal performance of the two-stage LDMOS power was amplifier at 5.85 GHz is presented in Fig. 16. The 10 V with a total quiescent drain current consumption of 0.24 mA. The corresponding S-parameters measured from 3.5 GHz to 6.5 GHz are shown in Fig. 17. In the targeted 5.8–5.9 GHz band, the two-stage LDMOS power amplifier achieves an output power performance very close to the power
Fig. 16. Output power, drain efficiency, P AE performance of two-stage V; I : mA. class-AB PA versus input power at 5.85 GHz; V
= 10
= 0 24
Fig. 17. S-parameters of two-stage class-AB power amplifier versus frequency; V. V; I : mA
= 10
= 0 24
combining PA (Fig. 10). With 31.8 dBm the saturated output power remains almost constant whereas the 1-dB output power compression point is slightly reduced to 29.8 dBm due to the power compression of the driver.
GRUNER et al.: ANALYSIS, DESIGN, AND EVALUATION OF LDMOS FETs FOR RF POWER APPLICATIONS UP TO 6 GHz
The additional current consumption of the driver amplifier on the one hand results in a decrease of the peak drain effidoes ciency down to 30.5%. On the other hand, the peak not change significantly in comparison to the combining PA reaching a value of 28.5%. As shown in Fig. 17, a small-signal gain of about 16.5 dB is achieved in the 5.8–5.9 GHz band having a flat frequency response within a 2-dB range down to and are below 10 dB from 4.1 GHz. The measured 5.8 GHz to 5.9 GHz. V. SUMMARY AND CONCLUSION The design, characterization, and analysis of medium-voltage LDMOS transistors implemented in a standard 0.25- m BiCMOS technology was presented. Using the developed RF optimized LDMOS structure, power devices of different sizes and finger configurations have been fabricated. The impact of the particular transistor geometry on the cutoff frequencies was analyzed analytically and verified by means of measurement results. It was proved that transistor geometries with reduced gate width per finger but higher number of fingers are advantageous with regard to the achievable maximum oscillation frequency. Furthermore, on-chip stabilization networks were implemented for some of the LDMOS transistors in order to ensure a safe operation close to unconditional stability over the targeted frequency range up to the 6-GHz band. The influence of these networks on the large-signal RF performance was studied by comparing the source/load-pull measurement results of a stabilized and unstabilized 1.8-mm LDMOS transistor. The unstabilized 1.8-mm transistor achieves state-of-the-art RF performance delivering 29.7 dBm maximum output power with peak drain efficiency of 44% at 5.8 GHz. Only minor large-signal performance degradation was observed due to the stabilization networks over the investigated frequency band from 2.14 GHz to 5.8 GHz. Using two of the developed 1.8-mm transistors, a hybrid 5.8–5.9 GHz power combining amplifier targeted for wireless LAN vehicular communications was designed. This amplifier achieves an output power of 1 W at 1-dB compression with a of 40/28% and small-signal maximum drain efficiency/ gain of about 7–8 dB. The linearity performance was investigated by applying modulated signals according to the 802.11p of dBc and an EVM of standard determining an 3% at 1-dB peak power compression. The small-signal gain of the power combining amplifier was increased by adding a LDMOS driver amplifier. Providing almost the same output power performance, a gain of 16.5 dB was obtained for this , below dB from two-stage LDMOS amplifier with 5.8 GHz to 5.9 GHz. To the best of the authors’ knowledge, this work is one of the first 6-GHz LDMOS implementations in a standard BiCMOS technology that achieves an output power of greater than 1 W with a drain efficiency of more than 40%. REFERENCES [1] M. Feng, S.-C. Shen, D. C. Caruth, and J.-J. Huang, “Device technologies for RF front-end circuits in next-generation wireless communications,” Proc. IEEE, vol. 92, no. 2, pp. 354–375, Feb. 2004.
4029
[2] H. Jaeger, A. Grebennikov, E. Heaney, and R. Weigel, “Broadband high-efficiency monolithic InGaP/GaAs HBT power amplifiers for 3 G handset applications,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 1035–1038. [3] S. H. Chen, E. Y. Chang, and Y. C. Lin, “2.4 V-operated enhancement mode PHEMT with 32 dBm output power and 61% power efficiency,” in Proc. Asia-Pacific Microw. Conf. APMC 2001, 2001, pp. 1291–1294. [4] Y. Bito, T. Kato, and N. Iwata, “High efficiency power amplifier module with novel enhancement-mode heterojunction FETs for wide-band CDMA handsets,” in Proc. 22nd Annu. Gallium Arsenide Integrated Circuit Symp. GaAs IC’00, 2000, pp. 255–258. [5] B. Vassilakis and A. Cova, “Comparative analysis of GaAs/ LDMOS/GaN high power transistors in a digital predistortion amplifier system,” in Proc. Asia-Pacific Microw. Conf. APMC 2005, Dec. 2005. [6] B. J. Millon, S. M. Wood, and R. S. Pengelly, “Design of GaN HEMT transistor based amplifiers for 5–6 GHz WiMAX applications,” in 38th Eur. Microw. Conf. EuMC 2008, Oct. 2008, pp. 1090–1093. [7] U.K. Mishra, S. Likun, T. E. Kazior, and Y.-F. Wu, “GaN-Based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, Feb. 2008. [8] T. Yan, H. Liao, Y. Z. Xiong, R. Zeng, J. Shi, and R. Huang, “Cost-Effective integrated RF power transistor in 0.18-m CMOS technology,” IEEE Electron Device Lett. , vol. 27, no. 10, pp. 856–858, Oct. 2006. [9] T. Chang, H. L. Kao, S. P. McAlister, K. Y. Horng, and A. Chin, “Improved RF power performance in a 0.18-m MOSFET which uses an asymmetric drain design,” IEEE Electron Device Lett., vol. 29, no. 12, pp. 1402–1404, Dec. 2008. [10] S.-Y. Huang, K.-M. Chen, G.-W. Huang, C.-Y. Chang, C.-C. Hung, V. Liang, and B.-Y. Chen, “Design for integration of RF power transistors in 0.13 m advanced CMOS technology,” in Proc. IEEE/MTT-S Int. Microw. Symp., Jun. 2007, pp. 323–326. [11] A. K. Ezzeddine and H. C. Huang, “The high voltage/high power fet (HiVP),” in Proc. IEEE Radio Freq. Integr. Circuits Symp. RFIC 2003, Jun. 2003, pp. 215–218. [12] S. Leuschner, S. Pinarello, U. Hodel, J.-E. Mueller, and H. Klar, “A 31-dBm, high ruggedness power amplifier in 65-nm standard CMOS with high-efficiency stacked-cascode stages,” in Proc. IEEE Radio Freq. Integr. Circuits Symp. RFIC’10, May 2010, pp. 395–398. [13] M. Apostolidou, M. P. van der Heijden, D. M. W. Leenaerts, J. Sonsky, A. Heringa, and I. Volokhine, “A 65 nm CMOS 30 dBm class-E RF power amplifier with 60% PAE and 40% PAE at 16 dB back-off,” IEEE J. Solid-State Circuits, vol. 44, pp. 1372–1379, May 2009. [14] F. van Rijs, “Status and trends of silicon LDMOS base station PA technologies to go beyond 2.5 GHz applications,” in Proc. IEEE Radio Wireless Symp., 2008, pp. 69–72. [15] G. Ma, Q. Chen, O. Tornblad, T. Wei, C. Ahrens, and R. Gerlach, “High frequency power LDMOS technologies for base station applications status, potential, and benchmarking,” in Proc. IEEE Int. Electron Devices Meeting, 2005, pp. 361–364. [16] A. Litwin, O. Bengtsson, and J. Olsson, “Novel BiCMOS compatible, short channel LDMOS technology for medium voltage RF and power applications,” in Proc. IEEE MTT-S Int. Microw. Symp., Jun. 2002, pp. 35–38. [17] O. Bengtsson, A. Litwin, and J. Olsson, “Small-signal and power evaluation of novel BiCMOS-compatible short-channel LDMOS technology,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1052–1056, Mar. 2003. [18] D. Muller, A. Giry, F. Judong, C. Rossato, F. Blanchet, B. Szelag, A. M. Aguirre, R. Sommet, D. Pache, and O. Noblanc, “High-Performance 15-V novel LDMOS transistor architecture in a 0.25-m BiCMOS process for RF-power applications,” IEEE Trans. Electron Devices, vol. 54, no. 4, pp. 861–868, Apr. 2007. [19] L. Vestling, J. Ankarcrona, and J. Olsson, “Analysis and design of a low-voltage high-frequency LDMOS transistor,” IEEE Tran. Electron Devices, vol. 49, no. 6, pp. 976–980, Jun. 2002. [20] D. Gruner, R. Sorge, A. Z. Markos, O. Bengtsson, and G. Boeck, “6 GHz medium voltage LDMOS power amplifier based on load/source pull characterization,” in Proc. German Microw. Conf., Mar. 2010. [21] D. Gruner, R. Sorge, O. Bengtsson, and G. Boeck, “CMOS compatible medium voltage LDMOS transistors for wireless applications up to 5.8 GHz,” in Proc. Eur. Microw. Integr. Circuits Conf. EuMiC’10, Sep. 2010. [22] D. Gruner, R. Sorge, O. Bengtsson, A. Z. Markos, and G. Boeck, “A 1 W Si-LDMOS power amplifier with 40% drain efficiency for 6 GHz WLAN applications,” in Proc. IEEE MTT-S Int. Microw. Symp., Jun. 2010, pp. 517–520.
4030
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Daniel Gruner (S’07) was born in Magdeburg, Germany, in 1980. He received the Dipl.-Ing. degree in electrical engineering from Otto-von-Guericke University Magdeburg, Magdeburg, Germany, in 2006. He is currently working towards the Ph.D. degree at the Microwave Engineering Lab, Berlin Institute of Technology, Berlin, Germany. His main research areas are focused on the development of RF power amplifiers, the design and characterization of power devices using silicon-based semiconductor technologies, and the modeling of passive MMIC structures. Mr. Gruner was awarded the faculty prize of IESK, Otto-von-Guericke University Magdeburg, in 2007 as the best graduate of the year.
Roland Sorge received the electrical engineering diploma degree in information techniques from the Technical University Dresden, Dresden, Germany, in 1983 and the Ph.D. degree in technical informatics from the Humboldt University Berlin, Berlin, Germany, in 1998. He is head of the Electrical Characterization Group, Technology Department, IHP Innovations for High Performance Microelectronics, Frankfurt (Oder), Germany. His main research interest is currently focused on the development of RF power LDMOS devices for BiCMOS technologies.
Olof Bengtsson (S’98–M’08) was born in Ängelholm, Sweden, in 1969. He received the B.Sc. degree in electrical engineering from the University of Gävle, Gävle, Sweden, in 1997 and the Lic.Tech. and Ph.D. degrees from the Department of Solid State Electronics (SSE), Ångström Laboratory, Uppsala University, Uppsala, Sweden, in 2006 and 2008, respectively. From 1998 to 2009, he was teaching microwave engineering at the University of Gävle. Since April 2009, he has been with the Ferdinand-Braun-Institut
(FBH), Berlin, Germany. Since 2003, he has also been full owner and Managing Director of Swedish Linear Microwave AB, Gävle, Sweden. His main interests are RF-power device design, physical device simulations (TCAD), large-signal device characterization, and RF-power amplifier design.
Ahmed Al Tanany (S’07) received the B.Sc. degree in communication and control engineering from the Islamic University of Gaza, Palestine, in 2003 and the M.Sc. degree in electronics and telecommunications from the University of Gävle, Gävle, Sweden, in 2008. He did his M.Sc. thesis at Infineon Technology, Sweden, in switch mode power amplifiers using Si-LDMOS. Currently, he is working towards the Ph.D. degree at the Berlin Institute of Technology, Berlin, Germany. His main interests are power amplifier efficiency enhancement techniques and linearity improvement.
Georg Boeck (M’93–SM’00–F’08) received the Dipl.-Ing. and Dr.-Ing. degrees from the Berlin Institute of Technology, in 1977 and 1984, respectively. In 1984, he joined Siemens Research Labs, Munich, Germany, where his research areas were on fiber optics and GaAs electronics. Since 1991, he has been head of the Microwave Engineering Research Laboratory, Berlin Institute of Technology. His main areas of research are power amplifiers, microwave devices, and circuits and systems up to and beyond the 100-GHz range. In 2006, he was appointed as a Guest Professor at the Southeast University Nanjing, China. Prof. Boeck was the general chair of several international conferences and is a member of numerous review boards and program committees. He served as Distinguished Microwave Lecturer in 2006–2008 and as an Associate Editor of the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS in 2008–2009. He is the chairman of the German IEEE MTT/AP joint chapter.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
4031
Broadband HBT Doherty Power Amplifiers for Handset Applications Daehyun Kang, Dongsu Kim, Junghwan Moon, and Bumman Kim, Fellow, IEEE
Abstract—A Doherty power amplifier for IEEE 802.16e mobile worldwide interoperability for microwave access (m-WiMAX) is 1 2 mm2 die using a 2- m InGaP/ fully integrated on a 1 2 GaAs hetero-junction bipolar transistor (HBT) process. The direct input power dividing technique is employed on the chip. Broadband input and output matching techniques are used for broadband Doherty operation, and their effects are analyzed. A peaking amplifier 1.5 times larger than a carrier amplifier delivers high efficiency for m-WiMAX signal with a 9.6-dB crest factor and an 8.75-MHz bandwidth (BW). The PA with a supply voltage of 3.4 V has an EVM of 2.3% and a PAE of 31.5% at an output power of 24.75 dBm as well as an operating frequency of 2.6 GHz. A PAE over 30.3% and an output power of greater than 24.6 dBm with an EVM less than 3.15% and a gain variation of 0.2 dB are achieved across 2.5–2.7 GHz without any assisting linearization technique. After compensating AM-AM and AM-PM nonlinearity using a digital feedback predistortion algorithm, the PA exhibits a PAE of over 27% and an output power of over 23.6 dBm across 2.2–2.8 GHz while maintaining an EVM below 2.7%. Index Terms—Broadband, Doherty, efficient, handset, heterojunction bipolar transistors (HBT), linear, MMIC, mobile worldwide interoperability for microwave access (m-WiMAX), power amplifier (PA).
I. INTRODUCTION
T
HE Doherty technique is a load modulation technique invented in the 1930s as a means to achieve high efficiency from tube amplifiers [1]. Since the 1980s, the Doherty technique has been applied to microwave transistors [3]–[15]. Doherty power amplifiers (PAs) efficiently amplify signals with a high PAPR because only one half of the power cell operates in a lowpower region. Therefore, Doherty PAs have been extensively researched for use in amplification of next-generation signals which have a wider BW and a higher peak-to-average-power ratio (PAPR) [1]–[3]. Research has been focused on increasing efficiency in the further back-off region because of signal’s high-PAPR and freManuscript received July 01, 2010; revised September 13, 2010; accepted September 22, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by the Brain Korea 21 Project in 2010, by the World Class University (WCU) program through the Korea Science and Engineering Foundation funded by the Ministry of Education, Science and Technology (Project No. R31-2008-000-10100-0), and by the Ministry of Knowledge Economy (MKE), Korea, under the Information Technology Research Center (ITRC) Support Program supervised by the National IT Industry Promotion Agency (NIPA) [NIPA-2010-(C1090-1011-0011)]. This paper is an expanded paper from the IEEE MTT-S Int. Microwave Symposium held on May 23-28, 2010 in Anaheim, CA. The authors are with the Department of Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Gyeongbuk 790-784, Korea (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2086070
quent use of low power levels in handset applications. The problems of improper load modulation due to the class-C-biased peaking amplifier, such as non-linear characteristic, early saturation, et. al. have been solved by bias adaptation and uneven drive [4]–[12]. In previous research, we adopted the envelope tracking technique to increase the efficiency of a Doherty PA in the back-off region [13]. Only the DC supply voltage of the carrier amplifier is modulated according to an input signal, leading to lower DC power consumption. In previous work, we have proposed the direct, uneven input dividing circuit and the Doherty output combining circuit with a reduced number of components for handset applications [14], [15]. Most of the previous research focused on improving performance only within a narrow BW. Doherty PAs have disadvantages in wideband operation because the output matching includes a frequency dependent quarter-wavelength transformer to modulate the load impedance for high efficiency in the back-off region. A frequency-dependent phase compensation network is also required at the input of Doherty PAs. Another reason that linear operation of Doherty PAs across a broad BW is difficult is that the linearity of Doherty PAs is improved by internal cancelation of inter-modulation distortions (IMD) from a class-AB-biased carrier and class-C-biased peaking amplifiers. Proper and consistent input dividing and load modulation across a wide frequency band are required for this cancellation, but the input impedance of class-C-biased transistors varies significantly with the input power level, creating problems. Nitronex Corporation has developed a wideband Doherty amplifier for base-station WiMAX across 2.5–2.7 GHz [16], and M. Sarkeshi, et al. have proposed a technique to enhance the load modulation behavior and increase the BW using varactorbased impedance transformers across 1.8–2 GHz [17]. Those are for base-station power amplifiers, and their matching networks and varactor based impedance controllers are too large for integration. O. Degani, et al. have developed a CMOS power amplifier for WiMAX applications across 2.3–2.7 GHz [18]. The transformer covers the broad BW, but they cannot maintain good linearity and must use digital pre-distorter (DPD). In [19], we proposed the direct input dividing technique with broadband matching circuits using a low Q output matching technique, and demonstrated a fully-integrated Doherty PA across frequencies of 2.5–2.7 GHz for a m-WiMAX signal. In this extended paper, we analyze the effects of the broadband techniques introduced in [19], and enhance the BW to 600 MHz (2.2–2.8 GHz) by compensating AM-AM and AM-PM nonlinearity using a digital feedback pre-distortion algorithm. The power cell size and load impedances are calculated and
0018-9480/$26.00 © 2010 IEEE
4032
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
optimized for a 9.6 dB crest factor of m-WiMAX signal. We implement the PA using a 2- m InGaP/GaAs HBT process. Section II describes the principles and effects of the direct input dividing circuit with broadband matching, and Section III explains Doherty output combining for broadband operation. In Section IV, the implementation and measured results are described. Section IV shows the measured results of the bandwidth enhancement using the DPD technique. II. DIRECT POWER DIVIDING FOR BROADBAND OPERATION A. Input Matching The different bias levels of the carrier and peaking amplifiers cause serious problems in proper Doherty operation. At the same input power, the collector current of the class-C biased peaking amplifier is always less than that of the class-AB biased carrier amplifier. Thus, more power should be driven to the peaking amplifier for proper load modulation. Moreover, in the class-C-biased peaking amplifier, the gain expands and the input impedance increases as the input power increases, whereas for the class-AB-biased carrier amplifier, the gain compresses at high power, and the input impedance is nearly constant. Hence, the input powers to the two PAs should be properly adjusted to cancel gain expansion and compression. Because the input power is divided according to the input impedances of the carrier and peaking amplifiers, proper input impedance matching, which also affects the output load modulation, is essential for highly efficient and linear operation. Fig. 1 shows how the input impedance of the peaking amplifier varies with the input power level using various matching circuits. In the impedance traces depicted on the Smith chart, the input impedance is matched to 50 at the maximum input power. The BPF circuits shown in Fig. 1(c) and (d) consist of a LPF and a HPF whose impedance transformation ratios are the same, maximizing the bandwidth for the same source and target impedances. With the LPF and the BPF, the matched impedances nearly lie on a constant conductance circle on the chart while with the two-section BPF, the impedances nearly lie on a constant resistance circle. Input matching circuits should be determined from the impedance trace after matching the peaking amplifier. We will discuss this in more detail in the next section.
B. Input Consideration for Broadband Doherty Direct input dividing has been proposed in our previous work [14], and has the advantages of saving die area and enhancing linearity and efficiency. As shown in Fig. 2, the input impedances at the junction of the carrier and peaking amplifiers determine the power dividing ratio. When the input impedance of the carrier amplifier is matched to , that of the peaking ammhos conductance circle delivers power plifier on a dividing as shown in Fig. 2(b). The peaking amplifier receives more power when its impedance is located within the mhos conductance circle. The impedance traces of the carrier and peaking amplifiers vary according to the frequency, and inconsistent input dividing results in degraded bandwidth performance. Broadband input driving can be achieved by an LC-CL
Fig. 1. (a) High-pass filter (HPF). (b) Low-pass filter (LPF). (c) Two section LC-CL type band-pass filter (BPF). (d) Two section CL-LC type BPF. (e) Simulated input impedances of the peaking amplifier and the impedances after matching to 50 with LPF, HPF, and BPF type circuits. Input impedances vary as the input increases.
type or a CL-LC type BPF (Figs. 1(c), 1(d)) [20]. In [14], a narrowband Doherty PA is designed using a HPF circuit for input matching, and the phase compensation network is in the carrier path because the HPF delivers the proper uneven drive. However, the BPF circuit places the input impedance trace of the driving line in peaking amplifier on the improper Fig. 2(b). The 90 phase compensation network in the peaking path, however, moves the trace on the conductance circle for proper input power dividing. Thus, the direct dividing with the BPF circuits should employ the structure shown in Fig. 3(b). Fig. 4 shows the simulated insertion loss of the structures shown in Figs. 3(a) and 3(b) at the maximum output power level. Port 1, port 2, and port 3 are the input, carrier path, and peaking path, respectively. Fig. 4(b) shows the simulated phase difference between the carrier and peaking amplifier paths. Both the insertion loss and the phase difference vary less with the frequency in the structure with the BPF circuit, which can deliver more consistent input dividing in Doherty operation. III. BROADBAND OUTPUT COMBINING FOR DOHERTY PA In the output circuit of a conventional Doherty power amplifier, a frequency-dependent quarter-wavelength transformer and output matching circuits are the limits to a broadband operation. Fig. 5(a) shows the structure of the conventional Doherty PA. at the output junction of the carrier and The impedance . peaking amplifiers is half of the characteristic impedance load is matched to a 50- load, which requires a This high matching circuit and limits the bandwidth. To reduce the
KANG et al.: BROADBAND HBT DOHERTY POWER AMPLIFIERS FOR HANDSET APPLICATIONS
4033
Fig. 2. (a) Direct input dividing. (b) Input power dividing (1:1, 1:2) determined by the input impedances. Impedances are normalized by Z .
Fig. 3. Location of phase compensation network. (a) In the path of the carrier amplifier, where the input matching circuits are LPFs or HPFs. (b) In the path of the peaking amplifier, where the input matching circuits are BPFs.
factor, the value of the impedance at the output junction of the carrier and peaking amplifiers should be increased by an additional matching circuit at the output of the peaking amplifier as shown in Fig. 5(b). To have a minimal factor, the impedance relations at the output should be equal (1) (2) where and are the currents from the carrier and peaking and are determined by paths, respectively. the supply voltage and the desired output power. Then, the is calculated from (1) and (2). The characteristic impedance impedances of the quarter-wavelength transformers are deterand . A loaded and a mined from the calculated circuit node are defined by
(3) where the transformed resistance is larger than the source re. A smaller leads to a broader bandwidth, which sistance means that the same impedance transformation ratio among all of the matching circuits results in the widest bandwidth. The effect of the proposed structure is shown in Fig. 6. The simula. The variations in the tion is done with
Fig. 4. (a) Simulated insertion loss of the structures shown in Fig. 3(a) (with HPF) and (b) (with BPF) at the maximum output power. Port 1, port 2, and port 3 are the input, carrier path, and peaking path, respectively. (b) Simulated phase difference between the carrier and peaking amplifier paths.
impedances and phases of the conventional and proposed structures are depicted against the frequency. The proposed structure, which uses the low Q matching circuits (the same transformation impedance ratio), delivers less variation in impedances and phases, thereby leading to proper load modulation and consistent performance across the broad bandwidth. The PA is optimized by choosing the proper uneven cell sizes of the carrier and peaking amplifiers for a 9.6 dB crest factor 8.75 MHz BW IEEE 802.16e m-WiMAX signal. When the ratio of the sizes of the carrier and peaking amplifiers is 1: , the maximum efficiency of the extended Doherty PA occurs at dB back-off power and at the maximum output power [4]. For the m-WiMAX Doherty PA in this work, is chosen to be 1.5, so that the maximum efficiency theoretically appears in the 7.96 dB back-off power region [21]. The output matching of the proposed Doherty PA is depicted in Fig. 7, and all of the impedances are calculated and shown in Table I. The optimal load impedances are determined for a P1 dB of and are larger than and , 31.5 dBm. respectively, due to the quarter-wavelength transformer in the peaking amplifier path, which produces the same impedance
4034
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 5. (a) Conventional Doherty structure. (b) Proposed Doherty structure which has the same impedance transformation ratio in broadband operation. O.L. is an offset line, and M.C. is a matching circuit.
Fig. 7. Load impedance of each amplifier. (a) In the region of the low output power before the peaking amplifier turns on. (b) In the region of the high output power after the peaking amplifier turns on.
TABLE I DETERMINED VALUES FOR BROADBAND OPERATION, WHEN :.
= 15
IV. IMPLEMENTATION AND MEASURED RESULTS
Fig. 6. (a) Simulated load impedances of proposed and conventional structures R . (b) Simulated phase over a broad frequency range. R difference between carrier and peaking amplifier paths.
=
= 8
transformation for all of the matching circuits and enables broadband operation.
The Doherty PA is implemented using an InGaP/GaAs 2- m HBT process, and the overall circuit schematic of the PA is shown in Fig. 8. All of the components are fully integrated on a chip. The inductors, which have large loss, are implemented on the chip using bondwires and slab inductors. Direct input dividing circuits with broadband matching are used at the inputs of the carrier and peaking amplifiers, and the outputs of the amplifiers are combined using a low Q matching network. The second and third harmonic impedances are controlled for high efficiency across the broad bandwidth [22]. The broadband input matching including the intrinsic capacitance of the transistors is demonstrated in Fig. 9. All of the transistor input matching networks are designed using CL-LC type BPFs rather than LC-CL type BPFs because of smaller inductance. The value of the series inductor in the LC-CL BPF should be large to compensate for the input capacitance of the transistor, leading to high losses and a large layout size. The series capacitor in the CL-LC BPF may degrade the bandwidth, but the minimal Q and broad bandwidth are guaranteed by adding inductance using microstrip lines. The impedance transformation ratios of the LPF and HPF comprising the BPF circuit are both equal to 5. The phase compensation network is placed at the input of the peaking amplifier for proper input division. Fig. 10 shows the variations in the simulated input impedof the carrier and peaking amplifiers at ances
KANG et al.: BROADBAND HBT DOHERTY POWER AMPLIFIERS FOR HANDSET APPLICATIONS
4035
Fig. 8. Schematic of the proposed Doherty PA.
Fig. 9. Low Q matching circuit using a two-section band-pass filter considering the input impedance of transistor.
various frequencies and input power levels. The input impedances of the peaking amplifier lie near the conductance circle of and that of the carrier amplifier is almost constant at across the bandwidth. Therefore, consistent input power driving across the BW has been achieved. In this design, more power should be driven to the peaking amplifier because of the uneven drive required for linear operation and the 1.5 times larger size of the peaking amplifier. Fig. 11 is a photograph of the fabricated chip. The drive amplifier has an idle current of 34 mA. The idle currents of the carrier and peaking amplifiers are 45 mA and 0 mA, respectively. For a 9.6-dB PAPR, 8.75-MHz BW, 16 quadrature amplitude modulation (16-QAM) IEEE 802.16e m-WiMAX signal, the PA with a supply voltage of 3.4 V has an EVM of 2.3% and a PAE of 31.5% at an output power of 24.75 dBm and an operating frequency of 2.6 GHz. A PAE of over 30.3% and the output power
Fig. 10. Simulated input impedance variation of the carrier and peaking amplifiers versus frequency and power level.
of over 24.6 dBm with an EVM of lower than 3.15% and a gain variation of 0.2 dB are achieved across 2.5–2.7 GHz without any assisting linearization technique. The measured PAE and EVM across 2.5–2.7 GHz are summarized in Table II. The design goal for this work was a Doherty PA operating across 2.5–2.7 GHz without any additional linearization technique [19]. We also measure the PA’s performance across 600 MHz bandwidth, at operating frequencies from 2.2 GHz to 2.8 GHz. The performance is depicted in Fig. 12(a). The PAE is over 27% across 2.2–2.8 GHz at an average power of 24.5 dBm. However, the Doherty PA saturates early at the 2.2–2.3-GHz band in the high power region, and delivers lower efficiency at 2.8 GHz because the peaking amplifier turns on too early. Fig. 12(b) shows the measured output spectra with
4036
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 11. A photograph of the chip.
TABLE II PERFORMANCE OF THE PA WITHOUT A DPD TECHNIQUE
Fig. 13. (a) Measured gain deviation at 2.2, 2.5, and 2.8 GHz. (b) Measured phase deviation at 2.2, 2.5, and 2.8 GHz.
2.5 and 2.8 GHz vary less than those at 2.2 GHz and deliver EVMs of about 3%. The gain at the frequency of 2.2 GHz satbecause the less power is driven to urates early the peaking amplifier in the high power region [see Fig. 10], thereby saturating the carrier amplifier earlier, and the outputs of the carrier and peaking amplifiers are not in phase so that large AM-PM distortion is generated as shown in Fig. 13(b). V. BANDWIDTH ENHANCEMENT USING A DPD TECHNIQUE
Fig. 12. (a) Measured performance of the Doherty PA for a 9.6 dB crest factor 8.75 MHz BW m-WiMAX signal. (b) Measured output spectra with an input power of 3.4 dBm across 2.2–2.7 GHz and 5 dBm at 2.8 GHz.
an input power of 3.4 dBm across 2.2–2.7 GHz and the spectra at an input power of 5 dBm at 2.8 GHz. Fig. 13 shows the measured gain and phase deviations at 2.2, 2.5, and 2.8 GHz. The AM-AM and AM-PM characteristics at
It is interesting to note that linearity in low power regions as well as PAE in high power regions are not much degraded outside 2.5–2.7 GHz as shown in Fig. 12(a). Nonlinearity in high power regions is caused by insufficient IMD3 cancelation between the carrier and peaking amplifiers at the edges of a frequency band. Hence, the nonlinearity can be compensated by applying DPD techniques only to the high power region with less burden on the current consumption. Additional circuits such as adjustable phase and load modulation network are also able to improve linearity across the broad BW, which is not focused on in this paper. Linearization with a DPD technique is demonstrated to discuss one of possibilities for further BW enhancement. Two 1024-entry AM/AM and AM/PM lookup tables are built using the DFBPD algorithm [23], and the experimental setup is shown in Fig. 14. The AM-AM and AM-PM nonlinearities are
KANG et al.: BROADBAND HBT DOHERTY POWER AMPLIFIERS FOR HANDSET APPLICATIONS
4037
Fig. 14. Experimental setup for linearization.
Fig. 15. (a) Measured performance before/after DPD linearization across 2.2–2.8 GHz with an input power of 3.4 dBm across 2.2–2.7 GHz and 5 dBm at 2.8 GHz. (b) Measured output spectra after DPD linearization.
Fig. 16. (a) Measured gain deviation at a frequency of 2.2 GHz before and after DPD. (b) Measured phase deviation at a frequency of 2.2 GHz before and after DPD.
compensated using the DPD, and the EVM performance is improved to below 2.7%. The performances of the PAE and output power exhibits broadband characteristics depicted in Fig. 15(a). The PA delivers a PAE of over 27% and an output power of over 23.6 dBm across 2.2–2.8 GHz while maintaining an EVM below 2.7%.
Fig. 15(b) shows the measured output spectra after DPD linearization, with input power of 3.4 dBm across 2.2–2.7 GHz and 5 dBm at 2.8 GHz. Fig. 16 shows the measured gain and phase deviation at a frequency of 2.2 GHz before and after DPD linearization. The gain is reduced by 1 dB but the linear power is significantly increased.
4038
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
The AM-AM and AM-PM distortions generated by improper input power division and load modulation are compensated by DFBPD linearization as shown in Fig. 16. This linearization results in an EVM of 2.7%. VI. CONCLUSIONS We have demonstrated a fully integrated Doherty PA for mobile WiMAX applications across 2.5–2.7 GHz without any linearization technique and 2.2–2.8 GHz using a DPD technique. The direct input dividing technique and a reduced number of Doherty combining circuits are employed for full integration and the resulting PA delivers linear operation across a broad bandwidth. Broadband matching techniques are analyzed and employed at the input and the output. For a 9.6-dB crest factor, 8.75-MHz BW, 16-QAM m-WiMAX application, the ratio of the carrier to peaking amplifier sizes is chosen to be 1:1.5. The PA has achieved a PAE of over 30.3% and an output power of over 24.6 dBm with an EVM of lower than 3.15% and a gain variation of 0.2 dB across 2.5–2.7 GHz without any assisting linearization technique. After compensating AM-AM and AM-PM nonlinearity using a digital feedback predistortion algorithm, the PA exhibits a PAE of over 27% and an output power of over 23.6 dBm across 2.2–2.8 GHz while maintaining an EVM below 2.7%. ACKNOWLEDGMENT The authors would like to thank Wireless Power Amplifier Module (WiPAM) Inc., Seongnam, Gyeonggi, Korea, for the advice and the chip fabrication.
[11] M. Nick and A. Mortazawi, “A Doherty power amplifier with extended resonance power divider for linearity improvement,” in MTT-S Int. Microw. Symp. Dig., 2008, pp. 423–426. [12] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical-cells-based linear Doherty power amplifiers—uneven power drive and power matching,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1802–1809, May 2005. [13] J. Choi, D. Kang, D. Kim, and B. Kim, “Optimized envelope tracking operation of Doherty power amplifier for high efficiency over an extended dynamic range,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 6, pp. 1508–1515, Jun. 2009. [14] D. Kang, J. Choi, D. Kim, and B. Kim, “Design of Doherty power amplifiers for handset applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 8, pp. 2134–2142, Aug. 2010. [15] D. Yu, Y. Kim, K. Han, J. Shin, and B. Kim, “Fully integrated Doherty power amplifiers for 5 GHz wireless-LANs,” in RFIC Symp. Dig., 2006, pp. 177–180. [16] Wideband Doherty Power Amplifier for WiMAX, Application Note Nitronex Corp., 2007. [17] M. Sarkeshi, O. B. Leong, and A. van Roermund, “A novel Doherty power amplifier for enhanced load modulation and higher bandwidth,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 733–766. [18] O. Degani, F. Cossoy, S. Shahaf, D. Chowdhury, C. D. Hull, C. Emanuel, and R. Shmuel, “A 90 nm CMOS power amplifier for 802.16e (WiMAX) applications,” in RFIC Symp. Dig., 2009, pp. 373–376. [19] D. Kang, J. Choi, M. Jun, D. Kim, D. Yu, K. Min, and B. Kim, 2.7 GHz “30.3% PAE HBT Doherty power amplifier for 2:5 mobile WiMAX,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 796–799. [20] C. Bowick, RF Circuit Design. Newton, MA: Butterworth-Heinemann, 1997. [21] I. Kim, Y. Y. Woo, J. Kim, J. Moon, J. Kim, and B. Kim, “High-efficiency hybrid EER transmitter using optimized power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2582–2593, Nov. 2008. [22] D. Kang, J. Choi, M. Jun, D. Kim, D. Yu, K. Min, and B. Kim, “Broadband class-F power amplifiers for handset applications,” in Proc. 39th Eur. Microw. Conf., Rome, Italy, Sep. 2, 2008. [23] Y. Y. Woo, J. Kim, J. Yi, S. Hong, I. Kim, J. Moon, and B. Kim, “Adaptive digital feedback predistortion technique for linearizing power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 932–940, May 2007.
REFERENCES [1] W. H. Doherty, “A new high efficiency power amplifier for modulated waves,” Proc. IRE, vol. 24, pp. 1163–1182, Sep. 1936. [2] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Norwood, MA: Artech House, 2002. [3] F. H. Raab, “Efficiency of Doherty RF power amplifier systems,” IEEE Trans. Broadcast., vol. BC-33, pp. 77–83, Sep. 1987. [4] M. Iwamoto, A. Williams, P. F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2001. [5] J. Choi, D. Kang, D. Kim, J. Park, B. Jin, and B. Kim, “Power amplifiers and transmitters for next generation mobile handset,” J. Semicond. Tech. Sci., vol. 9, no. 4, pp. 249–256, Dec. 2009. [6] Y. Yang, J. Cha, B. Shin, and B. Kim, “A fully matched N-way Doherty amplfier with optimized linearity,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 986–993, Mar. 2003. [7] J. Moon, J. Kim, I. Kim, J. Kim, and B. Kim, “Highly efficient 3-way saturated Doherty amplifier with digital feedback predistortion,” IEEE Microw. Compon. Lett., vol. 18, no. 8, pp. 539–541, Aug. 2008. [8] W. C. E. Neo, J. Qureshi, M. J. Pelk, J. R. Gajadharsing, and L. C. N. de Vreede, “A mixed-signal approach towards linear and efficient N-way Doherty amplifier,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 5, pp. 866–879, May 2008. [9] M. J. Pelk, W. C. E. Neo, J. R. Gajadharsing, R. S. Pengelly, and L. C. N. de Vreede, “A high-efficiency 100-W GaN three-way Doherty amplifier for base-station applications,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1582–1591, Jul. 2008. [10] Y. Yang, J. Yi, Y. Woo, and B. Kim, “Optimum design for linearity and efficiency of microwave Doherty amplifier using a new load matching technique,” Microwave J., vol. 44, no. 12, pp. 20–36, Dec. 2001.
Daehyun Kang received the B.S. degree in electronic and electrical engineering from Kyungpook National University, Daegu, Korea, in 2006, and is currently working toward the Ph.D. degree in electrical engineering from Pohang University of Science and Technology (POSTECH), Pohang, Korea. His main interests are RF circuits for wireless communications, especially highly efficient and linear RF transmitters and RF power amplifiers design.
Dongsu Kim received the B.S. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2007, and is currently working toward the Ph.D. degree in electrical engineering from POSTECH. His research interests are CMOS RF circuits for wireless communications, especially focused on highly efficient and linear RF transmitter design.
KANG et al.: BROADBAND HBT DOHERTY POWER AMPLIFIERS FOR HANDSET APPLICATIONS
Junghwan Moon received the B.S. degree in electrical and computer engineering from the University of Seoul, Seoul, Korea, in 2006, and is currently working toward the Ph.D. degree at Pohang University of Science and Technology (POSTECH), Pohang, Korea. His current research interests include highly linear and efficient RF PA design, memory-effect compensation techniques, digital predistortion (DPD) techniques for linearizing high-power RF amplifiers, and efficiency-improvement techniques. Mr. Moon is the recipient of the Highest Efficiency Award at the Student High-Efficiency Power Amplifier Design Competition in the IEEE MTT-S International Microwave Symposium (IMS), 2008.
4039
Bumman Kim (M’78–SM’97–F’07) received the Ph.D. degree in electrical engineering from Carnegie Mellon University, Pittsburgh, PA, in 1979. From 1978 to 1981, he was engaged in fiber-optic network component research with GTE Laboratories Inc. In 1981, he joined the Central Research Laboratories, Texas Instruments Incorporated, where he was involved in development of GaAs power field-effect transistors (FETs) and monolithic microwave integrated circuits (MMICs). He has developed a large-signal model of a power FET, dual-gate FETs for gain control, high-power distributed amplifiers, and various millimeter-wave MMICs. In 1989, he joined Pohang University of Science and Technology (POSTECH), Pohang, Gyungbuk, Korea, where he is a POSTECH Fellow and a Namko Professor with the Department of Electrical Engineering, and Director of the Microwave Application Research Center, where he is involved in device and circuit technology for RF integrated circuits (RFICs). He has authored over 300 technical papers. Prof. Kim is a member of the Korean Academy of Science and Technology and the National Academy of Engineering of Korea. He was an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, a distinguished lecturer of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S), and an AdCom member.
4040
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3–5 GHz UWB Impulse Radio Transmitter and Receiver MMIC Optimized for Long Range Precision Wireless Sensor Networks Jingjing Xia, Student Member, IEEE, Choi Look Law, Senior Member, IEEE, Yuan Zhou, and Kee Siang Koh, Student Member, IEEE
Abstract—In this paper, an ultra wideband impulse radio (UWB-IR) transmitter and receiver monolithic microwave integrate circuit (MMIC) for precision localization sensor network is presented. Longer range is achieved with low power consumption at the transmitter by fully exploiting FCC’s peak power constraint efficiently. The transmitter and receiver MMIC are fabricated on a commercial low cost 2 m GaAs HBT process. The transmitter MMIC peak power output is 20 dBm with power consumption of 0.8 mW at 1 MHz pulse repetitive rate. The receiver MMIC uses non-coherent detection and has a 44 dB front-end conversion gain. The receiver MMIC is cascaded with a 500 MHz baseband amplifier to achieve input tangential signal sensitivity (TSS) of 71 dBm. Equivalent time sampling using analogue to digital converters running at around a few MHz is utilized for precise time of arrival ranging with low cost components. Two dimensional wireless localization network utilizing time difference of arrival (TDOA) is constructed and test results covering an 80 90 m2 area show position error variance of less than 10 cm. Ranging at 200 m is demonstrated with range error variance of better than 15 cm. Index Terms—Noncoherent demodulation, pulse generation, sensor networks, transceiver architecture, ultra-wideband (UWB).
I. INTRODUCTION NE of the most favorable attributes of UWB technology is its ability to locate and track moving objects, with a plethora of applications in asset tracking and management, structure monitoring, healthcare, intrusion detection, factory automation, etc [1]. From a technical perspective, UWB offers unparalleled performance in providing precise location information and is resilient to multipath fading in hostile environment. Even at low signal to noise ratio (SNR), UWB signal can resolve distances with centimeter accuracy using simple signal processing [2]. As a result, UWB is proposed as an alternative physical layer for the IEEE 802.15.4 a standard, in providing communications and high precision ranging/location capability, ultra low power; longer range, and low cost [3].
O
Manuscript received June 21, 2010; revised September 02, 2010; accepted September 21, 2010. Date of publication October 25, 2010; date of current version December 10, 2010. This work was supported in part by Singapore ASTAR SERC under Grant 052-121-0086. This paper is an expanded paper from the IEEE MTT-S Int. Microwave Symposium held on May 23–28, 2010, Anaheim, CA. The authors are with the PWTC Center, Nanyang Technological University, Singapore 639815 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2083682
A number of authors have studied and developed UWB localization systems. Early system in hybrid circuits [4] achieved ranging accuracy of 15 cm using TDOA. Stoica et al. [5] presents a fully integrated UWB system for wireless sensor network with energy-collection-based TOA estimation. An and a maximum range within 50 m is accuracy of achieved. Recently Zhang et al. [6] proposed a UWB positioning system combining carrier and energy detection (ED) based receiver. A three dimensional (3-D) accuracy of 5 mm within 5 m range is reported. System-on-chip (SoC) using CMOS technology provides a low cost alternative [7]–[10]. For example, a recently developed sub-1 GHz UWB system featuring a fully integrated digital backend achieved ranging accuracy of 1.4 cm at several meters distance [10]. Commercial UWB real time location systems (RTLS) are also available in the market. Ubisense system from Cambridge can detect a maximum range of 50 m with an accuracy of 15 cm [11]. The Plus system from Time Domain Inc. [12] has sub-meter accuracy with a maximum range of 38 m. Sapphire DART from Multispectral Solutions Inc. can achieve longer range of up to 200 m [13]. Most of the reported systems operate within a short range due to the limited output power. If corrupted by multipath and interferences, the actual TOA estimation could be even worse. A commercial system that provides tracking beyond 100 m uses a power amplifier (PA) [2]. However the energy efficiency is poor using a conventional PA. To satisfy the challenges of IEEE 802.15.4 a for a robust, low power and long range sensor network, careful design considerations and system-level optimizations should be made. FCC’s first report and order [14] limits unlicensed UWB and wideband emitters not to exceed an average EIRP of dBm MHz and a peak EIRP level of 0 dBm in a 50 MHz bandwidth. Assuming a signal bandwidth of 1 GHz, the dBm. Limited by this maximum average power is only emission constraint, UWB is often regarded as a technology for short range high speed data communications. However it is possible to achieve a much longer communication range without violating the regulations in a low rate impulse radio system, since impulse radio has a large ratio between peak and average emission power. There are two scenarios where regulations should be considered. When the pulse repetitive frequency (PRF) is above the resolution bandwidth (1 MHz), UWB signal is average power limited. As the PRF reduces well below the resolution bandwidth, UWB signal is peak
0018-9480/$26.00 © 2010 IEEE
XIA et al.: 3–5 GHz UWB IMPULSE RADIO TRANSMITTER
power limited rather than average power limited. Study in [15] discusses relations between the maximum allowed emission power, PRF and bandwidth to show that a peak power greater than 1 W can satisfy both average and peak power constraint, with a signal bandwidth of 2 GHz at PRF lower than 187.5 kHz. A maximum line-of-sight (LOS) range of 700 meters can be achieved with this amount of power at a receiver signal-to-noise ratio of 20 dB. Besides communication range, increasing the peak power improves the TDOA accuracy, especially in an indoor environment with plenty of narrow band interferences and multipath. In this paper, UWB impulse radio transmitter and receiver MMIC optimized for precision localization and tracking at is demonstrated. Comparing with longer ranges systems in the literature, the novelty is to fully exploit the peak power in an energy efficient manner. To our best knowledge, the proposed tag transmitter achieves the highest peak power of 20 dBm reported in the literature, with a total power consumption of 0.8 mW and good energy efficiency of 10.4%. Meanwhile, dBm. the receiver has a measured state-of-the-art TSS of Sensor networks constructed with these chips could operate beyond 200 m. This paper expands on preliminary results presented in [16], with design procedures, formulae and optimizations described in details here. Tag energy efficiency is improved considerably through optimization. Receiver sensitivity is improved as well. The paper is organized as follows. In Section II, an overview of the proposed system is presented. Section III is dedicated to the principles of the UWB pulse generation technique. Section IV presents details of the circuit design and analysis. Section V summarizes the experimental results which include field tests on a real time localization system (RTLS) using the proposed circuits. Section VI concludes this paper. II. SYSTEM OVERVIEW, CHALLENGES AND SOLUTIONS A. System Overview Fig. 1 shows the block diagram of proposed UWB-IR localization/tracking sensor network covering the frequency band of 3–5 GHz. The system consists of one or more mobile tags and at least three sensors for two dimensional (2-D) positioning. The mobile tag consists of a low power microcontroller that generates the tag identity data and clock signal, a transmitter MMIC that generates the UWB pulse, and an omni-directional circularly polarized antenna. Each sensor consists of a linear polarized antenna, filter for out of band rejection, and a receiver MMIC that amplifies and detects the received UWB pulses. The fixed positions of the sensors are known a priori and each sensor is connected to a channel of the central processor through a cable for synchronization. Each channel of the central processor consists of a baseband variable gain amplifier and analog to digital converter (ADC) running at a few MHz. By taking the TDOA between sensors, one can eliminate the clock drift between the tag and the sensors. The position of the tag can be estimated by finding the intersection of the hyperbolas, which are defined by the TDOA between a pair of sensors. The tags are identified based on the unique identifiers in the data frame. The system uses time division multiple access (TDMA) to separate different
4041
Fig. 1. System architecture for the proposed localization sensor network.
tags. Typical application scenarios require the tags to be very low power and low cost. The microcontroller used in the tag can be those used in radio frequency identification (RFID) tags, which have very low power consumption. The challenge is to develop circuits for UWB pulse generation that have high peak output power and very low power consumption. B. UWB Pulse Generation A brief review of UWB pulse generation methods will be presented in this section, after which our proposed solution for high peak power UWB pulse generation will be followed. In general, UWB pulse generation can be categorized into 3 major groups, which are up-conversion, spectrum filtering and edge combining. In the first method, a baseband signal with a low-pass spectrum is up-converted to the target band through a mixer and local oscillator [17]. Power consumption is relatively large using this method due to the need for a local oscillator and mixer. The other concern is the LO leakage to the transmit antenna, which may cause a reduction in overall transmitted power to remain FCC compliant. To get around these problems, a switching oscillator is proposed by applying a short baseband pulse to an oscillator and turn it on for a few nanoseconds. UWB pulse generators for 3–5 GHz [18], [19] and 6–10 GHz band [20] are demonstrated. Although switching oscillator improves the energy efficiency considerably, the relatively slow VCO turn-on time (1 ns) [18] reduces the TOA estimation accuracy. In the second method, a delta-function-like baseband impulse with a bandwidth even beyond the UWB band is generated and coupled to the pulse shaping filter [21], [22]. The approach is popular due to its simple implementation. However, the output
4042
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
power is small and depends on the power of the baseband impulse. Greater than 5 V output swing is reported using either step recovery diode [23] or HBT [24]. However both are limited with monocycle pulses below 2 GHz. Edge combining through static logic gates is more commonly used in UWB pulse generation, with many different techniques developed over the years [25], [26]. Good pulse shape and spectrum can be accurately synthesized at low power consumption. However its output swing is limited to a fraction of the power supply. Power amplifier is used to boost the peak power [27], whereas the actual energy efficiency could be reduced a lot in a low duty cycling UWB tracking system. Reported methods so far are more suitable for high speed data link than a long range wireless sensor network. The limited output swing makes it difficult for robust tracking beyond tens of meters, especially in a harsh environment. Besides, energy efficiency is another issue to address for a battery powered tag. To overcome these difficulties, we propose a novel method that integrates both pulse generation and pulse amplification into the same circuit. A high power Gaussian impulse is generated first, which is then shaped by the high efficiency pulse forming stage, as in Fig. 1.
Fig. 2. Block diagram of a nth-order UWB pulse generator.
combined at the output. The proposed UWB generation method is inspired by this philosophy. In Fig. 2, the input pulse is delayed by D1 and weighted by a set of coefficients . Delay D2 is introduced to remove the spectrum content at DC and harmonics. The frequency domain transfer function of the pulse generator in Fig. 2 can be represented as
C. UWB Sensor At the receiving side, sliding correlator with stored template based receiver is widely use in the community [28], [29]. The idea is, upon receiving the RF signal from the transmitter, a locally generated template is delayed and multiplied with the input. The peak of this sliding correlation indicates the received signal. In theory this coherent detection can achieve better sensitivity. However the receiver requires complicated synchronization circuitry. In a localization system, the range is obtained from time of arrival (TOA) estimation. The synchronization circuit is further complicated by the need for small correlator step for good range resolution. Non-coherent detection is a better alternative in the sense that it offers low circuit complexity and low power solution [18], [30]. In the proposed receiver, a 3-stage variable gain low noise amplifier (LNA) is used, which is followed by two active baluns and a Gilbert-cell squarer for non-coherent detection. The demodulated baseband pulse is further amplified by a commercial off-the-shelve 45 dB variable gain baseband amplifier with 500 MHz bandwidth before ADC. The commercial off-the-shelve ADC has 500 MHz bandwidth and TOA estimation is done through equivalent time sampling with the ADC running at a few MHz [31]. Through repetitive sampling, high time resolution (10 pS–100 pS) can be achieved from a low power ADC. Low phase noise and temperature compensated clock is used to reduce effects of clock jitter.
(1) (2) (3) (4) where is the phase delay, is the speed of light in free space, is the frequency, is the effective dielectric constant and is the transmission line length. The input pulse, can be of Gaussian shape given by (5) where is the impulse amplitude, is the time position of the peak and controls the pulse width. For simplicity, let and . The Fourier transform of is also a Gaussian function in frequency domain, which is given by (6) From (2) and (6), the output spectrum is given by
III. PULSE GENERATION PRINCIPLES It is well known that a matched filter can be used for signal detection as well as signal generation since the impulse response of a matched filter is the time-reversal of the signal it matches [32]. A matched filter can be synthesized using a tapped delay line structure, where the incoming signal is delayed, scaled and
(7) Fig. 3(a) shows the normalized output spectrum of the proposed UWB pulse generator with increasing filter orders computed using (7). The parameters used are listed in Table I. Time
XIA et al.: 3–5 GHz UWB IMPULSE RADIO TRANSMITTER
4043
Fig. 4. Schematic of the proposed impulse generator.
ranging error and has a higher transmitted peak power. On the other hand, pulsewidth is inversely proportional to the bandwidth. Too narrow a pulse may violate the regulatory spectrum emission masks. As shown in Fig. 3(a), the most stringent GPS band (0.96–1.61 GHz) with a 33.7 dB relative attenuation is difficult to satisfy. However this band is relatively far from the center frequency of 4 GHz and a simple high pass filter can be easily incorporated in the antenna to obtain the desired attenuation at lower frequency. In our design, pulse generator with a filter order of four is chosen by considering the trade-offs between circuit complexity, peak power, ranging accuracy and regulation compliance. IV. CIRCUIT ANALYSIS AND DESIGN A. Impulse Generator Fig. 3. Derived output spectrum with different filter orders: (a) Frequency response; (b) Time domain response through inverse fast Fourier transform (IFFT).
TABLE I FILTER COEFFICIENTS
domain response is also plotted in Fig. 3(b) using inverse Fourier transform. As shown in Fig. 3(a), a filter order of at least 5 is needed to satisfy the FCC indoor spectrum mask for the proposed structure. As the filter order increases, the circuit complexity and power consumption increases, as well as the total amount of delay. In the meanwhile, the regulatory allowed peak power is smaller compared with narrower time pulses [15]. In ranging and localization applications, pulsewidth is concerned with accuracy. A narrow pulsewidth is desirable since it reduces the
Revisiting (2) and (6), it is intuitive that the impulse should be large enough in amplitude while short enough in pulsewidth to increase the output peak power. Common impulse generator method like edge combining or step-recovery-diode is limited either in output power or pulse width. In Fig. 4, a novel impulse generator is presented, which achieves a favorable combination of high output power and narrow pulse width. usually takes a 50% duty cycle from Data input the Microcontroller before coming to the impulse generator, whereas this lowers the overall efficiency since the transistor is consuming current during the entire on period. Logic gates are used to shorten the data input’s on-period to be reasonably low ns to generate low duty cycle input . The delay is realized though an RC ladder network off chip. The proposed impulse generation consists of two processes. is applied to the base and it In the first process, the clock is clamped near the turn-on voltage, of around 1.3 V. Capacand ensures that only the base-emitter junction of itor is forward biased. Space charge is accumulating in the baseemitter junction due to minority carrier injection. In Fig. 5(a), and base current the non-linear behavior of base voltage at the falling edge are depicted by means of transient analysis in Agilent ADS using large signal VBIC model. Similar to a diode under step excitation [33], the turn off process at the falling edge involves removal of all the stored charges in the
4044
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Waveform of generated impulse
is related to
through (9)
In the first period, , the step current starts rising . The inductor current cannot respond inat a rate of stantaneously due to stored magnetic field. Most of the current goes to the output to form the rising edge of the impulse. With , is given as the initial condition of (10) The peak of the generated impulse
happens at
, or (11)
Once the current rises from to zero, the inductor starts to respond and the falling period is affected both by the time constant from RL and RC. Laplace transform is used to when , which is given as derive
Fig. 5. Simulated impulse generator transient response: (a) Non-linear process for the speeding-up. (b). Linear process overlaid with derived output current for comparison.
(12) where
Fig. 6. Simplified model of the impulse generation in the second process.
base-emitter junction. The base current is driven negative to remove the space charges. In the meanwhile, these charges keep the base-emitter junction turned on, which appears as a delayed turn-off before all the charges are removed, after which the junction becomes a high impedance open circuit such that the base current goes back to zero quickly. This speeding-up clock edge is then converted to current through the during turn-off -stage formed by the cascoded HBT pair. Linear circuit analysis can be applied during the second process where the cascoded pair is simplified to be a current source in Fig. 6. The cascoded topology is preferred because of its smaller parasitic capacitance and larger output impedance to better mimic an ideal current source. is a linear The analysis assumes the fast transition current , or ramp between (8)
Simulated transient currents, , and in the second from (10) and (11) process, are plotted in Fig. 5(b). Derived and good is converted to current agreement is achieved between simulation and theory. The result reveals that either a larger inductance or a faster transition is needed to increase the output amplitude. However the RL time constant (L/R) sets a trade-off between amplitude and speed, since the falling period is a strong function of L/R. B. Pulse Former A pulse former transforms the impulse into UWB pulses efficiently. The idea of the pulse former was firstly presented in our previous work [34] using discrete pHEMT. Fig. 7 shows the schematic of the proposed new pulse former in monolithic form. The input Gaussian impulse is delayed at each stage by through an off chip microstrip delay line and amplified by before combining at the the trans-conductance stage output. Similar to a distributed amplifier, the LC ladder network as the terminating resistor. A is used in the input line with short circuited stub shown as MLIN in Fig. 7 is used to delay and invert the generated negative pulses at the collector output. Both the negative and positive pulses are then combined at the load to form the multi-cycle UWB pulses. A simple high pass filter with a 3-dB frequency of 2.5 GHz is used at the output to ensure FCC compliance.
XIA et al.: 3–5 GHz UWB IMPULSE RADIO TRANSMITTER
4045
Fig. 8. Measurement setup for the impulse response of a single HBT transistor (20 m 2 m 2 fingers).
Fig. 7. Schematic of the proposed pulse former.
Capacitive coupling is applied by putting capacitors at the base to increase the bandwidth and linearity. Therefore, the effective base capacitance is given by
TABLE II MEASURED SINGLE TRANSISTOR DC CURRENT, VOLTAGE GAIN, PAE WITH DIFFERENT V
(13) The required inductance to form the artificial transmission line with characteristic impedance is given by (14) One should note the base inductor is realized with a bonding wire. The 3-dB cutoff frequency of the capacitive coupled base , sets the maximum value of and . line, Assuming each stage of transmission line has an attenuation is constant of and length of , the value of in Table I as related to filter coefficients (15) Another critical design parameter is the base bias , which affects the overall efficiency, output power, gain and pulse shape. One of the important characteristics of the design is isothermal operation of HBT transistors. It was found that order-of-magnitude improvement in power capacity could be achieved from HBT transistors under very low duty cycle , with a larger breakdown voltage as well as peak current [35]. Class C bias is used for the transistors and the circuit is turned-on entirely by the input signal. When there is no impulse applied, the circuit does not draw any quiescent current. m fingers) is studied Single HBT transistor (20 m to determine the optimal value of base bias voltage using the setup shown in Fig. 8. The input is a tunable amplitude Gaussian impulse of 110 ps wide (full width at half maximum). The output pulse amplitude of the transistor (given by in Table II) is an inverted Gaussian impulse, which is measured into a 50 ohms load by the sampling oscilloscope. Since the is varied from turn-on voltage of the HBT is around 1.3 V,
0 V to 1.2 V in class C bias. The amplitude of the input impulse (given by in Table II and measured into a 50 ohms load by the sampling oscilloscope) is increased with re, such that maximum output swing can spect to a smaller , the required input be achieved. Peak output swing signal amplitude , collector DC current , voltage are listed gain and power added efficiency (PAE) at different in Table II. Note that the PAE is evaluated as added energy between output and input for each pulse over DC consumption per pulse, or
(16) is the effective Gaussian pulse width, where and . The results in Table II indicates that the collector DC current starts increasing considerably above a base bias of 1 V, resulting in smaller PAE. This is because this base bias is near the turn-on voltage and the HBT starts drawing some quiescent current. The efficiency maintains at around 37% for base bias of below 0.9 V. is beyond The output pulse amplitude also shows that when 1 V, the available swing decreases. Although better performance is achieved from a deep class C bias, the trade-off for a lower is that the voltage gain is smaller. is chosen to be 0 V in the final design by considering the trade-offs between power, gain, efficiency and ease of implementation. This reduces the power
4046
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 9. Simplified schematic of the proposed three-stage variable gain LNA (Biasing not shown).
Fig. 10. Simplified schematic of the Gilbert cell squarer (Biasing not shown).
gain. However non-idealities such as ringing after the impulse are reduced, thus leading to a cleaner UWB waveform. C. Variable Gain LNA At the sensor side, a three-stage variable gain LNA is used before the energy detector. The schematic of the LNA is shown in Fig. 9. Transistors and are cascoded with the LC tank is purposely inresonating at the center frequency. Resistor troduced to reduce the tank quality factor for a wideband operation. Emitter degeneration is used at the input cascoded stage to achieve simultaneous power and noise matching. In order to account for the process variation, bonding wire is used rather than an on-chip inductor to have the flexibility of post fabrication tuning. A second stage of cascoded transistors ( and ) further boosts the gain. Transistor is inserted between and to perform gain variation by means of current steering. is connected to the ground, the amplifier is When the base of in high-gain mode. When its base is switched to a higher voltage, is reduced as a result of smaller curthe transconductance of with a larger rent, thus leading to a lower gain. Transistor emitter area is cascaded to provide the gain and power handling capability. D. Gilbert Cell Squarer A wideband Gilbert-cell based multiplier is used to perform the squaring operation of the input signals with its schematic shown in Fig. 10. The circuit consists of two active baluns, a Gilbert cell core and output buffers. The differential-pair based active balun performs a single-to-differential conversion by . Due grounding input of the common base transistor , to the finite output impedance from the current source small gain and phase imbalance is likely to occur at higher frequency. However the effect of this small imbalance is not significant for non-coherent detection. Design procedures of Gilbert cell are well discussed in the literature [36]. Transistors forms the cross-coupled Gilbert cell core. Emitter followers ( and ) are used at the output to interface the 50 Ohm transmission lines. The squaring operation performs a down-conversion of the RF signal to baseband. DC offset is simply removed by using off-chip capacitors.
Fig. 11. Photograph of the fabricated transmitter chip (1 PCB.
Fig. 12. Photograph of the fabricated receiver chip (2
2 1 mm
) and test
2 1 mm ) and test PCB.
V. MEASUREMENT RESULTS Microphotographs of the fabricated transmitter and receiver chip, as well as test PCB, are shown in Figs. 11 and 12. Separate versions of the impulse generator, pulse former, LNA and mixer chips are also available for testing purposes. The chips are mounted on the PCB using chip-on-board (COB) techniques and protected with silicone gels. A. Transmitter Measurement Fig. 13 shows the measured waveform from the impulse generator using Agilent 86100 C digital sampling oscilloscope with 50 ohms input impedance. The generated Gaussian impulse has an amplitude of 3.8 V with a Full-width-half-maximum (FWHM) of 110 ps. The transmitter’s waveform is plotted in Fig. 14. The output is an 8th-order UWB pulse with a peak-to-peak voltage of 6.4 V centering at 4.1 GHz. Good agreements are achieved between simulation in ADS and measurement. The measured amplitude is a little smaller compared with simulation and is likely limited by the model provided by the foundry. Models with a better description of isothermal
XIA et al.: 3–5 GHz UWB IMPULSE RADIO TRANSMITTER
Fig. 13. Measured time domain waveform from the impulse generator.
4047
Fig. 15. Measured PSD of the generated 8th-order UWB pulses at a PRF of 1 MHz.
Fig. 16. Output peak power and total DC power consumption with different control voltage V . Fig. 14. Measured time domain waveform from the transmitter.
TABLE III DC POWER CONSUMPTION OF THE TAG TRANSMITTER
comparison between different pulse generators with different data rates, the efficiency is defined as total RF output energy per pulse over DC power consumption per pulse, or
(17)
operation could be pursued in the future. The DC power consumptions for the various parts of the UWB generator at 1 MHz is consumed. PRF are listed in Table III. A total of 790 The transmitter output conducted power spectrum density at 1 MHz resolution bandwidth is measured using Agilent E4440A spectrum analyzer and plotted in Fig. 15. It is centered at 4.1 GHz with a 10 dB bandwidth of 1.8 GHz. To fully exploit the UWB emission mask, the generated pulses should be tunable in amplitude to simultaneously satisfy the peak and average emission masks under different data rate. The output pulse peak power can be easily tuned between dBm to 20 dBm by increasing (Fig. 4) from 2.1 V to 3 V, as shown in Fig. 16. Total power consumption increases accordingly. Comparison between this pulse generator and other published state of the art pulse generators is made in Table IV. For a fair
The comparison indicates that the proposed UWB pulse generation method gives the highest peak power in the 3–5 GHz band with energy efficiency of 10.4%, which is comparable to most efficient switching oscillator designs. Our design also features a tunable output power to properly exploit the peak power limit at different data rates. B. Receiver Measurement As illustrated in Fig. 12, the receiver MMIC consists of the LNA and mixer. The LNA is first isolated for testing and the measured power gain and return losses are shown in Fig. 17. It has a peak gain of 32 dB at 4 GHz with an input return loss greater than 10 dB. A gain variation of 25 dB can be achieved in Fig. 9 from 0 V to . A three-step gain by tuning control is used in the design for simplicity. The noise figure varies between 2.7 dB to 3.2 dB for frequencies from 3 GHz dBm at the center to 5 GHz. The input referred P1 dB is
4048
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE IV COMPARISON WITH PREVIOUS PUBLISHED HIGH PEAK POWER UWB GENERATORS
Fig. 18. Setup for receiver sensitivity measurement.
Fig. 17. Measured LNA gain variation and impedance matching (A separate chip of LNA from receiver is used).
frequency. The LNA is biased from 3 V supply and consumes 10.5 mA current. The mixer is characterized at an IF frequency of 100 MHz, dBm and a RF power of dBm. It with a LO power of has a conversion gain of 12 dB, an isolation better than 24 dB between LO, RF and IF at 4 GHz. The input referred P1 dB is dBm at the center frequency. The third-order input interdBm. The double sideband noise figure cept point (IIP3) is is 10.6 dB. Limited by the large bandgap from GaAs materials, the mixer is biased from a 4 V supply with a current consumption of 15 mA. A receiver with high dynamic range and good sensitivity is critical in sensor network since the incoming signal can vary by many orders of magnitudes. The receiver MMIC is cascaded with a commercial off the shelve baseband amplifier with 3 dB to 35 dB is bandwidth of 500 MHz and variable gain from used to improve sensitivity and optimize the signal level presented to the input of the ADC. Fig. 18 shows the setup for whole receiver dynamic range testing. A power splitter divides the UWB signals into two for monitoring of the input (incident) pulse through a sampling oscilloscope. A tunable attenuator is used to adjust the input UWB signal power. Fig. 19(a) shows the received baseband pulse characteristic dBm with and without gain control for an input power of (transmitter at 1 m distance). Pulse distortions due to saturation could be effectively suppressed in the low gain mode. The rising edge is slower by about 270 ps compared with demodulated pulses in linear operation. In Fig. 19(b), the detected signal
has a signal-to-noise ratio (SNR) of 13.5 dB at an input signal dBm. It is observed that the pulse peak is well level of above the peak noise level. With a 20 dBm peak power from the tag, the 85 dB path loss is equivalent to a line of sight (LOS) distance of approximately 100 meters. Tangential signal sensitivity (TSS) defines a level where the detected pulses exceed the noise variance by the same amount (6 dB SNR). Fig. 19(c) shows the dBm. TSS condition at an input power of C. Wireless Sensor Network Positioning Measurement An UWB wireless sensor network with system architecture shown in Fig. 1 is constructed in an open area of 80 90 (limited by logistics). The network consists of 7 sensors spatially separated surrounding the tag as shown in Fig. 20. Omnidirectional antenna with 0 dBi gain is used at the tag and taper slot antenna with 10 dBi gain is used at the sensors. The received signal sampling time resolution is 30 ps per point through equivalent time sampling using a commercial off-the-shelve 500 MHz RF bandwidth ADC with universal serial bus (USB) interface. The ADC is clocked at around 3 MHz sampling rate. The sampled digitized received signal is sent to a computer running MATLAB for signal processing. TOA of the tag signal is estimated by finding the first time instant when the received signal energy exceeds a threshold. This threshold is defined adaptively as [37] (18) where and are the maximum and minimum value of the received signal, respectively. is a constant between 0 and , the TOA estimation is equivalent to finding 1. When the maximum energy peak of the received signal. Under LOS
XIA et al.: 3–5 GHz UWB IMPULSE RADIO TRANSMITTER
4049
TABLE V COMPARISON OF CURRENT RESEARCHES ON HIGH-ACCURACY POSITIONING SYSTEMS
Fig. 21. 1-D Ranging statistics at different distance.
using the closed-form solution [38]. The above coarse position estimate is then refined recursively by the Gauss-Newton algorithm to yield the final position estimation (19) Fig. 19. Received pulse characteristics measurement: (a) Pulse widening and ringing due to amplifier saturation (b) Received signal at 65 dBm input (13.5 dB SNR) (d) Tangential signal sensitivity condition ( 71 dBm).
0
0
Fig. 20. Field test with tracking trajectory.
channel condition, a large is preferred to reduce the false alarm rate and detect the rising edge reliably. Once the TOAs of the target signal at all sensors are estimated, we then adopt a two-step approach for tag position estimation. First we obtain a rough estimation of the tag position
where is the position vector of the th sensor, is the range difference (estimated from the measured TDOA) of the tag signal between sensor and sensor 1 (reference sensor), and is the number of sensors. The trajectory of a moving tag inside the sensor network is recorded and plotted in Fig. 20. A 2-D positioning error within 10 cm is estimated from this network. The positioning accuracy of a given sensor network is determined fundamentally by the ranging performance. To study the performance at even longer ranges beyond 100 m, a one dimensional (1-D) ranging test is carried outdoor. As it is difficult to synchronize the transmitter and the receiver at such a long distance due to hardware constraint, one additional receiver is used to provide reference timing. The two receivers are synchronized and placed at equal distance to the transmitter. The TOAs of the transmitted UWB signal at the two receivers are measured by the thresholding technique as (18). The TDOA is then calculated by taking the difference between the two TOAs. In errorless case, TDOA should be zero as the signal travels equal distance from the transmitter to the two receivers. However, the TOA estimator in the actual measurement is erroneous and hence non-zero TDOA variance is observed.
4050
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
As the measurement is conducted in line of sight (LOS) condition, the major source of error is the receiver noise, which is assumed to be zero-mean Gaussian distributed. Therefore, the range estimation is unbiased with zero mean error. Ranging measurements were conducted at different distance from 20 m to 200 m. At each distance 300 measurements were taken. The statistics of ranging errors are plotted in Fig. 21. The 1- ranging error is consistent around 10 cm until 150 m and increases to 15 cm at 200 m distance, due to a smaller SNR. For applications where a higher accuracy is desirable, a higher equivalent sampling rate or averaging could be used. Table V provides a summary of the various reported UWB systems for high-accuracy indoor positioning system. Combining high transmit power, good receiver sensitivity and accurate sub-sampling, our proposed system is able to realize robust high precision localization at longer ranges. VI. CONCLUSION In this paper, UWB impulse radio MMICs designed and optimized for precision localization sensor network is presented. To extend the range, the transmitter MMIC is designed to fully exploit the UWB peak power limit effectively and efficiently using a novel circuit topology. A large fast impulse is generated first, which is then shaped by a high efficiency pulse former. This novel circuit is simple to implement yet can generate high peak power UWB pulses with 20 dBm peak power and consumes only 0.8 mW at 1 MHz PRF. The transmitter MMIC is used in the tag component of the precision localization sensor network. At the receiver, the design focus is on high sensitivity, high dynamic range and easy implementations with precision localization features. The receiver MMIC uses non-coherent detection with 44 dB maximum front-end conversion gain. The receiver MMIC is used as a sensor in the precision localization network together with a variable gain 500 MHz baseband amplifier and has measured tangential signal sensitivity (TSS) of dBm. A precision localization sensor network consisting of 7 sensors and a transmitting tag is implemented covering an area of around 80 meters by 90 meters. Equivalent time sampling is used for accurate TOA estimations with time resolution of 30 pS using ADC sampling rate of around 3 MHz making it possible to be interfaced through USB to a normal computer for signal processing. Field tests demonstrated 2-D positioning error standard deviation of less than 10 cm in the network. Separate 1-D ranging tests up to 200 meters are conducted and the measured range error standard deviation is better than 15 cm. The results appear to be very promising and it opens new horizons for many new exciting UWB applications. REFERENCES [1] R. J. Fontana, “Recent system applications of short-pulse ultra-wideband (UWB) technology,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 9, pp. 2087–2104, Sep. 2004. [2] J. H. Reed, An Introduction to Ultra Wideband Communication Systems. Englewood Cliffs, NJ: Prentice-Hall, 2005. [3] IEEE 802.15 WPAN Low Rate Alternative PHY Task Group 4a (TG4a) 2007 [Online]. Available: http://www.ieee802.org/15/pub/TG4a.html [4] R. J. Fontana, E. Richley, and J. A. Barney, “Commercialization of an ultra wideband precision asset location system,” in Proc. IEEE Conf. Ultra-Wideband Sys. Technol., Nov. 2003, pp. 369–373.
[5] L. Stoica, A. Rabbachin, H. O. Repo, T. S. Tiuraniemi, and I. Oppermann, “An ultrawideband system architecture for tag based wireless sensor networks,” IEEE Trans. Veh. Technol., vol. 54, no. 9, pp. 1632–1645, Sep. 2005. [6] C. M. Zhang, M. J. Kuhn, B. C. Merkl, A. E. Fathy, and M. R. Mahfouz, “Real-Time noncoherent UWB positioning radar with millimeter range accuracy: Theory and experiment,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 9–20, Jan. 2010. [7] T. Terada, S. Yoshizumi, M. Muqsith, Y. Sanada, and T. Kuroda, “A CMOS ultra-wideband impulse radio transceiver for 1-mb/s data communications and 2.5-cm range finding,” IEEE J. Solid-State Circuits, vol. 41, no. 4, pp. 891–898, Apr. 2006. [8] D. Lachartre, B. Denis, D. Morche, L. Ouvry, M. Pezzin, B. Piaget, J. Prouvee, and P. Vincent, “A 1.1 nJ/b 802.15.4a-compliant fully integrated UWB transceiver 0.13 um CMOS,” in IEEE Int. Solid-State Circuits Conf. Dig. Tech. Papers., Feb. 2009, pp. 312–313. [9] D. C. Daly, P. P. Mercier, M. Bhardwaj, A. L. Stone, Z. N. Aldworth, T. L. Daniel, J. Voldman, J. G. Hildebrand, and A. P. Chandrakasan, “A pulsed UWB receiver SoC for insect motion control,” IEEE J. SolidState Circuits, vol. 45, no. 1, pp. 153–166, Jan. 2010. [10] N. V. Helleputte, M. Verhelst, W. Dehaene, and G. Gielen, “A reconfigurable, 130 nm CMOS 108 pJ/pulse, fully integrated IR-UWB receiver for communication and precise ranging,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 69–83, Jan. 2010. [11] Ubisense Ubisense Real-Time Location, Cambridge, U.K., 2009 [Online]. Available: http://www.ubisense.net/ [12] Precision Real-Time Location System Time Domain Corporation, Huntsville, AL [Online]. Available: http://www.timedomain.com/ [13] Sapphire DART(RTLS) Product Data Sheet Multispectral Solutions, Inc., Germantown, MD, 2007 [Online]. Available: http://www.multispectral.com/ [14] Revision of Part 15 of the Commission’s Rules Regarding Ultra-Wideband Transmission Systems, FCC, 2002, First Report and Order, ET-Docket 98-153, FCC 02-48. [15] R. J. Fontana and E. A. Richley, “Observations on low data rate, short pulse UWB systems,” in Proc. IEEE Int. Conf. Ultra-Wideband, Sep. 2007, pp. 334–338. [16] J. J. Xia, C. L. Law, K. K. Koh, Y. Zhou, and C. Fang, “A 3–5 GHz impulse radio UWB transceiver IC optimized for precision localization at longer ranges,” in Proc. IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 169–172. [17] D. D. Wentzoff and A. P. Chandrakasan, “Gaussian pulse generators for subbanded ultra-wideband transmitters,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 4, pp. 1647–1655, Apr. 2006. [18] A. T. Phan, J. Lee, V. Krizhanovskii, Q. Le, S. K. Han, and S. G. Lee, “Energy-Efficient low-complexity CMOS pulse generator for multiband UWB impulse radio,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 55, no. 12, pp. 3552–3563, Dec. 2008. [19] S. X. Diao, Y. J. Zheng, and C. H. Heng, “A CMOS ultra low-power and highly efficient UWB-IR transmitter for WPAN applications,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 3, pp. 200–204, Mar. 2009. [20] S. Sim, D. W. Kim, and S. Hong, “A CMOS UWB, pulse generator for 6–10 GHz applications,” IEEE Microw. Wireless Compon. Lett., vol. 19, pp. 83–85, Feb. 2009. [21] S. Bourdel, Y. Bachelet, J. Gaubert, R. Vauche, O. Fourquin, N. Dehaese, and H. Barthelemy, “A 9-pJ/pulse 1.42-Vpp OOK CMOS UWB pulse generator for the 3.1–10.6-GHz FCC band,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 1, pp. 65–73, Jan. 2010. [22] J. Lee, Y. J. Park, M. Kim, C. Yoon, J. Kim, and K. H. Kim, “System-on-package ultra-wideband transmitter using CMOS impulse generator,” IEEE Trans. Microw. Theory Tech., vol. 54, pp. 1667–1674, Apr. 2006. [23] J. Han and C. Nguyen, “On the development of a compact sub-nanosecond tunable monocycle pulse transmitter for UWB applications,” IEEE Trans. Microw. Theory Tech., vol. 54, pp. 285–293, Jan. 2006. [24] J. Renfeng, S. Halder, J. C. M. Hwang, and C. L. Law, “Tunable pulse generator for ultra-wideband applications,” in Proc. Asia Pacific Microw. Conf., Dec. 2009, pp. 2272–2275. [25] H. Kim, D. Park, and Y. Joo, “All-digital low-power CMOS pulse generator for UWB system,” Electron. Lett., vol. 40, pp. 1534–1535, Nov. 2004. [26] T. Norimatsu, R. Fujiwara, M. Kokubo, M. Miyazaki, A. Maeki, Y. Ogata, S. Kobayashi, N. Koshizuka, and K. Sakamura, “A UWB-IR transmitter with digitally controlled pulse generator,” IEEE J. SolidState Circuits, vol. 42, no. 6, pp. 1300–1309, Jun. 2007.
XIA et al.: 3–5 GHz UWB IMPULSE RADIO TRANSMITTER
[27] V. V. Kulkarni, M. Muqsith, K. Niitsu, H. Ishikuro, and T. Kuroda, “A 750 Mb/s, 12 pJ/b, 6-to-10 GHz CMOS IR-UWB transmitter with embedded on-chip antenna,” IEEE J. Solid-State Circuits, vol. 44, no. 2, pp. 394–403, Feb. 2009. [28] H. L. Xie, X. Wang, A. Wang, B. Zhao, L. Yang, and Y. M. Zhou, “A broadband CMOS multiplier-based correlator for IR-UWB transceiver SoC,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2007, pp. 493–496. [29] L. Zhou, Z. Chen, C. C. Wang, F. Tzeng, V. Jain, and P. Heydari, “A 2 Gbps RF-correlation-based impulse-radio UWB transceiver front-end in 130 nm CMOS,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2009, pp. 65–68. [30] Y. J. Zheng, Y. Tong, J. Yan, Y. P. Xu, W. G. Yeoh, and F. Lin, “A low power noncoherent CMOS UWB transceiver ICs,” in Proc. IEEE Radio Freq. Integr. Circuits Symp., Jun. 2005, pp. 347–350. [31] C. Zhang, A. E. Fathy, and M. Mahfouz, “Performance enhancement of a sub-sampling circuit for ultra-wideband signal processing,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 6, pp. 873–875, Dec. 2007. [32] G. L. Turin, “An introduction to matched filter,” IRE Trans. Inf. Theory, vol. 6, pp. 311–329, Jul. 1960. [33] R. H. Kingston, “Switching time in junction diodes and junction transistors,” Proc. IRE, vol. 42, pp. 829–834, May 1954. [34] C. Fang, C. L. Law, and J. Hwang, “High-Voltage high-efficiency ultrawideband pulse synthesizer,” IEEE Microw. Wireless Compon. Lett., vol. 20, pp. 49–51, Jan. 2010. [35] S. Halder, J. C. M. Hwang, G. A. Solomon, and G. Klein, “Order-ofmagnitude improvement in microwave power capacity of InGaP/GaAs HBT under isothermal pulsed operation,” IEEE Trans. Electron Devices, vol. 53, no. 10, pp. 2634–2639, Oct. 2006. [36] B. Gilbert, “A precise four-quadrant multiplier with subnanosecond response,” IEEE J. Solid-State Circuits, vol. 3, no. 12, pp. 365–373, Dec. 1968. [37] I. Guvenc and Z. Sahinoglu, “Threshold-based TOA estimation for impulse radio UWB systems,” in Proc. IEEE Int. Conf. Ultra-Wideband, Sep. 2005, pp. 420–425. [38] J. Smith and J. Abel, “Closed-form least-squares source location estimation from range-difference measurements,” IEEE Trans. Acoust., Speech Signal Process., vol. ASSP-35, no. 12, pp. 1661–1669, Dec. 1987. Jingjing Xia (M’08) was born in Nanjing, China. He received the B.S. degree in electrical and electronics engineering from Nanyang University of Technology, Singapore, in 2008, where he is working toward the Ph.D. degree in electrical and electronics engineering. Since 2008, he has been a research engineer with positioning and wireless technology centre, Nanyang University of Technology, Singapore. His research interest includes novel analog and RFIC design for UWB systems.
4051
Choi Look Law (M’92–SM’03) received the B.Eng. and Ph.D. degrees from King’s College, London, U.K., in 1983 and 1987 respectively. From 1986 to 1988, he was a senior research engineer at ERA technology in the U.K. He joined Nanyang Technological University, Singapore in 1988 where he is currently an Associate Professor in the Communication Engineering Division and Founding Director of Positioning and Wireless Technology Centre. His research interests are in ultra-wideband microwave circuit characterization, design and modeling, wideband channel characterization and effects on high speed wireless communication, radio frequency identification, wireless networking and positioning systems. He co-founded RFNET in 2001, a company specializing in wireless LAN and RFID products and services. He has given numerous continuing educational courses to industries and acted as consultant to a number of companies and government agencies. He has published over one hundred international conference and journal papers.
Yuan Zhou (S’05) received the B. Eng. degree in electrical and electronic engineering in 2007 from the Nanyang Technological University, Singapore, where he is currently pursuing the Ph.D. degree at the Positioning and Wireless Technology Centre under the A*STAR Graduate Scholarship. His research interests include statistical signal processing, optimization, and UWB systems for communication, ranging, positioning, and tracking.
Kee Siang Koh (S’08) was born in Singapore in 1983. He received the B.Eng. degree in electrical and electronic engineering from Nanyang Technological University, Singapore, in 2008, where he is currently working toward the Ph.D. degree. His research interests include radio frequency identifications (RFID), wireless energy transfer and power amplifiers.
4052
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A V -Band Switched Beam-Forming Antenna Module Using Absorptive Switch Integrated With 4 4 Butler Matrix in 0.13-m CMOS Wooyeol Choi, Student Member, IEEE, Konggyun Park, Youngmin Kim, Student Member, IEEE, Kihyun Kim, Student Member, IEEE, and Youngwoo Kwon, Senior Member, IEEE
Abstract—A beam-forming antenna module is demonstrated using an integrated CMOS beam-former chip and -band. a simple two-metal layer printed circuit board at The beam-former circuit integrates an absorptive single-pole four-throw switch together with a 4 4 Butler matrix using a 0.13- m CMOS process. The entire insertion loss of the integrated beam former integrated circuit (IC) is around 7.5 dB at 60 GHz, among which 3 dB is attributed to the Butler matrix. The overall phase error is within 12%. The antenna module employs backside radiation structure using series-fed patch antenna arrays to suppress parasitic radiation. The measured radiation pattern shows good agreement with the simulation. To the best of our knowledge, this is the first demonstration of the beam-forming antenna module using a single-chip CMOS switched beam-former IC at -band Index Terms—Absorptive single-pole n-throw (SPnT) switch, Butler matrix, millimeter-wave switch, switched beam-forming network, -band.
I. INTRODUCTION ONTINUOUS scaling of the CMOS transistors has enabled the implementation of CMOS-based radios at millimeter-wave frequencies. In particular, 60-GHz CMOS radio has drawn a lot of attention for low-cost short-range/high-datarate applications [1]. To overcome the excessive atmospheric attenuation, directional antennas are preferred at 60 GHz. However, the fixed antennas with high directivity suffer from the shadowing effects due to high attenuation in the non-line-ofsight (NLOS) propagation. Thus, beam-forming is essential for 60-GHz radios [1]. As an alternative to the conventional phased-array system using phase shifters, the switched beam-forming networks
C
Manuscript received July 06, 2010; revised October 01, 2010; accepted October 04, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by the Ministry of Education, Science and Technology of the Republic of Korea under the Acceleration Research Program and by the National Research Foundation of Korea. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. W. Choi, Y. Kim, K. Kim, and Y. Kwon are with the School of Electrical Engineering, Seoul National University, 151-742 Seoul, Korea (e-mail: [email protected]). K. Park was with the School of Electrical Engineering, Seoul National University, 151-741 Seoul, Korea. He is now with SK Telecom, 100-999 Seoul, Korea. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086472
based on a Rotman lens [2] and a Butler matrix [3]–[7] have recently been investigated for low-cost implementation. These techniques do not rely on high-accuracy phase shifters, and require only one path-selection switch in front of a fixed beam-forming network, resulting in no dc power consumption. The authors have demonstrated a -band Rotman-lens-based beam-steering antenna module using system-on-package (SOP) technology on a silicon mother board [2], and the Butler-matrix-based beam former using RF microelectromechanical systems (MEMS) technology [7]. However, for system-level integration, it is required to integrate the beam-forming network and the path-selection switch on a single CMOS chip. Compared to the Rotman lens, which requires bulky metal structure for parallel plate mode propagation, the Butler matrix is better suited to integrated circuit (IC) integration as it can be realized with only small-size couplers and fixed phase delay lines. There have been a few researches on the Butler matrix in CMOS [4]–[6]. However, the operation frequency was limited to less than 30 GHz, and no measured radiation pattern of the integrated switched beam former has been presented at millimeter-wave frequencies. As far as the CMOS switch is concerned, there have been reports of low-loss single-pole four-throw (SP4T) switches at -band [8]. However, the reflective switches can degrade the radiation pattern due to the finite isolation. An absorptive singlepole n-throw (SPnT) switch with additional terminations provides higher isolation, and is thus more suitable for millimeterwave switched beam-forming networks. Besides, the absorptive SPnT switch mitigates the problems of the multiple internal reflections inside the beam former, which arises from the impedance mismatches between the antennas and the beamforming network. The demonstration of a full beam-forming system including the antenna array is not straightforward at high frequencies due to the pronounced parasitic effects. In particular, the parasitic radiation from the antenna feed lines, bond wires, and waveguide-to-planar circuit transitions is not negligible at -band, and can result in non-negligible degradation of the radiation patterns. Advanced multilayer packaging techniques such as deposited multichip module (MCM-D) [2] and low-temperature co-fired ceramic (LTCC) [9] can mitigate these problems by integrating isolation structures into the substrates. However, they require complicated process steps and are expensive in terms of prototyping cost. In this work, a simple two-metal layer printed circuit board (PCB) is used to demonstrate a full beam-forming
0018-9480/$26.00 © 2010 IEEE
CHOI et al.:
-BAND SWITCHED BEAM-FORMING ANTENNA MODULE
4053
Fig. 1. Schematic of the proposed switched beam-forming network integrating an absorptive SP4T switch and a 4
2 4 Butler matrix.
system at -band using the single-chip CMOS beam-former IC presented in [10]. To the best of our knowledge, this is the first demonstration of the beam-forming antenna module using an integrated beam-former CMOS IC based on the absorptive switch and the Butler matrix at -band. II.
-BAND SWITCHED BEAM-FORMING IC DESIGN
A single-chip beam-former IC is realized by integrating an absorptive SP4T switch and a 4 4 Butler matrix, as presented in Fig. 1. The wafer fabrication process is IBM 8RF-DM 0.13- m CMOS process, which provides one-poly and eight-metal layers including two thick metal layers (M7 and M8). A. Absorptive SP4T Switch Design Fig. 1 presents the circuit schematic of the proposed absorptive SP4T switch based on quarter-wavelength lines and and ). two shunt transistor switch arms ( and are quarter-wavelength transmission lines with a characteristic impedance of 50 . Transistor size of switches is selected to provide low enough resistance under on-state, which is transformed to open circuit at the input port by the quarter-wavelength line . In this way, the input signals can be transmitted to the activated port with minimum leakage into the deactivated arms. The inductive shunt to resonate out stub (Stub1) is added in parallel with the off-state capacitance, which helps to reduce the insertion losses. In order to achieve absorptive characteristics at the deactivated arms, the second quarter-wavelength transmission is added together with the second shunt switches line . To provide matched termination for the deactivated arms, the transistor scaling is used for to present 50output impedance when the transistor is turned on. The combined effect of short-circuited quarter-wavelength line and on-state resistance of provides matched load for the
Fig. 2. Structure of 50- grounded CPW lines.
deactivated paths. Since the size of the field-effect transistor (FET) is kept small to realize 50- termination when it is turned on, there is no need to employ a shunt inductance to . resonate out the off-state capacitance, as in the case of Transistor sizes used in the design are 100 m 2 50 and and , respectively. Triple-welled 10 m 2 5 for to reFET with floating-body technique is employed for duce the insertion loss [8], [11]. The folded quarter-wavelength transmission lines are realized with grounded coplanar waveguide (CPW) lines with a length of 565 m. The detailed dimensions of the grounded CPW line are shown in Fig. 2. The estimated loss of the line is 0.5 dB/mm at 60 GHz. The overall circuit performance is analyzed using a hybrid method combining the results from 3-D full-wave EM simulations of the passive structures and a high frequency macro model of CMOS FETs [12]. B. Butler Matrix Design The Butler matrix consists of 3-dB quadrature couplers, cross-overs, and 45 phase shift elements, as shown in Fig. 1.
4054
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 3. 3-D structure of the broadside coupled line coupler.
Generally, a 3-dB quadrature coupler is designed with coupled lines based on edge coupling of metal sidewalls. However, they suffer from excessive insertion loss due to the limited metal thickness in the CMOS IC process and pronounced skin effect at high frequencies. To mitigate the loss concerns, we have designed a 3-dB quadrature coupler using broadside coupling by overlapping two top thick metal layers (4- m-thick M8 and 3- m-thick M7 layers) separated by 4- m dielectric. In addition, a high impedance ground (BFMOAT) is employed underneath the coupler to reduce the capacitance coupling between the signal lines and lossy silicon substrate. Fig. 3 shows the 3-D structure of the broadside coupler used in this work. The width and length of the overlapped lines are optimized to reduce magnitude and phase imbalances between the coupled and through ports. The optimized dimensions of the couplers are 8 m in width and 626 m in length. The simulated insertion loss is 0.68 0.1 dB and the phase difference between through and coupled ports is 89.7 0.7 from 57 to 63 GHz. The return loss and isolation are higher than 23 dB. The cross-over is implemented using multimetal layers available from the CMOS process. Overlay signal lines are defined at top metal layer (M8), whereas the underlying signal lines are realized with the dual metal lines at M5 and M6 layers. To improve isolation at the cross-over points, the ground plane realized with M7 layer is inserted between M8 and M6 layers [4]. With this arrangement, the simulated insertion loss and isolation at the cross-over are 0.1 and 35 dB, respectively. The 45 phase shifters are implemented with the grounded CPW lines with a line length of 740 m, which includes the compensation of the phase difference due to the delay in the cross-over section. The overall magnitude and phase difference between 45 delay lines and cross-over is optimized to be less than 0.1 dB and 45 1 , respectively. The transmission linebased approach used in this work shows reduced insertion loss
Fig. 4. Photographs of: (a) front and backside of the designed antenna module and (b) a close-up view of the packaged chip.
compared with the counterpart using the phase shifters. However, the design flexibility and beam controllability are limited since there are no tunable phase shifters [4], [5]. III.
-BAND BEAM-FORMING MODULE DESIGN
In order to demonstrate a complete beam-forming system, we have designed a beam-forming antenna module using a simple two-metal layer PCB substrate. The substrate used for the module demonstration is 127- m-thick RT Duroid 5880 substrate with 17- m copper metal layers on both sides. For integration with the CMOS chip, planar series-fed patch array is employed as a radiating component. To avoid the parasitic radiations, the antenna is implemented on the backside of the substrate while all the other components including the wire-bonded beam-former IC and the interconnect/transition structures are placed on the front side of the board. Fig. 4(a) shows the photographs of both front and back sides of the -band beam-forming module. Since the beam-forming module will be characterized using the test system based on WR-15 waveguide, we have also integrated a waveguide-to-microstrip transition based on antipodal finline into the two-metal layer PCB substrate. The estimated insertion loss of the finline transition is 0.6 dB from 50 to 70 GHz [13]. The rest of the module consists of the CMOS beam-former IC and the antenna feeding lines on the front side, front-to-back side transition, and four series-fed patch antenna arrays on the
CHOI et al.:
-BAND SWITCHED BEAM-FORMING ANTENNA MODULE
4055
Fig. 5. (a) Layout of the proposed front-to-back transition and (b) its simulated S -parameter characteristics (insertion loss 10 dB up to 70 GHz).
back side of the PCB. The front-to-back side transition is realized with through-substrate via-holes together with the inductance-compensating structures, as shown in Fig. 5(a). The diameter of the via-hole is 300 m, which is the minimum dimension allowed by the low-cost PCB process employed in this work. To compensate for the parasitic effect of rather large via-holes at this frequency, we have employed the open-ended stubs on both sides of via-holes, whose capacitance balances out the inductance of the via-holes. The simulated insertion loss is better than 1 dB, as shown in Fig. 5(b). Five parallel bond wires are used to minimize the inductances and other parasitic effects due to wire bonding between the chip and the board. The parasitic inductance due to the bond wire connection to and from each ground–signal–ground (GSG) signal pad is estimated to be 200 pH, among which 160 pH is attributed to the wire bonds connecting the signal lines while the balance is due to the ground connection. We have used the inductance compensating structures [6] to balance the inductance effects with shunt capacitances, which helps to reduce the loss due to the bond wires to 1 dB. However, the phase delay from the wire bonding could not be made identical for each signal path due to the differences in the bond wire lengths depending on the relative pad locations, as shown in Fig. 4(b). The insertion phase difference according the signal path can cause the distortions in the radiation patterns, as shown in Section IV-D. Series-fed patch antenna array defined on the back side of the substrate is based on the unit patch size of 2.6 mm 1.6 mm.
Fig. 6. Die photograph of the fabricated single-chip switched beam-forming network IC.
Four antennas are series connected with a separation of 3 mm . The width of two antennas at each end is reduced to 2.3 mm for uniform current distribution on the patch elements. The simulated antenna gain is 13.37 dBi. Four series fed antenna array is placed 3 mm apart, and each antenna array is connected to the antenna ports of the Butler matrix through the front-toback side transition. The gain of the entire array is simulated to be 18.19 dBi if no losses are assumed in the Butler matrix and the switch, as well as the feeding networks. IV. FABRICATION AND MEASUREMENTS The photograph of the fabricated beam-forming IC is shown in Fig. 6. The chip consists of two sub-circuits, a 4 4 Butler matrix, and an absorptive SP4T switch. In order to allow on-wafer probing of individual sub-circuit, probe pads are added between the sub-circuits. The entire chip area is 1.43 0.73 mm , excluding the probe pads. -parameters are measured using a vector network analyzer (VNA) (Agilent 8510XF) after short-open-load-thru (SOLT) calibration. A. Measurement of Absorptive SP4T Switch For separate characterization of the absorptive SP4T switch, the connecting lines between the switch and the Butler matrix
4056
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 7. Measured and simulated characteristics of the SP4T switch only. (a) Insertion loss ( 4.8 dB from 57 to 63 GHz) and input/output return losses ( 10 dB from 55 to 70 GHz) of activated path. (b) Isolation ( 31 dB from 57 to 63 GHz) and output return loss ( 14 dB from 57 to 63 GHz) of deactivated path.
>
>
are disconnected by a focused-ion beam (FIB). (See the dashed line in Fig. 6.) Fig. 7(a) shows the measured insertion loss and return losses of the activated ports from 45 to 75 GHz, together with the simulation results. The isolation and the output return loss of the deactivated ports are shown in Fig. 7(b). It can be seen from Fig. 7 that the measured frequency dependence generally follows the simulated response. The measured insertion loss of 4.5 dB at 60 GHz is about 0.3 dB higher than the simulation, which is attributed to the unaccounted losses in the feeding lines and probing pads. The measured isolation between the input and deactivated output ports is better than 31 dB from 57 to 63 GHz. As expected, the absorptive switch shows improved isolation compared with the reflective switch, but this comes at the expense of slightly higher insertion loss due to the adand shunt transistor ditional quarter-wavelength lines . The overall characteristic of the switch is almost switch identical for all four ports. The insertion loss difference among the output ports is less than 0.1 dB, whereas the isolation difference is less than 1 dB. B. Measurement of Beam-Former IC After the separate characterization of an absorptive SP4T switch, the entire beam-forming IC including 4 4 Butler matrix is measured using on-wafer probing. For two-port -parameter measurements, the unused output ports are terminated with an off-chip 50- thin-film resistor chip connected with short bond wires, which presents the impedance close to, but
P P
Fig. 8. Measured magnitude distributions: (a) when is activated for 45 path ( 13.5 dB 0.5 dB) and (b) when - is activated for 135 path ( 13.5 dB 1 dB).
6
0
0
6
6
P P
6
not equal to 50- terminations. Fig. 8 shows the overall insertion loss of the entire beam-forming network. The measured loss of the beam former IC is 13.5 dB at 60 GHz, which means that the estimated loss of the Butler matrix is around 3 dB after taking into account the ideal distribution loss of 6 dB and the insertion loss of the absorptive switch 4.5 dB . It is 0.8 dB higher than the simulation. The amplitude error among the output ports is around 0.5 dB when the signal is routed to 45 paths and the amplitude error increases to 1 dB when the signal is switched to 135 paths. Fig. 9 shows the measured phase differences between adjacent ports. The measured phase errors are less than 5 when the signal is routed to the ports 1 and 4 ( 45 paths), and 16 when the beam is switched to the ports 2 and 3 ( 135 paths). The amplitude and phase errors distort the radiation patterns and result in gain degradation and beam angle errors. The calculated array factor in the presence of the gain and phase errors is presented in Section V. The amplitude and phase errors are attributed to the amplitude and phase imbalances in the switch and couplers, as well as the parasitic effects of RF pads and non-ideal off-chip terminations used for two-port on-wafer probing. In particular, the asymmetric nature of the broadside coupler appears to be the main contributor to the magnitude and phase errors. Two coupled lines (M7 and M8 in Fig. 3) in the broadside coupler are realized using the metal layers with different thickness and separation from the bottom ground, resulting in different insertion losses and phase velocities. According to the full-wave simulation, the insertion loss and phase delay at the “through” output
CHOI et al.:
-BAND SWITCHED BEAM-FORMING ANTENNA MODULE
4057
Fig. 10. Calculated array factors from the measuredS -parameters (peaks at 12 and 44 ), and array factors from the ideal Butler matrix (peaks at 12 and 38 ).
6
6
6
6
Fig. 9. Measured phase-difference distributions of beam-former IC when P -P , P -P , P -P , and P -P paths are activated. 5 error in 45 paths and 16 error in 135 paths.
6
6
6
6
can vary as much as 0.5 dB and 7 , respectively, from each coupler depending on which port is used as the input. For into path is turned on, the signal from stance, when the to passes one “coupled” path and one “through” path on M8, experiences two “through” paths on M7, as while the one to shown in Fig. 1. Therefore, one can conclude that 14 out of 16 error comes from coupler asymmetry, whereas the rest is attributed to other parasitic effects. The phase error can be minimized by using symmetric coupler, as proposed in [5], or by simply rearranging the couplers to be symmetrical not only in the -axis, but also in the -axis in Fig. 1.
Fig. 11. Measured and simulated radiation patterns of the switched beam-forming network module using backside antenna array.
C. Array Factor Based on Measured -Pparameters Using the measured results of the beam-former IC, the array factor of the developed switched beam-forming network can be calculated by the following equations:
where are the amplitude excitation coefficients, is the number of antenna element, is the propagation constant, is the distance between antenna elements, and is the progressive phase excitation between antenna elements. Under the assumption that perfectly matched isotropic antennas are connected to the array ports of the Butler matrix and the element spacing is set to 0.6 in the air, the ideal Butler matrix steers the beam direction to 12 (Port 1, 4 feed), 38 (Port 2, 3 feed) [3]. The calculated array factors from the measured phase and amplitude distribution are compared with the theoretical values in Fig. 10. As shown in Fig. 10, the calculated array factors from the measured -parameters predict the beam directions at 12 (Port 1, 4), 44 (Port 2, 3). The beam direction error is higher at the larger angles, which is attributed to rather large phase and magnitude imbalances for the case of the signal feeding through the ports 2 and 3. The magnitude of the array factor is also lower
in the off-center beams than center beams by 1.4 dB due to the magnitude and phase errors. D. Radiation Pattern Measurements The radiation pattern is measured using the Agilent 83557A -band source module in combination with the Agilent 83650B signal generator radiated from a standard horn antenna, which has 25-dBi gain at 60 GHz. The signal received by the DUT is measured by using Agilent 11970 V sub-harmonic waveguide (WR-15) mixer in conjunction with Agilent E4448A spectrum analyzer. Newport ESP-100 motion controller is used for rotator operation. The fabricated beam-former IC is mounted on the front-side of the antenna module, as shown in Fig. 4(b), and the radiation pattern is measured from the back side, as shown in Fig. 11. The measured radiation pattern follows the peaks and nulls predicted from the simulation. The maximum errors between simulation and measurement are 3 in peak angle and 2.15 dB in the relative power level. The worst case sidelobe level is 4 dB when the input is routed to P3, while the predicted level is 8 dB from the simulation. The errors are attributed to the wire-bond repeatability and other unaccounted effects from the feed lines and transitions. To evaluate the gain of the antenna module, the received power level is compared with the one using a standard horn antenna with 25-dBi gain. The measured power level
4058
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
capability using CMOS technology. In particular, an on-chip switched beam-former will enable a low-cost millimeter-wave beam scanning system, which does not rely on the complex components such as the phase shifters. ACKNOWLEDGMENT The authors would like to thank S. Lee, Seoul National University, Seoul, Korea, for the FIB. REFERENCES
Fig. 12. Measured radiation patterns of the switched beam-forming network module using front side antenna array.
is 20.86 dB lower than the one from the horn antenna, which makes the overall gain of the module 4.14 dBi. The total loss between the waveguide and the planar antenna array is estimated to be 12.5 dB, considering 7.5-dB loss from beam-former IC, 2-dB loss from the waveguide-to-chip connection, and 3-dB loss from antenna feeding network, which includes 1-dB loss from front-to-back transition and 1-dB loss from chip-to-board wire bonding. Based on the loss estimation, the gain of the antenna array itself is calculated to be 16.64 dBi, which is 1.55 dB lower than the gain obtained from electromagnetic simulation, 18.19 dBi. This difference is attributed to the unaccounted losses in the module assembly and measurement errors. To assess the impact of parasitic radiation, we have also fabricated a beam-forming module, where all the circuitry and antenna arrays are realized on the front side of the substrate. Fig. 12 shows the measured radiation pattern in this case. It is clear from Fig. 12 that the parasitic radiation significantly distorts overall radiation pattern, making it not usable in the practical applications.
V. CONCLUSION A switched beam-forming antenna module has been demonstrated at -band using a single-chip beam-former IC integrating an absorptive SP4T switch and 4 4 Butler matrix using 0.13- m CMOS technology. Instead of using more common reflective switches, an absorptive switch has been used in this work to enhance the isolation and thus to avoid unwanted degradation of the radiation patterns at -band. A simple two-metal layer PCB has been used to demonstrate a beam-forming antenna module using the fabricated CMOS beam-former chip. Parasitic radiation is suppressed by implementing the series-fed patch antenna arrays on the back side of the substrate. The measured radiation pattern shows decent agreement with the simulation. To the best of our knowledge, this is the first report of the measured radiation patterns using CMOS switched beam-former IC at -band. This work shows the potential of a -band single-chip radio with beam scanning
[1] N. Guo, R. C. Qiu, S. S. Mo, and K. Takahashi, “60-GHz millimeterwave radio: Principle, technology, and new results,” EURASIP J. Wireless Comm. Netw., vol. 2007, no. 68253, pp. 8–8, 2007. [2] S. Lee, S. Song, Y. Kim, J. Lee, C.-Y. Cheon, K.-S. Seo, and Y. Kwon, “A V -band beam-steering antenna on a thin-film substrate with a flipchip interconnection,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 4, pp. 287–289, Apr. 2008. [3] J. Butler and R. Lowe, “Beam forming matrix simplifies design of electronically scanned antennas,” Electron. Design, pp. 170–173, Apr. 1961. [4] C.-C. Chang, T.-Y. Chin, J.-C. Wu, and S.-F. Chang, “Novel design of a 2.5 GHz fully integrated CMOS Butler matrix for smart-antenna systems,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 8, pp. 1757–1763, Aug. 2008. [5] T.-Y. Chin, S.-F. Chang, C.-C. Chang, and J.-C. Wu, “A 24-GHz CMOS Butler matrix MMIC for multi-beam smart antenna system,” in IEEE RFIC Symp. Dig., Jun. 2008, pp. 633–636. [6] B. Cetinoneri, Y. A. Atesal, J. Kim, and G. M. Rebeiz, “CMOS 4 4 and 8 8 Butler matrices,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 69–72. [7] S. Lee, J. Kim, Y. Kim, and Y. Kwon, “An absorptive single-pole four-throw switch using multiple-contact MEMS switches and its application to a monolithic millimeter-wave beam-forming network,” J. Micromech. Microeng., vol. 19, no. 1–11, pp. 8–8, Jan. 2009. [8] Y. Atesal, B. Cetinoneri, and G. Rebeiz, “Low-loss 0.13-m CMOS 50–70 GHz SPDT and SP4T switches,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 43–46. [9] Y. Zhang, M. Sun, K. Chua, L. Wai, and D. Liu, “Antenna-in-Package design for wirebond interconnection to highly integrated 60-GHz radios,” IEEE Trans. Antennas Propag., vol. 57, no. 10, pp. 2842–2852, Oct. 2009. [10] K. Park, W. Choi, Y. Kim, K. Kim, and Y. Kwon, “A V -band switched beam-forming network using absorptive SP4T switch integrated with 4 4 Butler matrix in 0.13-m CMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 73–76. [11] Q. Li, Y. Zhang, K. S. Yeo, and W. M. Lim, “16.6- and 28-GHz fully integrated CMOS RF switches with improved body floating,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 339–345, Feb. 2008. [12] W. Choi, G. Jung, J. Kim, and Y. Kwon, “Scalable small-signal modeling of RF CMOS FET based on 3-D EM-based extraction of parasitic effects and its application to millimeter-wave amplifier design,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3345–3353, Dec. 2009. [13] J. Jeong, D. Kim, S. Kim, and Y. Kwon, “V -band high-efficiency broadband power combiner and power-combining module using double antipodal finline transitions,” Electron. Lett., vol. 39, no. 4, pp. 378–379, Feb. 2003.
2
2
2
Wooyeol Choi (S’02) was born in Yongin, Korea, in 1979. He received the B.S. degree in electrical engineering from Yonsei University, Seoul, Korea, in 2001, the M.S. degree in electrical engineering from the Seoul National University, Seoul, Korea, in 2003, and is currently working toward Ph.D. degree in electrical engineering at Seoul National University. His research activities include the design of millimeter-wave ICs and systems using GaAs and Si devices.
CHOI et al.:
-BAND SWITCHED BEAM-FORMING ANTENNA MODULE
Konggyun Park was born in Gwangju, Korea, in 1981. He received the B.S. degree in electrical engineering from Yonsei University, Seoul, Korea, in 2008, and the M.S. degree in electrical engineering from Seoul National University, Seoul, Korea, in 2010. He is currently with SK Telecom, Seoul, Korea.
Youngmin Kim (S’08) was born in Masan, Korea, in 1979. He received the B.S. degree in electronic engineering from Sung Kyun Kwan University, Suwon, Korea, in 2001, the M.S. degree in electrical engineering from Seoul National University, Seoul, Korea, 2005, and is currently working toward the Ph.D. degree in electrical engineering at Seoul National University. His current research interests include the design of monolithic microwave intgrated circuits (MMICs) for millimeter-wave systems using GaAs and Si devices and their system integration.
Kihyun Kim (S’08) was born in Ulsan, Korea, in 1979. He received the B.S. and M.S. degrees from Seoul National University, Seoul, Korea, in 2003 and 2008, respectively, and is currently working toward the Ph.D. degree at Seoul National University. His research interests are the measurement of biological materials and the design of microwave ICs and systems for cancer detection.
4059
Youngwoo Kwon (S’90–M’94–SM’04) was born in Seoul, Korea, in 1965. He received the B.S. degree in electronics engineering from Seoul National University, Seoul, Korea, in 1988, and the M.S. and Ph.D. degrees in electrical engineering from The University of Michigan at Ann Arbor, in 1990 and 1994, respectively. From 1994 to 1996, he was with the Rockwell Science Center, as a Member of Technical Staff, where he was involved in the development of millimeterwave monolithic ICs. In 1996, he joined the faculty of School of Electrical Engineering, Seoul National University, where he is currently a Professor. He is a co-inventor of the switchless stage-bypass power amplifier architecture called CoolPAM and co-founded Wavics, a power amplifier design company, which is now fully owned by Avago Technologies. He has authored or coauthored over 150 technical papers appearing in internationally renowned journals and conferences. He holds over 20 patents on RF MEMS and power amplifier technology. Dr. Kwon has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has also served as a Technical Program Committee member of various microwave and semiconductor conferences including the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), RF Integrated Circuit (RFIC) Symposium, and the International Electron Devices Meeting (IEDM). Over the past years, he has directed a number of RF research projects funded by the Korean Government and U.S. companies. In 1999, he was awarded a Creative Research Initiative Program by the Korean Ministry of Science and Technology with a nine-year term to develop new technologies in the interdisciplinary area of millimeter-wave electronics, MEMS, and biotechnology. He was the recipient of a Presidential Young Investigator award from the Korean Government in 2006.
4060
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios Kevin Chuang, Student Member, IEEE, David Yeh, Member, IEEE, Francesco Barale, Student Member, IEEE, Patrick Melet, Member, IEEE, and Joy Laskar, Fellow, IEEE
Abstract—In this paper, a low-power high-speed fully integrated mixed-signal quadrature demodulator with an embedded multi-gigabit modem in 90 nm CMOS technology is presented. A wide dynamic-range automatic gain control (AGC) is implemented to avoid clipping distortion experienced by the baseband ADCs. By reusing the power detector circuit within the AGC, analog signal processor is introduced to recover OOK modulated signals up to 2.5 Gb/s for an additional power consumption of 7.5 mW. Integrated with ultra-low-power, 3 mW, 3 GS/s, 3-bit ADCs and high-speed digital modem, the system requires neither external synchronization controls nor processing to demodulate BPSK modulated signals up to 3.5 Gb/s and DBPSK modulated signals up to 1.3 Gb/s. The baseband modem incorporates a mixed-signal, timing-recovery loop to sample the symbols at the optimum SNR based on a high-speed Gardner timing-error detector for an additional power consumption of 14 mW. The analog front-end consists of IQ mixers, a 13 GHz QVCO, frequency synthesizers, and a baseband AGC for an overall power consumption of 52 mW. The entire receiver chip occupies an area of 1.275 1.19 mm2 . To the best of authors’ knowledge, this demonstrates the maximum throughput at the minimum power budget and highest level integration among all published wireless multi-gigabit, multi-mode, mixed-signal CMOS receivers. Index Terms—90 nm, ADC, AGC, bit synchronizer, BPSK, CMOS, DBPSK, high-Speed, low-Power, mixed-signal, modem, multi-gigabit, OOK.
I. INTRODUCTION
I
NCREASED consumer demands and technological improvements have made radio-based electronic devices essential in our society. With the rapid advancements in semiconductor technologies, CMOS wireless communication transceivers in the millimeter-wave regime have recently become more versatile, portable, and inexpensive [1]–[3]. However, plagued by the short lifetime of batteries, low-power consumption has become an extremely important specification
Manuscript received June 29, 2010; revised September 13, 2010; accepted September 20, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This paper is an extended paper from the IEEE MTT-S International Microwave Symposium held on May 23-28, 2010 in Anaheim, CA. K. Chuang is with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA (e-mail: [email protected]). D. Yeh, F. Barale, P. Melet, and J. Laskar were with the School of Electrical and Computer Engineering, Georgia Institute of Technology, Atlanta, GA 30308 USA. D. Yeh and P. Melet are now with the Samsung Design Center, Atlanta, GA 30308 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2084585
in developing mobile communication devices [4], [5]. Such systems generally require both low-power broadband demodulator and high-speed ADCs for portable multi-gigabit applications. One of the key advantages of millimeter-wave communication technology is the large amount of spectral bandwidth available, which is enough to transmit a gigabit of data even with the simplest modulation schemes, such as on-off keying (OOK), binary phase-shift keying (BPSK), or differential BPSK (DBPSK). In 2001, the Federal Communications Commission (FCC) allocated a continuous block spectrum of 7 GHz in the 60 GHz band for license-free operation in the United States [6]. For the first time, sufficient spectrum has made possible multi-gigabit radio frequency (RF) links. This is attractive for security applications because oxygen molecules absorb electromagnetic energy in this band [7]. The additional oxygen absorption loss in addition to the free space path loss allows multiple 60 GHz data links to operate in close proximity with each other. Shortly after that, in 2003, the FCC opened up E-band (known as the 71–76, 81–86, and 92–95 GHz for carrier-class point-to-point digital backhaul applications) for licensed operation [8]. This paper expands our previous work [9] by describing, analyzing, and developing a fully integrated CMOS broadband quadrature demodulator with embedded mixed-signal signal processors that are compatible with OOK, BPSK, and DBPSK modulation schemes. Section II of this paper introduces system-level architecture and explains the requirements of the ADC resolution based on desired modulation scheme. Section III discusses each individual component in further details. The measurement results are discussed and analyzed in Section IV, followed by a conclusion in Section V. II. SYSTEM ARCHITECTURE A. Modulation Scheme The required performance of a wireless receiver is determined by the intrinsic and extrinsic noise sources. Typically, the extrinsic sources include TX noise leakage in RX band, intermodulation distortion, quantization noise, and imperfectly filtered interference [10]. The noise figure (NF) of a receiver is normally determined by the low-noise amplifier (LNA) following the on-chip antenna in any radio environment. To realize a lowcost, single-chip, 60 GHz transceiver, the state-of-the-art millimeter-wave, front-end components are first reviewed. The results in Table I indicate that a millimeter-wave 90 nm CMOS receiver front-end, consisted of a LNA, mixer, and frequency synthesizer, is able to output a conversion gain of 22 dB and
U.S. Government work not protected by U.S. copyright.
CHUANG et al.: A 90 nm CMOS BROADBAND MULTI-MODE MIXED-SIGNAL DEMODULATOR FOR 60 GHz RADIOS
4061
TABLE I 60 GHZ MILLIMETER-WAVE FRONT-END COMPONENTS
DSB NF of 8.4 dB at 61.5 GHz. The receiver minimum sensitivity, related to this information, can be expressed as (1) where is the Boltzmann constant, is the absolute temperais the bandwidth occupied by the RF signal, ture in Kelvin, is the noise figure of the receiver, is the link margin, is the minimum signal-to-noise ratio (SNR) reand quired for the chosen modulation. To achieve a specific level of reliability in terms of bit-error rate (BER), the baseband SNR required for a suitable modulation scheme can be calculated as
Fig. 1. BER simulation versus ADC resolution for a mixed-signal coherent demodulator.
(2) is the received input power level. A raw BER bewhere fore error correction of 1E-06 is typically desirable for wireless communication systems [13]. For BPSK modulation, the theoretical SNR required for a BER of 1E-06 is 10.5 dB. Considering a RF bandwidth of 3.5 GHz, zero link margin, and a RX NF listed in Table I, the receiver minimum sensitivity is computed as 59.7 dBm. The ADC resolution required for a given modulation scheme is then derived using (2). In this case, is assumed the minimum sensitivity of a receiver. Therefore, the required baseband SNR would be 10.5 dB, which requires a minimum ADC resolution of 2 bits. For an uncompressed high definition multimedia interface (HDMI) video streaming, a BER of 1E-08 is acceptable. Figs. 1 and 2 show the BER simulation versus ADC resolution with mixed-signal synchronization architectures for both coherent and non-coherent demodulators. As mentioned in [9] the coherent digital signal processor (DSP) estimates and corrects the phase and frequency offsets between the intermediate frequency (IF) local oscillator (LO) and the modulated IF input carrier. On the other hand, the proposed non-coherent demodulator first synchronizes the ADC sampling clock to the middle of baseband symbols by incorporating a timing-recovery loop. When the baseband SNR is optimized, the DSP differentially demodulates DBPSK by complex multiplication. Here, a theoretical BER curve is plotted against various BER curves obtained using different ADC resolutions. As seen from the graphs, BER degradation due to the quantization noise and nonlinearity of 3-bit and 4-bit ADCs are similar and can be negligible. The trade-off between power and speed conveys 3-bit architecture over any higher resolution systems. In addition, a review of state-of-the-art 6-bit ADCs with sampling speeds above 3 GS/s has shown a power budget of more than 200 mW when operating two 6-bit ADCs in a quadrature receiver [14]. As demonstrated in Section III, a 3-bit, 3-GS/s ADC can be implemented with significantly lower power dissipation
Fig. 2. BER simulation versus ADC resolution for a mixed-signal non-coherent demodulator.
(3 mW) and integrated with a baseband modem to offer a novel, low-power, multi-gigabit demodulator solution. B. Receiver Architecture As shown in Fig. 3, the quadrature demodulator is implemented to downconvert a modulated IF carrier at 13 GHz to baseband using double-balanced passive mixers. The LO is generated by the quadrature voltage-controlled oscillator (QVCO) integrated with a frequency synthesizer. Baseband variable-gain amplifiers (VGA) are implemented with automatic gain control (AGC) and DC-offset compensation. The analog signal processor (ASP) reuses the power detector circuit within the AGC loop to detect the OOK signal. The signal path between the differential-to-single-ended amplifier and the ADC is AC-coupled. AC-coupling is chosen to minimize the possible ADC linearity degradation due to the IQ imbalance and DC offset. This requires a biasing voltage through a shorted inverter or an 8-bit current-steering DAC, whose inputs are digitally controlled through serial peripheral interface (SPI). The AGC setting for a desired baseband power level is also digitally set via SPI from a 6-bit current-steering DAC. This prevents the baseband signal from over-driving the ADC and the IQ-gain mismatch from exceeding the least significant bit (LSB) of ADC. To extend sampling rate aggressively, the ADC is time-interleaved and implemented with 14 parallel
4062
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 3. Demodulator block diagram.
Fig. 4. (a) Schematic of the double-balanced Gilbert mixer. (b) Schematic of the double-balanced passive mixer.
comparators in each channel. This contributes a total loading capacitance of 80 fF. Therefore, the differential-to-single-ended amplifier, designed to drive a large capacitive loading, employs RC-degenerative bandwidth-extension technique to mitigate insufficient bandwidth after three cascaded high-gain VGAs. The output of the ADC contains two 3-bit data streams: each data stream is latched at falling-edge and rising-edge, respectively. The digitized signals are then fed to a digital baseband modem, performing either BPSK or DBPSK demodulation operation using mixed-signal synchronization architectures. The ADC and DSP are time-interleaved throughout digital back-end to meet the stringent timing constraints on such a large-scaled digital system for its multi-gigabit operations. This paper presents the first fully integrated quadrature receiver with embedded analog and digital signal processors in deep-submicron CMOS technology. III. CIRCUIT IMPLEMENTATION A. IQ Mixer The input to the quadrature demodulator is a modulated IF carrier and is downconverted to the baseband frequency. The conventional double-balanced Gilbert-cell mixer [shown in Fig. 4(a)] is not suitable for low-voltage, 1-V operation due to
Fig. 5. Block diagram of the IF frequency synthesizer.
the trade-off between linearity and conversion gain [15]. Since the maximum allowable output swing directly depends on the available voltage headroom across the output load resistor given that the RF transistors need to be in the saturation region, a conventional mixer pays the penalty for low conversion gain in a standard 1-V supply, consequently poor linearity. Therefore, the proposed double-balanced passive mixer shown in Fig. 4(b) utilizes a passive mixing core of RF transistors followed by a differential output buffer to compensate the conversion loss due to the passive mixing. Linearity is preserved in this design because the output buffer requires only two-transistor stacking.
CHUANG et al.: A 90 nm CMOS BROADBAND MULTI-MODE MIXED-SIGNAL DEMODULATOR FOR 60 GHz RADIOS
4063
Fig. 6. Schematic of the QVCO.
Fig. 7. (a) Microphotograph of the QVCO/PLL test structure. (b) Oscillating frequencies at various tuning voltages.
The mixer provides a conversion gain of 3 dB with a bandwidth greater than 4 GHz and has a power consumption of 3 mW. B. Quadrature Voltage-Controlled Oscillator (QVCO) The IF phase-locked loop (PLL) is designed to lock the free-running QVCO to 13 GHz. This frequency synthesizer features an integer-N, type-II, fourth-order architecture with a division ratio of 480 [16]. As seen in Fig. 5, the first two cascaded divide-by-two frequency dividers are implemented using current-mode logic topology. The remaining low frequency dividers are implemented as true single-phase clock (TSPC) counters, featuring a division ratio of 120. The IF PLL shares the same 27 MHz crystal oscillator as the baseband PLL. To realize an on-chip loop filter, the loop bandwidth is chosen to be 2 MHz with a locking time less than 2 s. The schematic of the cross-coupled LC-QVCO is shown in Fig. 6, where the varactor diodes and inductor form a LC-tank resonator. The mechanism of the parallel cross-coupled pairs forces two differential outputs to be 90 degrees out of phase [17]. The output buffer of the QVCO is a source-follower stage and provides a differential voltage amplitude of 840 mV peak-to-peak with a 3-dB bandwidth of 24 GHz at a current consumption of 2.4 mA. The QVCO and PLL are first characterized separately on a m m, as shown test structure, occupying an area of
Fig. 8. Block diagram of the VGA with AGC and DC compensation.
in Fig. 7. The free-running QVCO exhibits a tuning range from 12 to 14 GHz and has a phase noise of 95 dBc Hz at 1 MHz offset. The KVCO in the center of tuning voltage is 2.9 GHz/V. The total power consumption is 15 mW. C. VGAs With AGC and DC Offset Compensation The baseband VGAs in each I and Q path are implemented with AGC and DC offset compensation loops as shown in Fig. 8.
4064
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 10. Schematic of the power detector featuring I
+Q
operation.
Fig. 9. (a) Variable-gain amplifier schematic. (b) Differential amplifier schematic. (c) Differential-to-single-ended amplifier schematic. (d) Operational amplifier schematic.
Each of the quadrature signal paths consists of three cascaded amplifiers to provide a maximum gain of 24 dB with a continuous gain variation of 27 dB. The schematic of one VGA is shown in Fig. 9(a). Its gain variation and high linearity are achieved using variable source degeneration. Since the VGAs are high-gain amplifiers, any experienced DC offset in the path would lead to erroneous calculations for subsequent quantizers. Therefore, a DC offset compensation feedback loop is required to minimize this offset. It is achieved by connecting the differential output of the third VGA in opposite polarity to the differential output of the first VGA in a low-frequency feedback loop. The accuracy of the DC offset compensation depends on the voltage gain of the differential amplifier, and its schematic is shown in Fig. 9(b). To avoid clipping distortion to the baseband ADCs, AGC is required to keep the output power of the VGAs constant. It can be realized using a power detector, a differential-to-single-ended amplifier, a low-pass filter, and an op-amp. The schematics of the differential-to-single-ended amplifier and op-amp are shown in Fig. 9(c) and (d). For faster settling response, the cut-off frequency is chosen in the low megahertz range. The output power of the VGA can be digitally controlled and set to a desired value through a 6-bit current-steering DAC. The total power consumption of the baseband VGAs with AGC and DC compensation is 30 mW. D. Power Detector and On-Off Keying The power detector circuit (shown in Fig. 10) serves two purposes: to detect the output power from the VGAs and demodulate an OOK-modulated signal. It consists of two double-balanced Gilbert-cell mixers with a common differential load. Each Gilbert-cell mixer performs the squaring function by connecting the gates of the upper-stack and lower-stack transistors to the same input signal. The output of the power detector is the sum. While opmation result of squared quadrature signals erating as an OOK demodulator, a high-speed comparator chain
Fig. 11. Block diagram of the high-speed 3-bit ADC.
is enabled to improve the overall minimum sensitivity for a total power consumption of 7.5 mW. E. High-Speed Analog-to-Digital Converter Unlike conventional architectures, the ADC performs ultra-low-power processing by removing track-and-hold amplifier [18], [19]. The ADC block diagram is shown in Fig. 11. First, seven comparators sample the data and compare with its own voltage reference to generate the output in thermometer code. Next, the outputs are converted to gray code and then to binary code. The entire operation requires only a single-phase clock and is also time-interleaved to achieve a high conversion rate at a low-power budget. The schematic of the high-speed mixed-signal data path is shown in Fig. 12. In this circuit, the using input is first compared with a voltage reference, . The comparison result is then the differential pair transferred to the output latch by current mirrors and . When clk is low, is off and the latch senses the and . In this phase, when , is decision of , thus decreasing the voltage at . Then, at greater than the output node, the drain current of quickly charges up the negative impedance cross-coupled pairs and brings the output (or GND when ). On the node voltage close to is turned on to short the other hand, when clk goes high, outputs to equal value and speeds up regeneration. The overload , the output parasitic capacrecovery time is determined by . Furthermore, to itance and the transconductance of guarantee a metastability error due to the undefined comparator outputs, sampling frequency is derived in (3) as a function of and regenerative a desired metastability probability assuming a single dominant pole at the time constant output cross-coupled pairs. For simplicity, assuming half of
CHUANG et al.: A 90 nm CMOS BROADBAND MULTI-MODE MIXED-SIGNAL DEMODULATOR FOR 60 GHz RADIOS
4065
Fig. 12. High-speed mixed-signal data path.
Fig. 14. Measured ADC dynamic performance versus simulation.
Fig. 13. Critical timing diagram for high-speed data path.
the sample period is used for latch phase and the maximum sampling frequency can be approximated as (3) where (4) (5) where is the voltage gain of the preamplifier, is the downis the output voltage swing converted signal voltage swing, required for valid logic levels, is the maximum settling time, is the output capacitance, and is the transconductance of . To avoid missing codes, the comparator must maintain larger than half LSB. That is to have valid output level for for its metastability probability less than a given and , where is the input full-scale range with n-bit resolution. Acquiring parameters , and fF from the simulation, the calculated of 1E-08. Theremaximum frequency is 1.55 GHz for a fore, this ADC has to be time-interleaved to guarantee 3 GHz of sampling frequency. Fig. 13 shows the timing diagram of the interface between high-speed latched comparator output and digital data path. is the data propagation delay due to the latched comis the delay of two CMOS inverters, and parator, is the required setup time by the D flip-flop (DFF). Since and buffer the propagation delays of the comparator constitute sufficient margin for hold time, the only possible error is caused by the inadequate margin of setup time. From the simulation, the worst case delay from each ps, ps and ps, this path is: corresponds to a maximum conversion rate of 2.7 GHz. The
Fig. 15. Block diagram of the mixed-signal back-end.
dynamic performance of the ADC is further simulated in a Monte Carlo mismatch condition and over-clocked at 4 GS/s as shown in Fig. 14. When transistors are plagued by device mismatch and insufficient bandwidth, the signal-to-noise and distortion ratio (SNDR) is limited to 12 dB at 4 GS/s. Toward high input frequencies, the simulations show a larger variation in SNDR. The measured SNDR at a nominal sampling rate of 3 GS/s is also plotted, indicating a SNDR of 15 dB up to 1 GHz input frequency. Overall, this ADC occupies an area of m m, and has a measured power consumption of 3 mW at 3 GS/s. F. Baseband Sampling and Clock Generation The block diagram of the mixed-signal back-end is shown in Fig. 15. The 3-bit time-interleaved ADC in each channel produces a total of 6 bits (3 bits on rising edge and 3 bits on falling edge of the baseband clock) to the digital modem. The positively and negatively latched bits are denoted by subscripts A and B, respectively. The digital modem consists of a mode select block, coherent DSP demodulator, bit synchronization, and non-coherent DSP demodulator. A mode select block is designed to reduce the power consumption by controlling and disabling circuit components that are not required for a specified modulation scheme. The clock signal to the ADC and DSP is generated from a baseband VCO whose control voltage is shared by PLL
4066
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 16. Block diagram of the baseband frequency synthesizer and bit synchronization, sharing the same loop filter.
Fig. 17. (a) Charge pump schematic; (b) Schematic of the three-stages ring oscillator.
Fig. 18. Measured baseband oscillating frequency ranges.
and a timing-recovery loop as shown in Fig. 16. In the operation of coherent DSP demodulator, the clock is generated by the baseband frequency synthesizer, comprising a three-stage ring oscillator and featuring a third-order, type-II, integer PLL. This PLL locks on to a 27 MHz crystal oscillator at a nominal rate of 1.485 GHz with a division ratio of 55. Programmable division ratio is also available to select different data rates, such as 432 MHz, 864 MHz, 1.485 GHz, and 1.728 GHz. The phase detector is implemented as a linear Hogge structure [20], the charge pump schematic is shown in Fig. 17(a), consuming 300 A, and the loop bandwidth is chosen 2 MHz range to avoid using large external capacitor. The schematic of the three stages current-starved topology based VCO is shown in Fig. 17(b). Since the ring oscillator has higher gain and poor linearity between the output frequencies with respect to the control voltage, an input conditioning block, an attenuator, is designed to linearize the frequency characteristics. The use of a wideband loop filter worsens the phase-noise performance; thereby to satisfy the following condition: requires the clock jitter Fig. 19. Microphotograph of the mixed-signal receiver.
(6) is the input sinusoidal frequency, and is the reswhere olution of the ADC. When frequency scales up, the quantizing error due to the jitters becomes significant. Considering an input frequency of 1.5 GHz and 3-bit resolution, the required clock
jitter should be less than 26.5 ps. The baseband frequency synthesizer is measured to have a RMS phase jitter of 12.78 ps at 1.485 GHz while consuming 3 mW. By varying reference frequencies, the baseband VCO covers a frequency range from 900 MHz to 1750 MHz as shown in Fig. 18.
CHUANG et al.: A 90 nm CMOS BROADBAND MULTI-MODE MIXED-SIGNAL DEMODULATOR FOR 60 GHz RADIOS
4067
Fig. 20. Measurement setup and test module.
G. Digital Modem Using the sampled baseband signal, a low-power mixed-signal symbol timing-recovery loop is designed for reliable data detection in modern digital communications system [21]. In the operation of timing-recovery loop, the baseband frequency synthesizer loop is disabled because the bit synchronizer shares part of the baseband VCO loop as seen in Fig. 16. Its implementation is based on a 3-bit Gardner timing-error detector (GTED) without using any digital multiplication. The Gardner loop method is developed for BPSK and QPSK symbol synchronization [22]. It basically detects the zero crossing point of the symbols, and corrects the gradient using neighboring sample values [23]. The recursion process is performed to reduce the error for timing recovery, and a timing tone is recovered though convergence. To avoid the interpolation operation needed to estimate the correct sampling instance, a 4-bit digital timing-error signal is converted to analog domain using a 5-bit high-speed current-steering DAC as shown in Fig. 16. Then, the timing-error signal is filtered by a passive loop filter at a loop bandwidth of 2 MHz. This error signal controls the phase of the baseband VCO. The digital timing-error detector block is capable of handling high data rate at low power consumption; it does not require any digital multiplier and utilizes 657 gates (2163 m ) to perform a 6-bit input error calculation. When the GTED loop finally settles for the optimum sampling, its output becomes only the 3-bit input samples following on rising edge of the clock. The 3-bit on falling edge of the clock are discarded, being the symbols at zero crossing. The non-coherent DSP demodulator can work at only one sample by symbol, when the loop is locked, and works at the maximum SNR on I and Q inputs. Its measured power consumption is 14 mW at a data rate of 1.25 Gb/s, which is also the maximum error-free transmission speed. IV. MEASUREMENT RESULTS The quadrature receiver chip is fabricated using a standard 90 nm digital one-poly seven-metal (1P7M) CMOS process and occupies an area of 1.275 mm 1.19 mm (shown in Fig. 19). For measurement purposes, the chip is mounted onto a FR-4 based module using wirebonding as shown in Fig. 20. The measurement setup modulates either an uncompressed HDMI video streaming or PRBS pattern generator with the LO (13 GHz) through the off-the-shelf IQ mixer followed by a 30 dB attenuator. Then, the single-ended modulated signal is converted to differential signals through a 180 degrees hybrid to feed the mixed-signal quadrature receiver with a modulated signal. The
Fig. 21. Measured downconversion gain versus IF frequencies.
Fig. 22. IQ gain imbalance versus baseband frequencies.
data output is measured by a signal integrity analyzer for eye-diagram captures. In addition, it is connected to a high definition TV featuring a real-time uncompressed video streaming. A. Analog Front-End Performance Fig. 21 shows the gain response of the analog path with and without DC offset compensation at various IF frequencies. The lines with circle symbols indicate the analog gain at different IF frequencies in Q-path. Similarly, the analog gain of the I-path is labeled with square symbols. The maximum measured gain with DC offset compensation is 26 dB at the center of the designed local oscillating frequency, and achieves a double sideband bandwidth of 2.4 GHz. The IQ gain imbalance is further plotted in Fig. 22. This is done by subtracting the measured gain
4068
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 23. Measured baseband power level at various AGC settings.
in the I-path by the gain in the Q-path. When the DC offset compensation is enabled, the gain difference between I and Q channels is less than 1 dB across all baseband frequencies. From 750 MHz to 3 GHz, the gain imbalance is even less than 0.4 dB. On the other hand, when the DC offset compensation is disabled, the IQ gain mismatch can be as high as 4 dB at a baseband frequency of 500 MHz. As expected, the gain mismatch attenuates at higher baseband frequencies. The AGC system is next characterized. Fig. 23 shows an input dynamic range from 44 dBm to 17 dBm with a measured baseband power level from 16 dBm to 3 dBm at various closed loop gain setting. Sharing the same power detector within the AGC, the performance of the OOK demodulator is verified at a maximum gain setting. Fig. 24 shows the measured BER at different input IF power levels for various data rates. It achieves minimum sensitivities of 36 dBm, 33 dBm, and 13 dBm at 864 Mb/s, 1.485 Gb/s, and 1.728 Gb/s, respectively. The minimum sensitivity for 1.728 Gb/s and 2 Gb/s data rates both occurs at 13 dBm due to the insufficient analog front-end bandwidth. To solve this issue, high-bandwidth mode is enabled to push the bandwidth at the expense of lower baseband amplifier gain. At different data rates, the measured input IF power level for maintaining error-free transmission ranges from 38 dBm to 6 dBm, resulting a dynamic range of 32 dB. B. Mixed-Signal Back-End Performance In the operation of DSP, the baseband power level is set to 10 dBm, which corresponds to a 200 mV peak-to-peak voltage swing at the input of ADC. The coherent BPSK demodulator performance is first characterized. Fig. 25 displays the sensitivity of the mixed-signal demodulator by varying the input DC voltage of the ADCs in both I and Q channels 1 PRBS signal at a together. This test is conducted using a 2 data rate of 1.485 Gb/s for an input IF power level of 33 dBm. The demodulator is robust against the DC bias variation and remains error-free demodulation from the ADC input bias voltages of 465 mV to 555 mV. Furthermore, a measured minimum sensitivity is shown in Fig. 26. Wide synchronization ranges occur at higher input IF power levels. When the input 33 dBm), the IF power level is sufficiently strong (i.e., synchronization range can be achieved better than 40 MHz. At 39 dBm power level, the range drops down to only 20 MHz.
Fig. 24. Measured OOK BER at various data rates.
Fig. 25. Measured BPSK BER versus ADC input bias at 1.485 Gb/s.
It should be noted that the BER is not affected at saturated baseband power level and maintains error-free demodulation up to 2.5 Gb/s. Therefore, the performance will not deteriorate if the gain of AGC loop is set to maximum. Hence, the dynamic range of the demodulator is greater than the 27 dB provided by the AGC and better than 33 dB. In Fig. 27, the synchronization ranges with corresponding BER are measured at various data rates from 432 Mb/s to 3.5 Gb/s. This DSP achieves synchronization range of 51 MHz at 864 Mb/s and 15 MHz at 3.5 Gb/s, respectively. In the same plot, error free data transmission is maintained up to 2.5 Gb/s data rate, and 1E-09 up to 3 Gb/s. The highest achieved operating data rate is 3.5 Gb/s with BER of better than 1E-08 measured at an input power level of 33 dBm. The overall power consumption of the BPSK demodulator is 2 mW. In the operation of non-coherent DBPSK demodulator, symbol timing-recovery loop is first enabled, taking over the loop shared with the baseband frequency synthesizer. Fig. 28 indicates that the bit synchronizer achieves a baseband measured locking range of 19 MHz while maintaining error-free transmission from 1.24 Gb/s to 1.259 Gb/s. When fixing the baseband clock and data rate, the bit synchronizer tolerates an IF carrier offset up to 35 MHz. Fig. 29 shows the measured BER at a data rate of 1.25 Gb/s for various ADC input biases: 510 mV, 514 mV, 518 mV, 522 mV, and 525 mV. Unlike the BPSK demodulator, it is very sensitive to the ADC input bias. For example, to achieve a maximum dynamic range of 32 dB at
CHUANG et al.: A 90 nm CMOS BROADBAND MULTI-MODE MIXED-SIGNAL DEMODULATOR FOR 60 GHz RADIOS
Fig. 26. Minimum sensitivity of the BPSK demodulator at 1.485 Gb/s.
Fig. 29. Measured DBPSK BER at various ADC input bias at 1.25 Gb/s.
Fig. 27. Locking range and BER of the BPSK demodulator versus data rates.
Fig. 30. DBPSK BER at various baseband power levels at 1.25 Gb/s.
4069
the designed value, it achieves the maximum dynamic range as expected. However, saturating the baseband ADCs creates a drastic reduction in the dynamic range. For baseband power levels, such as 7 dBm, 5 dBm, and 3 dBm, the DSP can only maintain error-free transmission from 33 dBm to dBm input IF power levels. This suggests that the DBPSK DSP will have trouble demodulating if there is no AGC loop to fix the baseband power level to 10 dBm. C. Performance Summary
Fig. 28. Measured bit synchronizer locking range.
error-free transmission, the ADC input bias is set to 518 mV. Adjusting the bias to 525 mV, the dynamic range drastically shrinks to 15 dB (from 33 dBm to 18 dBm input IF power levels). The level of sensitivity to the bias voltage variation highlights the importance of DC offset compensation loop and AC-coupling in the operation of a non-coherent DSP. The sensitivity of the demodulator is further tested by varying the baseband power level. Fig. 30 contains 4 curves for different baseband power levels: 10 dBm, 7 dBm, 5 dBm, and 3 dBm. When the baseband power level is set to 10 dBm,
The performance summary of quadrature receiver is shown in Table II, featuring OOK, BPSK, and DBPSK demodulators. The coherent BPSK DSP demodulator achieves 6 dB better in the minimum sensitivity compared to that of the non-coherent OOK ASP and DBPSK DSP demodulators. However, the synchronization range of OOK demodulator is as 10 times wider than that of the BPSK demodulator. The power consumptions of OOK, BPSK, and DBPSK demodulators are 7.5 mW, 2 mW, and 14 mW, respectively. Finally, the demodulated eye-diagrams are shown in Fig. 31. This broadband quadrature receiver demodulates OOK modulated signal up to 2.5 Gb/s, BPSK modulated signal up to 2.5 Gb/s, and DBPSK modulated signal up to 1.25 Gb/s, while maintaining error-free transmission. The ripples on these eye-diagrams indicate the noise level generated from each signal processor. Clearly, the analog signal processor generates
4070
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 31. (a) Demodulated eye-diagram of the non-coherent ASP demodulator at 2.5 Gb/s. (b) Demodulated eye-diagram of the coherent DSP demodulator at 2.5 Gb/s. (c) Demodulated eye-diagram of the non-coherent DSP demodulator at 1.25 Gb/s. TABLE II PERFORMANCE SUMMARY OF THE QUADRATURE RECEIVER
the least amount of noise in its supply line, where as in the digital signal processor, its eye-diagrams have clear undershoot response, indicating a higher noise contribution to the supply line. The majority of digital noise power is generated by the switching activity of baseband clock. V. CONCLUSION A mixed-signal broadband quadrature receiver with an embedded modem in 90 nm CMOS technology is presented in this paper, which leverages unique boundaries between analog and digital circuits to realize a high-performance IC design with compact area and low-power dissipation. A high-speed digital ASIC chip integrated with analog front-end is successfully demonstrated and performs desired multi-gigabit demodulation operations. The proposed architecture presents a fully integrated system that simultaneously achieves multi-gigabit modem functionality and maintains the overall power budget in sub-Watt regions. With a minimum sensitivity of 39 dBm and a dynamic range of 33 dB, the mixed-signal demodulator can perform a multi-gigabit BPSK demodulation up to 3.5 Gb/s data rate. With a minimum sensitivity of 33 dBm and a dynamic range of 32 dB, the mixed-signal demodulator can perform multi-gigabit OOK and DBPSK demodulations and maintains error-free up to 2.5 Gb/s and 1.25 Gb/s data rates, respectively. This demonstrates for the first time a unique multi-gigabit solution for low-power 60 GHz radios.
REFERENCES [1] A. Tomkins, R. A. Aroca, T. Yamamoto, S. T. Nicolson, Y. Doi, and S. P. Voinigescu, “A zero-IF 60 GHz 65 nm CMOS transceiver with direct BPSK modulation demonstrating up to 6 Gb/s data rates over a 2 m wireless link,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2085–2099, Aug. 2009. [2] C. Marcu, D. Chowdhury, C. Thakkar, J.-D. Park, L.-K. Kong, M. Tabesh, Y. Wang, B. Afshar, A. Gupta, A. Arbabian, S. Gambini, R. Zamani, E. Alon, and A. M. Niknejad, “A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry,” IEEE J. SolidState Circuits, vol. 44, no. 12, pp. 3434–3447, Dec. 2009. [3] J. Lee, Y. Chen, and Y. Huang, “A low-power low-cost fully integrated 60-GHz transceiver system with OOK modulation and on-board antenna assembly,” IEEE J. Solid-State Circuits, vol. 45, no. 2, pp. 264–275, Feb. 2010. [4] D. Cabric, M. S. W. Chen, D. A. Sobel, S. Wang, J. Yang, and R. W. Brodersen, “Novel radio architecture for UWB, 60 GHz, and cognitive wireless systems,” EURASIP J. Wireless Commun. Networking, pp. 1–18, Jan. 2006. [5] S. Pinel, P. Sen, S. Sarkar, B. Perumana, D. Dawn, D. Yeh, F. Barale, M. Leung, E. Juntunen, P. Vadivelu, K. Chuang, P. Melet, G. Iyer, and J. Laskar, “60 GHz single-chip CMOS digital radios and phased array solutions for gaming and connectivity,” IEEE J. Select. Areas Commun., vol. 27, pp. 1347–1357, Oct. 2009. [6] J. Laskar, S. Pinel, D. Dawn, S. Sarkar, B. Perumana, and P. Sen, “The next wireless wave is a millimeter-wave,” Microwave J., pp. 22–36, Aug. 2007. [7] P. Smulders, “Exploiting the 60 GHz band for local wireless multimedia access: Prospects and future directions,” IEEE Commun. Mag., pp. 140–147, Jan. 2002. [8] J. Wells, “Multigigabit Wireless Technology at 70 GHz, 80 GHz and 90 GHz,” 2006 [Online]. Available: http://www.rfdesign.com/mag/605RFDF4.pdf [9] K. Chuang, D. Yeh, F. Barale, B. Perumana, S. Sarkar, P. Sen, S. Pinel, and J. Laskar, “A 17 pJ/bit broadband mixed-signal demodulator in 90 nm CMOS,” in IEEE MTT Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 904–907.
CHUANG et al.: A 90 nm CMOS BROADBAND MULTI-MODE MIXED-SIGNAL DEMODULATOR FOR 60 GHz RADIOS
[10] H. J. Yoo and J.-H. Kim, “The receiver noise equation: A method for system level design of an RF receiver,” Microwave J., pp. 20–34, Aug. 2002. [11] B.-J. Huang, C.-H. Wang, C.-C. Chen, M.-F. Lei, P.-C. Huang, K.-Y. Lin, and H. Wang, “Design and analysis for a 60-GHz low-noise amplifier with RF ESD protection,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 2, pp. 298–305, Feb. 2009. [12] T. Mitomo, R. Fujimoto, N. Ono, R. Tachibana, H. Hoshino, Y. Yoshihara, Y. Tsutsumi, and I. Seto, “A 60-GHz CMOS receiver front-end with frequency synthesizer,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 1030–1037, Apr. 2008. [13] Z. Chen, Y. P. Zhang, A. Q. Hu, and T.-S. Ng, “Bit-error-rate analysis of UWB radio using BPSK modulation over inter-chip radio channels for wireless chip area networks,” IEEE Trans. Wireless Commun., vol. 8, no. 5, pp. 2379–2387, May 2009. [14] K. Deguchi, N. Suwa, M. Ito, T. Kumamote, and T. Miki, “A 6-bit 3.5 GS/s 0.9-V 98-mW flash ADC in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2303–2310, Oct. 2008. [15] B. Razavi, RF Microelectronics. Upper Saddle River, NJ: PrenticeHall, 2003. [16] F. M. Gardner, Phaselock Techniques, 3rd ed. Hoboken, NJ: Wiley, 2005. [17] H.-K. Chen, D.-C. Chang, Y.-Z. Juang, and S.-S. Lu, “A low phasenoise 9-GHz CMOS quadrature-VCO using novel source-follower coupling technique,” in IEEE MTT Int. Microw. Symp. Dig., Honolulu, HI, Jun. 2007, pp. 851–854. [18] G. Van der Plas, S. Decoutere, and S. Donnay, “A 0.16 pJ/conversionstep 2.5 mW 1.25 GS/s 4b ADC in a 90 nm digital CMOS process,” in IEEE Int. Solid-State Circuits Conf. Dig., San Francisco, CA, Feb. 2006, pp. 566–567. [19] Y. Nakajima, A. Sakaguchi, T. Ohkido, N. Kato, T. Matsumoto, and M. Yotsuyanagi, “A background self-calibrated 6b 2.7 GS/s ADC with cascade-calibrated folding-interpolating architecture,” IEEE J. SolidState Circuits, vol. 45, no. 4, pp. 707–718, Apr. 2010. [20] M. H. Perrot, Y. Huang, R. T. Baird, B. W. Garlepp, D. Pastorello, E. T. King, Q. Yu, D. B. Kasha, P. Steiner, L. Zhang, J. Hein, and B. D. Signore, “A 2.5-Gb/s multi-rate 0.25-m CMOS clock and data recovery circuit utilizing a hybrid analog/digital loop filter and all-digital referenceless frequency acquisition,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2930–2944, Dec. 2006. [21] E. A. Lee and D. G. Messerschmitt, Digital Communication, 2nd ed. Boston, MA: Kluwer Academic, 1990. [22] F. M. Gardner, “Interpolation in digital modems—Part I: Fundamentals,” IEEE Trans. Commun., vol. 41, pp. 501–507, Mar. 1993. [23] F. M. Gardner, “A BPSK/QPSK timing-error detector for sampled receivers,” IEEE Trans. Commun., vol. COM-34, pp. 423–439, May 1986. Kevin S. Chuang (S’07) was born in Taipei, Taiwan, in 1985. He received the B.S. degree with high honors in electrical engineering from the University of California, Santa Barbara, in 2007, and the M.S. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2009, where he is working toward the Ph.D. degree. He is currently with the School of Electrical and Computer Engineering at the Georgia Institute of Technology. His research interest includes RF, mixed-signal, and data-conversion integrated CMOS circuits, and CMOS millimeter-wave transceiver development. Mr. Chuang was the recipient of the 2007 Joseph J. Sayovitz Scholarship for outstanding achievement in electrical engineering at the University of California, Santa Barbara. He is also a member of Tau Beta Pi, Eta Kappa Nu, and the National Society of Collegiate Scholars. David A. Yeh (S’99–M’10) was born in Taipei, Taiwan. He received the B.Eng. degree (first class honor) in electrical and electronic engineering from the University of Auckland, Auckland, New Zealand, in 2001, and the M.S. and Ph.D. degrees in electrical and computing engineering from the Georgia Institute of Technology, Atlanta, in 2004 and 2010. His current areas of research include transceiver architecture, gigabit modem, system and circuit design for millimeter-wave gigabit wireless system. From 2001 to 2002, he was employed by Broadcast Communications Limited, Auck-
4071
land, New Zealand, as an engineering consultant, working on the national deployment of a BWA (Broadband Wireless Access), a pre-WiMAX network. While pursuing his master degree, he held a RF Engineer position at Broadcom Corp., Duluth, GA, where he gained experience in noise modeling and distortion analysis for Cable Modem Termination System. During summers of 2005 and 2006, he held an internship positions at Motorola Labs, Tempe, AZ, working on the practical implementation of a millimeter-wave imaging system. Since 2010, he is a Research Staff at the Samsung Design Center, Atlanta, GA, and he is currently the RF group leader for low-power millimeter-wave IC design.
Francesco Barale (S’07) was born in Viareggio, Italy, in 1982. He received the B.S. (cum laude) and M.S. (cum laude) degrees in electronics engineering from the University of Pisa, Pisa, Italy, in 2004 and 2006, respectively, and the Ph.D. degree in electrical and computing engineering from the Georgia Institute of Technology, Atlanta, in 2010. His research interests include the design of multi-GHz phase-locked loop frequency synthesizers and clock-data recovery circuits for multi-Gb/s CMOS integrated wireless transceivers.
Patrick Melet (M’10) received the M.S. and Ph.D. degrees in electrical engineering from Paul Sabatier University, Toulouse, France, in 1997 and 2001, respectively. His primary research interests include digital signal processing for wireless application and digital spread spectrum demodulation and synchronization (CDMA-DSSS). From 2003 to 2007, he worked as a Research and Development engineer at DM Radiocom on different aspects of digital demodulation: IF under-sampling, digital linear FM demodulation, digital IF Costas loop for PSK demodulation, DQPSK-DSSS demodulation, NRZ bit synchronizers with high military constraints. From 2008 to 2010, he worked at Georgia Institute of Technology on multi-gigabit digital demodulation including symbol synchronization, for low-power CMOS applications. Since 2010, he is a Research Staff at the Samsung Design Center, Atlanta, GA, and he is currently the baseband group leader for low-power millimeter-wave IC design.
Joy Laskar (S’84–M’85–SM’02–F’05) received the B.S. degree in computer engineering with math/physics minors (summa cum laude) from Clemson University, Clemson, SC, and the M.S. and Ph.D. degrees in electrical engineering from the University of Illinois at Urbana-Champaign. Prior to joining Georgia Tech in 1995, he was a Visiting Professor at the University of Illinois at Urbana-Champaign and an Assistant Professor at the University of Hawaii at Manoa. At Georgia Tech (currently on leave) he has held the Joseph M. Pettit Professorship of Electronics and the Schlumberger Chair in Microelectronics in the School of Electrical and Computer Engineering. He is also the Founder of the Georgia Electronic Design Center, and has graduated 41 Ph.D. students and raised more than $45M in extramural research funding since 1995. He has authored or coauthored more than 550 papers and five books, and has more than 50 patents awarded or pending. Dr. Laskar and his research team have founded four companies to date: an advanced WLAN IC company, RF Solutions, which is now part of Anadigics, a next-generation analog CMOS IC company, a next-generation collaborative analog signal CMOS IC company (now the Analog Center of Excellence for Intersil), Sayana Wireless (part of Georgia Tech’s Venture Lab), which has developed single chip battery powered solution for multi-gigabit wireless, and Wi-Rider (part of Georgia Tech’s Venture Lab), a mobile communications software start-up for broadband white space applications. Dr. Laskar was elected an IEEE Fellow in 2005 and served as General Chairman of the IEEE International Microwave Symposium in 2008. He currently serves as an elected member of the IEEE MTT-S Administrative Committee and Chair of the IEEE MTT-S Education Committee.
4072
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
10-Gbit/s Quadrature Phase-Shift-Keying Modulator and Demodulator for 120-GHz-Band Wireless Links Hiroyuki Takahashi, Member, IEEE, Toshihiko Kosugi, Akihiko Hirata, Member, IEEE, Koichi Murata, and Naoya Kukutsu
Abstract—This paper presents a 120-GHz-band 10-Gbit/s quadrature phase-shift-keying (QPSK) modulator and demodulator. To reduce system complexity, the modulator employs direct modulation, and the demodulator uses differentially coherent detection. We fabricated the modulator monolithic microwave integrated circuit (MMIC) and demodulator MMIC with 0.1- m-gate InP HEMTs. The test element of the modulator had a static error-vector magnitude of 10%. We mounted the modulator and demodulator MMICs in separate modules. The size of the modules was 20 mm 8 mm 25 mm. The main lobe in the spectrum of 10-Gbit/s QPSK signals ranged from 123 to 133 GHz. The bit error rate for 10-Gbit/s 27 1 pseudorandom binary sequence data was smaller than 10 10 at a received power of 38.5 dBm. Index Terms—Gigabit-class communication, millimeter-wave monolithic integrated circuits, modulation, wireless link.
I. INTRODUCTION
T
HE data rate of wireless technology is increasing to catch up with the demand for broadband Internet connections and high-definition video services. In network communications, fiber-to-the-home (FTTH) services have been widely deployed, and high-speed protocols such as Gigabit Ethernet (GbE) and 10-Gigabit Ethernet (10 GbE) are being used for backbone networks. Multigigabit wireless systems are useful for the last mile of FTTH and for setting up temporary connections to restore a network after a disaster or other event disrupts it. In the broadcasting field, data rates are also increasing in order to support streaming of high-quality videos that convey realistic sensations. The required data rates for high-definition television (HDTV), 3-D television, and 4 K digital cinema are 1.5, 3, and 6 Gbit/s, respectively. To transmit them in live broadcasts, broadcasting companies need a long-range wireless technology that can handle multigigabit data streams. Manuscript received July 02, 2010; revised October 06, 2010; accepted October 06, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported in part by the Research and Development Project for the Expansion of Radio Spectrum Resources, Ministry of Internal Affairs and Communications, Japan. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium held on May 23-28, 2010, Anaheim, CA. H. Takahashi, A. Hirata, and N. Kukutsu are with the Nippon Telegraph and Telephone (NTT) Microsystem Integration Laboratories, NTT Corporation, Atsugi-shi 243-0198, Japan (e-mail: [email protected]; [email protected]; [email protected]). T. Kosugi and K. Murata are with the Nippon Telegraph and Telephone (NTT) Photonics Laboratories, NTT Corporation, Atsugi-shi 243-0198, Japan (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2087034
Millimeter-wave wireless technologies are promising for multigigabit transmission. There have been reports of wireless systems operating in the 60-GHz band that can transmit multigigabit data [1], [2]. This band is mainly used for indoor and short-range wireless communications because atmospheric absorption is large in this band. For outdoor applications, commercial fixed wireless access using 71–76 GHz and 81–86 GHz can provide 1.25-Gbit/s data transmissions over links exceeding 1 km. Moreover, Dyadyuk et al. have reported a multigigabit wireless link in the 80-GHz band, which enables 6-Gbit/s data transmissions over a distance of 250 m with a link margin of over 10 dB [3]. However, as of yet, there are no practical wireless systems that can transmit 10-Gbit/s data over a distance of 1 km. We are developing a 120-GHz-band wireless link [4], [5] and monolithic microwave integrated circuits (MMICs) [6]–[8] for 10-Gbit/s data transmissions. The wireless link will be suitable for fixed wireless access for 10-GbE, OC-192, and certain other protocols. It will also be able to handle uncompressed highdefinition video. Thanks to the low oxygen absorption in the 120-GHz band, the link can transmit data over a distance of 2 km in clear weather conditions. Several trials have already proven to be successful; they include live relay broadcasts of HDTV, 10-GbE transmissions, and streaming of 4 K digital cinema. In its present state, the link employs amplitude-shift keying (ASK) and uses a 17-GHz bandwidth for 10-Gbit/s data transmissions with a spectral efficiency of 0.6 bit/s/Hz. We also succeeded in making a binary phase-shift-keying (BPSK) modulator and demodulator, which provide higher sensitivity than ASK [9]. Spectrum efficiency is very important for a practical wireless system because it determines the capacity of the given frequency bands. The spectrum efficiency of ASK is poor because it is a binary modulation. We therefore need a higher order modulation scheme to improve spectrum efficiency of our wireless link. Quadrature phase-shift keying (QPSK) has double the spectral efficiency of ASK for the same bit rate. With QPSK, we can save the occupied bandwidth of the 120-GHz-band 10-Gbit/s wireless link or increase the capacity of the same occupied bandwidth. We are now developing QPSK modulator and demodulator MMICs [10]. In this paper, we present 120-GHz-band QPSK modulator and demodulator MMICs for 10-Gbit/s data transmissions. First, we explain the system architecture and the design of the MMICs. Next, we show the results of on-wafer testing and the QPSK static error-vector magnitude. After that, we describe the 10-Gbit/s QPSK modulator and demodulator modules and show the module performances, i.e., the spectrum of the QPSK-modulated signal and the characteristics of QPSK
0018-9480/$26.00 © 2010 IEEE
TAKAHASHI et al.: 10-GBIT/S QPSK MODULATOR AND DEMODULATOR FOR 120-GHZ-BAND WIRELESS LINKS
4073
Fig. 2. Simulated transmittances from output of GC amplifier to the Wilkinson combiner.
Fig. 1. Block diagram of the 10-Gbit/s QPSK modulator MMIC.
data transmissions for 10-Gbit/s gray-coded pseudorandom sequence data. II. CIRCUIT DESIGN A. System Requirements The QPSK modulator and demodulator for a 120-GHz-band wireless link must meet some specifications. They are able to transmit 10-Gbit/s data with a bit error rate (BER) of less than 10 , the same as the ASK system. We set the target operating band from 123 to 133 GHz. We thus selected a carrier frequency of 128 GHz. The main-lobe bands of the modulated spectrum should range from 123 to 133 GHz. The other important requirement is a simple architecture. In the millimeter-wave region, a simple architecture provides a big cost advantage because millimeter-wave devices are expensive. To get that advantage, we designed a QPSK modulator and demodulator for direct modulation and used differentially coherent detection for demodulation. These circuits are simpler than the ones with intermediate-frequency circuits and carrier-recovery circuits. The design goal was thus to make a one-chip QPSK modulator and a one-chip demodulator. B. Modulator We designed the QPSK modulator based on the BPSK modulator [9]. Fig. 1 shows the block diagram of the 120-GHz-band QPSK modulator MMIC. We chose a simple architecture consisting of 90 and 180 hybrid couplers, switches, and combiners. The gain-control (GC) amplifier acts as an on–off switch according to the applied voltage level. When the level is high, a signal fed into the GC amplifier is amplified by 10 dB; when the level is low, the signal is attenuated by over 20 dB, resulting in a 30-dB on–off ratio. The Wilkinson combiner combines the output signals of the GC amplifiers. When (I, Q) is (1, 1), the GC amplifiers at the I-channel amplify the 0 signal, and the GC amplifiers at the Q-channel amplify 90 signal. The phase of the combined RF signals therefore becomes 45 . The main issue in making this circuit is to suppress the amplitude imbalance between the output signals of the GC amplifiers. The amplitude imbalance increases the error-vector magnitude
of the modulator and that, in turn, degrades the transmission performance of the wireless link. The coupling factor of hybrid couplers directly affects the amplitude imbalance. We therefore designed hybrid couplers by using two kinds of coplanar waveguide (CPW) to obtain a coupling factor of 3 dB. In addition, we took into account the parasitic elements derived from the circuit layout. As shown in Fig. 1, there are intersections for the RF signal and the baseband signal between the GC amplifiers and Wilkinson combiners. The intersection is composed of two metal layers. The transmission line for the RF signal is a thin-film microstrip (TFMS) line, which uses the second metal for signal and the first metal for ground. CPW made with first metal is used for the baseband signal. The estimated parasitic capacitance between the TFMS and the CPW is less than 1 fF. However, the parasitic capacitance and the geometry of the intersection affect the transmittance of RF signals in the 120-GHz band. Fig. 2 shows the simulated transmittances from the output of the GC amplifier to the input of the Wilkinson combiner for the case of four intersections and no intersections. We used an electromagnetic field simulation for this calculation. The results show that the amplitude imbalance at 128 GHz is 0.27 dB. To avoid worsening the amplitude imbalance, we used dummy intersections. Fig. 3 shows the schematic diagram indicated by section A in Fig. 1. The TFMS for the 90 signal has two intersections for the baseband signal and two dummy intersections. The CPW at the dummy intersection is the same shape as that for the baseband signal. It also has termination resistors that have the same impedance as the transmission line for the baseband signal. That makes the coupling properties between the TFMS and CPW equal to those at the intersection for the baseband signal. By using the same method for the other intersections, we can reduce the amplitude imbalance between the output signals of the GC amplifiers to be less than 0.05 dB. The Wilkinson combiners provide good isolation between input ports, but they occupy a large area stemming from their need for quarter-lambda transmission lines. To scale them down, we designed a combiner by using semi-lumped elements, as shown in Fig. 4. The combiner has three metal–insulator–metal (MIM) capacitors at the input and output ports. It occupies 100 m and a 60% reduction in the occupied area 140 m compared with using distributed constant circuits. In addition, we integrated a doubler and differential amplifiers on the same chip to improve usability. The doubler decreases the frequency
4074
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 5. Block diagram of the 10-Gbit/s QPSK demodulator MMIC.
Fig. 3. Schematic diagram of intersections at section A. Fig. 6. Equivalent circuit for 200-ps delay line.
Fig. 4. Equivalent circuit of the Wilkinson combiner.
of the local signal to 64 GHz. This reduces the insertion loss at the bonding site between the modulator MMIC and another chip. The differential amplifiers output positive and negative data and shift the voltage levels to appropriate values for the GC amplifiers. This enables us to use single-end input ports for I and Q data. This circuit was used in the10-Gbit/s BPSK modulator and works well enough for 10-Gbit/s baseband signals. C. Demodulator Fig. 5 shows the block diagram of the 120-GHz-band QPSK demodulator MMIC. We selected differential coherent detection for the demodulation because it has a simple architecture and doesn’t require carrier-recovery circuits. The received signal is split into two. One part is delayed by the duration of the 5-Gbit/s data symbol. The other part goes through a variable phase shifter. After that, each signal is split again, and the four signals are fed into gate mixers. The important points for this design are the design of the 200-ps delay circuit, finding ways to obtain sufficient level diagrams, and control of the phase relationship between the split signals. We designed the delay circuit by using CPWs. Basically, a delay circuit made of a transmission line provides an accurate delay time, but it has the disadvantage of being very long. The physical length for the 200-ps delay is about 25 mm at 128 GHz if the delay line consists of only a coplanar waveguide with 15 m/15 m . To reduce the length, we used MIM shunt capacitors, as shown in Fig. 6. In this topology, the larger
Fig. 7. Relationship between intervals of shunt capacitors and total length of the delay circuit for capacitance of the shunt capacitors.
capacitance and shorter interval of the MIM capacitors lead to a shorter total length for the 200-ps delay circuit. However, large MIM capacitor and too short a capacitor interval disturb the transmission mode of CPWs. Disturbed transmission mode causes degradation of transmittance and error of delay time. We thus set our goal for the total length of the delay line to be less than 10 mm and proceeded to optimize the capacitance of MIM capacitors and their interval. Fig. 7 shows the relationship between the interval of the MIM capacitors and the required length for the 200-ps delay line. To achieve our goal, capacitances of 20, 30, and 35 fF require intervals of 20, 35, and 45 m, respectively. The 20- and 35- m intervals are too short compared 15 m/15 m . We thus used with the width of CPW the 35-fF capacitance and the 45- m interval for the delay line. The impedance of the delay line was 20 when we used the 35-fF capacitance and the 45- m interval. We used matching networks at input and output port of the delay line for impedance matching. Differentially coherent detection for QPSK requires the three distributions for the received signal as shown Fig. 5. In such case, the insertion loss from the input port to the gate mixers is very large. To keep enough level diagrams in the demodulator MMIC, we designed a four-stage dual-output amplifier as
TAKAHASHI et al.: 10-GBIT/S QPSK MODULATOR AND DEMODULATOR FOR 120-GHZ-BAND WIRELESS LINKS
4075
Fig. 8. Equivalent circuit of stages 3 and 4 of the dual-output amplifier.
a signal splitter. It splits an input signal into two and amplifies both parts with a gain of 15 dB. Fig. 8 shows the equivalent circuit of stages 3 and 4 of the dual-output amplifier. The inputmatching networks in the fourth stage act as in-phase splitters. The isolation between Out 1 and Out 2 is 15 dB and is sufficient to prevent interference between the distributed signals. This amplifier occupies a very small area: it is 290 m 520 m in size. We used the variable phase shifter to adjust the relationship between the phases of the received signal and reference signal [6]. This circuit consists of CPWs and field-effect transistors (FETs) with drain and source shorted. The effective electrical length can be continuously adjusted by controlling the applied voltage to change the parasitic capacitances of the HEMTs. The designed tuning range is over 180 , which makes it possible to respond to any phase error in the demodulator MMIC. In addition, to monitor the power of the demodulated signals, we installed a voltage-monitoring circuit as a received signal strength indicator (RSSI) at the output port of the gate mixer. This circuit is a high-impedance one that monitors the average level of the demodulated baseband signals. That enables us to estimate the receiver power of the demodulator MMIC. Furthermore, by adding feedback circuits between the RSSI and the variable phase shifter, we can automatically optimize the phase relationship, when the relationship is changed by temperature variations or other reasons. III. CIRCUIT PERFORMANCE
Fig. 9. Photographs of 120-GHz-band 10-Gbit/s QPSK. (a) Modulator. (b) Demodulator.
Fig. 10. Simulated (dashed line) and measured (solid line) Wilkinson combiner TEG.
S -parameters of
A. MMIC Fabrication Fig. 9 shows photographs of the QPSK modulator and demodulator MMICs fabricated with 0.1- m-gate InP HEMTs. of The HEMTs have a current–gain cutoff frequency 170 GHz and a maximum oscillation frequency of 350 GHz. We succeeded in fabricating a one-chip QPSK modulator and a one-chip demodulator. The chip size of each MMIC 2 mm . The modulator and demodulator consume is 2 mm 850 and 650 mW, respectively. B. On-Wafer Testing of Test Element Groups We performed on-wafer testing of the test element circuits of the modulator. For the measurement, we used an -band (90–140 GHz) vector network analyzer. Fig. 10 shows the -parameters of the Wilkinson combiner used for the modulator MMIC shown in Fig. 1. The characteristics were in good agreement with the simulation results. The insertion loss was 3.5 dB, including a fundamental loss of 3 dB. The isolation was
more than 15 dB in the operating band from 122 to 133 GHz. Next, we evaluated the static error vector magnitude of the characteristics of the QPSK modulator. Fig. 11 shows the for phases modulator. At the center frequency of 128 GHz, of 45 , 135 , 225 , and 315 had a maximum phase error of 8 and a maximum amplitude error of 11%. From these values, we obtained a static error-vector magnitude of about 10%. The variable phase shifter of the demodulator MMIC exhibits a tuning range of over 180 . The insertion loss monotonically increases from 6.3 to 15.7 dB as the applied voltage increases [9]. IV. MODULE PERFORMANCE A. Integration of Modules Before measuring the spectrum and BERs, we mounted the modulator and demodulator MMICs in separate packages,
4076
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 11. S of the modulator MMIC in 45 , 135 , 225 , and 315 phases on polar chart at 128 GHz.
Fig. 12. Photographs of 120-GHz-band 10-Gbit/s QPSK modulator and demodulator modules.
as shown in Fig. 12. Thanks to one-chip integration of the modulator and demodulator circuits, we obtained compact QSPK modulator and demodulator modules. The designed and 8 mm 25 mm fabricated package measured only 20 mm and weighed 35 gm. The package had three coaxial ports and a WR-8 waveguide for the interface of the RF signal in the 120-GHz band. Rectangular-waveguide-to-CPW transitions were needed to transfer the RF energy from the CPW to the WR-8 waveguide and vice versa. We employed a coupler fabricated on a quartz substrate to make the transitions [7]. The modulator module has a quadrupler MMIC besides the modulator MMIC. The quadrature MMIC multiplies the local frequency of 16 to 64 GHz and provides it to modulator MMIC. This enabled us to decrease the required local frequency and to use a commercially available phase-locked oscillator for the local oscillator. B. Spectrum and BER Characteristics We measured the spectrum of a modulated RF signal to confirm whether there was an improvement in spectral efficiency. Before the measurement, we measured the output power of the QPSK modulator module. The maximum output power for 10-Gbit/s was 11 dBm. Fig. 13 shows the spectrum of a 10-Gbit/s QPSK signal. For this measurement, we used a spectrum analyzer with an external -band mixer. The main lobe ranged from 123 to 133 GHz, for a bandwidth of 10 GHz. Since the output power was small, the sidebands of the spectrum were not clearly observed. The comparison of the bandwidths of the main lobe revealed that the QPSK system had twice the
Fig. 13. Spectrum of QPSK modulated signal at 10-Gbit/s data transmission.
spectral efficiency for 10-Gbit/s data compared with that of the ASK system. Next, we evaluated the bit-error performance of the 10-Gbit/s QPSK transmissions. Fig. 14(a) shows a photograph of the measurement system. The modulator and the demodulator were connected through a waveguide variable attenuator. We put a lownoise amplifier (LNA) [8] in front of the demodulator module to measure the minimum received power. The MMIC in the LNA module was the same as the one in the wireless link using ASK, and the noise figure and gain were 5.6 and 19.8 dB, respectively. In addition, we put limiting amplifiers for the baseband signals after the demodulator module to ensure that the error detector received sufficient power. Differentially coherent detection of 10-Gibit/s QPSK needs 5-Gbit/s differentially encoded data for data each I and Q channels. We thus made encoded PRBS and input them to I and Q ports of modulator. Fig. 14(b) shows the relationship between the received power of the LNA and the measured BER. We tuned the variable phase shifter of the demodulator until the BER characteristics were the same for the I and Q channels. The BERs of the I channel and Q channel were at 38.5-dBm input power for the LNA. We smaller than 10 PRBS then measured the eye diagrams for 10-Gbit/s data. Fig. 15 shows the measured eye diagrams for the I channel at the output port of the LIM. We observed a clear eye opening at the I and Q channels when the data was input to the modulator. This indicates that both the modulator and demodulator PRBS data. modules transmitted sufficiently well for Finally, we estimated the transmission distance of the 120GHz-band wireless link with the 10-Gbit/s QPSK modulator and demodulator. To make the link, we need additional RF components, i.e., power amplifiers, antennas, and RF filters. For this purpose, we can use the same amplifiers and antennas as those for the wireless link using ASK [5] because the operating band for ASK covers that for QPSK. By using such amplifiers, we would obtain an output power of 10 dBm. Moreover, the antenna gains of the transmitter and the receiver are both 48.7 dBi. The relationship between the link distance and other characteristics is as follows: (1) where is link distance, is wavelength, is received power, is output power of transmitter, is antenna gain of the
TAKAHASHI et al.: 10-GBIT/S QPSK MODULATOR AND DEMODULATOR FOR 120-GHZ-BAND WIRELESS LINKS
4077
efficiency was high. After that, we evaluated the bit error performances of modulator and demodulator. The BER for 10-Gbit/s data was smaller than at 38.5 dBm PRBS input power for the LNA. We observed clear eye diagrams PRBS data. In the future, we will for a demodulated make new modulator and demodulator modules with other RF circuits, such as an RF filter, LNA, and a power amplifier to make a 120-GHz-band 10-Gbit/s QPSK wireless link. ACKNOWLEDGMENT The authors would like to thank Dr. T. Enoki and Dr. Y. Kado for their continuous encouragement throughout this research. REFERENCES
Fig. 14. (a) Photograph of BER measurement system. (b) Relationship between received power of LNA and BER.
Fig. 15. Measured eye diagrams of I channel for 5-Gbit/s PRBS 2
0 1.
transmitter, is antenna gain of the receiver, and is at 128 GHz is about 1 dB/km. As shown atmospheric loss. in Fig. 14(b), the QPSK demodulator with LNA needs of 38.5 dBm when the required BER is . From these values, we guessed that the 120-GHz-band QPSK wireless link would be able to transmit 10-Gbit/s data over a distance of 2 km with a link margin of about 3 dB, if we use the same amplifiers and antennas as those for the ASK system. V. CONCLUSION We designed and fabricated 10-Gbit/s QPSK modulator and demodulator MMICs for 120-GHz band wireless links. The modulator employs direct modulation, and the demodulator uses differentially coherent detection. The modulator MMIC had a static error-vector magnitude of 10% in on-wafer testing. We mounted the MMICs in compact waveguide modules. We measured the spectrum of 10-Gbit/s QPSK signal transmitted from the modulator module and found that its spectrum
[1] S. Pinel, S. Sarkar, P. Sen, B. Perumana, D. Yeh, D. Dawn, and J. Laskar, “A 90 nm CMOS 60 GHz radio,” in IEEE Int. Solid-State Circuits Conf. Dig., 2008, pp. 130–132. [2] C. Marcu et al., “A 90 nm CMOS low-power 60 GHz transceiver with integrated baseband circuitry,” in IEEE Int. Solid-State Circuits Conf. Dig., 2009, pp. 314–315. [3] V. Dyadyuk, J. D. Bunton, J. Pathikulangara, R. Kendall, O. Sevimli, L. Stokes, and D. A. Abbott, “A multigigabit millimeter-wave communication system with improved spectral efficiency,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2813–2821, Dec. 2007. [4] R. Yamaguchi, A. Hirata, T. Kosugi, H. Takahashi, N. Kukutsu, T. Nagatsuma, Y. Kado, H. Ikegawa, H. Nishikawa, and T. Nakayama, “10-Gbit/s MMIC wireless link exceeding 800 meters,” in Proc. IEEE Radio Wireless Symp., 2008, pp. 695–698. [5] A. Hirata, R. Yamaguchi, T. Kosugi, H. Takahashi, K. Murata, T. Nagatsuma, N. Kukutsu, Y. Kado, N. Iai, S. Okabe, H. Ikegawa, H. Nishikawa, T. Nakayama, and T. Inada, “10-Gbit/s wireless link using InP HEMT MMICs for generating 120-GHz-Band millimeter-wave signal,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1102–1109, May 2009. [6] T. Kosugi, T. Shibata, T. Enoki, M. Muraguchi, A. Hirata, T. Nagatsuma, and H. Kyuragi, “A 120-GHz millimeter-wave MMIC chipset for future broadband wireless application,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, vol. 1, pp. 129–132. [7] T. Kosugi, M. Tokumitsu, T. Enoki, H. Takahashi, A. Hirata, and T. Nagatsuma, “120-GHz Tx/Rx waveguide modules for 10-Gbit/s wireless link system,” in IEEE Compound Semiconduct. IC Symp. Dig., 2006, pp. 25–28. [8] H. Takahashi, T. Kosugi, A. Hirata, K. Murata, and N. Kukutsu, “120GHz-band low-noise amplifier with 14-ps group-delay variation for 10-Gbit/s data transmission,” in Eur. Microw. IC Symp. Dig., 2008, pp. 430–433. [9] H. Takahashi, T. Kosugi, A. Hirata, K. Murata, and N. Kukutsu, “120GHz-band BPSK modulator and demodulator for 10-Gbit/s data transmission,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 557–560. [10] H. Takahashi, T. Kosugi, A. Hirata, K. Murata, and N. Kukutsu, “120GHz-band modulator and demodulator for 10-Gbit/s data transmission,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 632–635.
Hiroyuki Takahashi (M’08) received the B.S. and M.S. degrees in applied physics from Nagoya University, Nagoya, Japan, in 2001 and 2003, respectively. In 2003, he joined the Nippon Telegraph and Telephone (NTT) Microsystem Integration Laboratories, NTT Corporation, Atsugi-shi, Japan. He is engaged in research and development of millimeter-wave MMICs. His other research interests include ultra-high-speed wireless technologies. Mr. Takahashi is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).He is also a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2008 Young Engineers Prize presented by the European Microwave Integrated Circuits Conference.
4078
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Toshihiko Kosugi received the M.S. and Ph.D. degrees in electrical engineering form Osaka University, Osaka ,Japan, in 1990 and 1993, respectively. His doctoral dissertation concerned the characterization of point defects in GaAs and processing of GaAs. In 1993, he joined the Nippon Telegraph and Telephone Corporation (NTT), Atsugi-shi, Japan, where he is currently with the NTT Photonics Laboratories, studying the microwave characteristics of HEMTs on InP and their application to monolithic microwave integrated circuits.
Akihiko Hirata (M’04) received the B.S. and M.S. degrees in chemistry and Dr. Eng. degree in electrical and electronics engineering from Tokyo University, Tokyo, Japan, in 1992, 1994, and 2007, respectively. In 1994, he joined the Atsugi Electrical communications Laboratories (now Nippon Telegraph and Telephone Microsystem Integration Laboratories), NTT Corporation, Atsugi-shi, Japan. His current research interests include millimeter-wave wireless link technologies. Dr. Hirata is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan. He was the recipient of the 2002 Asia–Pacific Microwave Conference Prize, the 2004 YRP Award, the 2007 Achievement Award presented by the IEICE, and the 2008 Maejima Prize presented by Teisin Association.
Koichi Murata received the B.S. and M.S. degrees in mechanical engineering and Dr. Eng. degree in electrical and electronics engineering from Nagoya University, Nagoya, Japan, in 1987, 1989, and 2003, respectively. In 1989, he joined the Nippon Telegraph and Telephone Corporation (NTT) LSI Laboratories, NTT Corporation, Atsugi-shi, Japan, where he is currently a Senior Research Engineer and Supervisor with the NTT Photonics Laboratories. He is engaged in research and development of ultrahigh-speed mixed signal ICs for optical communication systems. His other research interests include optoelectronic IC design and high-speed optical transmission systems. Dr. Murata is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.
Naoya Kukutsu received the B.E., M.E., and Dr. Eng. degrees in electrical engineering from Hokkaido University, Sapporo, Japan, in 1986, 1988, and 1991, respectively. His Dr. Eng dissertation concerned research on a time-domain electromagnetic wave numerical analysis method. In 1991, he joined the Applied Electronics Laboratories, Nippon Telegraph and Telephone (NTT) Musashino-shi, Japan. He is currently a Senior Research Engineer and Supervisor with the NTT Microsystem Integration Laboratories, NTT Corporation, Atsugi-shi, Japan. His research interests include a millimeter-wave radio transmission system and millimeter-wave imaging system. Dr. Kukutsu is a member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Communications Society. He is also a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
4079
A 10- High-Voltage Nanosecond Pulse Generator Caterina Merla, Member, IEEE, Saad El Amari, Mohamad Kenaan, Micaela Liberti, Member, IEEE, Francesca Apollonio, Member, IEEE, Delia Arnaud-Cormos, Member, IEEE, Vincent Couderc, and Philippe Leveque, Member, IEEE
Abstract—Devices used for biological experiments on cell cultures can present a low impedance. In this paper, a numerical and experimental characterization of a high-voltage, nanosecond-pulse, 10- generator is proposed. The generator makes use of a combination of microstrip-line technology and laser-triggered photoconductive semiconductor switches that operate in the linear regime. A standard electroporation cuvette is used to load the generator. SPICE and finite-difference time-domain (FDTD) models of the whole setup (i.e., the generator and the cuvette) are developed. Numerical characterization is performed comparing SPICE analysis and FDTD simulations. Experimental characterization on a built prototype is carried out by means of a wideband frequency voltage sensor. A good level of consistency is obtained between the numerical and the experimental voltage intensities measured across the cuvette electrodes.
Index Terms—Electroporation cuvette, finite-difference time-domain (FDTD), high voltage, nanosecond generator, optical switches.
I. INTRODUCTION UMEROUS studies on the exposure of cellular cultures to nanosecond pulsed electric fields (nsPEF) demonstrated the occurrence of biological effects at the level of cell membranes and intracellular signals [1]–[5]. In these experiments, the pulse durations used ranged from a couple to a hundred nanoseconds with amplitudes in the MV/m range. The observed effects involved structural and functional modifications in cells, including membrane nanoporation, intracellular calcium release, activation of several apoptotic markers, and enhancement of gene expression [2], [5]–[7]. Nanoporation mediated drug therapies or selective cell apoptosis are successfully exploited in cancer treatments [1], [8]. Moreover, the control of intracellular calcium release mediated by nanosecond pulses can be advantageous in the treatment of neurological diseases [9]–[12]. The development of nanosecond pulse generators for investigating these promising medical therapies is therefore of high importance [13]–[16]. Several groups have worked
N
Manuscript received July 02, 2010; revised September 20, 2010; accepted September 22, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported by the French Agence Nationale de la Recherche (ANR) under Contract ANR-06-BLAN-0260-01 and Contract ANR-08-NANO-024. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. C. Merla, S. El-Amari, M. Kenaan, D. Arnaud-Cormos, V. Couderc, and P. Leveque are with XLIM Research Institute, CNRS–University of Limoges, 87000 Limoges, France (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). M. Liberti and F. Apollonio are with the ICEMB, University of Rome “La Sapienza,” 00185 Rome, Italy (e-mail: [email protected]; apollonio@die. uniroma1.it). Digital Object Identifier 10.1109/TMTT.2010.2086470
specifically to improve upon the commonly used striplines or Blumlein lines, for the generation of nanosecond pulsed electric fields and their application to biological samples [17], [18]. A two-switch system, providing arbitrary pulse durations and polarities, was presented in [19]. A versatile, high-voltage, 50- generator, combining microstrip-line technology and laser-triggered photoconductive semiconductor switches (PCSSs), was previously developed [20], [21]. This generator is able to deliver square or bipolar pulse shapes. Also, depending on the microstrip-line length, different durations can be generated [22]. It also has the unique capability of operating in the PCSS linear regime. That possibility guarantees a long life for the optical components which cannot be obtained using avalanche discharge components [23]–[25]. The linear regime of the PCSS assures a very short pulse jitter (around a few picoseconds) and allows excellent PCCSs synchronization in the case of a bipolar pulse generation [20]. These performances could not be obtained using the avalanche regime [26]. The PCSSs synchronization can also be exploited to achieve precise temporal management of the pulse delivery once the generator is combined with an external apparatus, for example, camera equipped microscopes for cell imaging during the exposure [2]. The resistance of the PCSS in its closed state reaches only a few ohms, taking advantage of the ratio between the dc feed and the generated pulse voltage levels. The only drawback was the high optical energy of the order of several microjoules that was necessary to drive the PCSS. PCSS triggering by microchip laser was also demonstrated [20]. A numerical characterization of a 50- generator was presented in [21], where voltage measurements on a prototype device were given. In biological experiments, these nsPEF generators are frequently coupled with a standard electroporation cuvette, 50- matched, containing the biological sample [27]. The cuvette impedance is inversely dependent on the solution conductivity. For a specific cuvette geometry, solutions presenting quite low conductivity ( 0.5 S/m) are required to match 50generators [28]. Nevertheless, the use of more conductive solutions ( 1 S/m) is advantageous for biological experiments. These types of solutions allow better maintenance of the cells’ wellness and easier cell cultures treatments using standard protocols. The bandpass of the electroporation cuvette impedance is directly proportional to the solution conductivity (S/m). Thus, higher conductivity values should theoretically enlarge the adaptation band of the applicator and increase the efficiency of pulse delivery within the biological sample. In this paper, a 10- , high-voltage, nanosecond-pulse generator study is proposed. In order to study the effects of the
0018-9480/$26.00 © 2010 IEEE
4080
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 1. Setup geometry composed of the generator and the electroporation cuvette; top and side views. The connection between the line and the biological sample holder is also illustrated.
transmission-line geometry on the pulse shape and voltage amplitude, a numerical characterization is carried out through SPICE circuit analysis and finite-difference time-domain (FDTD) simulations. A numerical and experimental characterization of whole 10- exposure setup containing the generator and the cuvette is performed. For the experimental characterization, voltage measurements on the electroporation cuvette are achieved using a high-voltage measurement probe. This paper is organized as follows. In Section II, the design of the 10- generator is presented. SPICE and FDTD numerical methods and results are reported. In Section III, a frequency characterization of a 10- cuvette is proposed. SPICE circuit modeling of the generator connected to a cuvette is given in Section IV. In Section V, the behavior of the generator connected to an electroporation cuvette is presented. Numerical results and experimental voltage measurements are compared. Finally, in Section VI, some discussion and conclusions are drawn.
two waves can generate a squared or a bipolar signal depending on the state of the two PCSSs. If PCSS1 is illuminated, thus ) to the ON-state one switching from the OFF-state value (10 (5 ), the microstrip line is short-circuited, and, as a consequence, the polarity of the regressive wave is inverted, leading to a bipolar pulse. If PCSS1 remains open (OFF-state resistance), and only PCSS2 is illuminated, a squared signal is generated. The generated pulses show a peak-to-peak voltage (in the ideal case) equal to the dc feeding value for bipolar pulses and equal to half of the dc voltage for monocycle ones. The biological sample holder is represented by a standard electroporation cuvette. It is provided with two planar parallel electrodes 10 20 mm in contact with the biological medium; the gap between the two electrodes is 4 mm. The cuvette with its electrodes is placed between two metallic plates soldered at the microstrip line’s end, as shown in Fig. 1. A. SPICE Modeling
II. 10-
GENERATOR: DESIGN
The 10- exposure setup for nanosecond-pulse experimentations is composed of a microstrip-line-based generator and a biological sample holder (Fig. 1). A PCSS (a REGP02-20E diode which is able to support 4 kV of static bias voltage) is placed at each microstrip-line extremity. The PCSS on the left, named PCSS1, is connected to the ground, while the one on the right side of the line (PCSS2) is connected to the load (e.g., the biological sample exposure system) [22]. In order to obtain a characteristic impedance of 10 , the microstrip line width is fixed to 24 mm. The substrate is 1.6 mm high with a relative permittivity equal to 4.5. In order to limit the self-breakdown of the gap between the two microstrip lines connected to the switch and to match the switch impedance, wide line tapering is used. Once the line is charged by a dc high-voltage source (i.e., 4 kV) through a bias resistor, the PCSS are triggered by optical light. Thereby, a stationary wave will be enclosed between the two PCSS due to a progressive and a regressive wave. Those
For the SPICE model, a simple lossless transmission line with of 10 and a transmission delay characteristic impedance of 1 ns is used. A 4-kV dc source charges the transmission line through a bias resistor of 1 k . The PCSSs are represented through two ideal switches controlled by a pulse voltage source. Once triggered, the switches resistance decreases linearly from the OFF-state value to the ON-state value in a proper time interval [20], [21]. B. FDTD Modeling A numerical analysis is performed using an in-house FDTDbased code method [29]–[32]. The FDTD modeling of the generator involves two phases. First, the dc line is charged. Second, the pulse is formed and generated by the PCSS laser triggering. A Y-oriented electric (E) field of 2.5 MV/m, with an initial field rise following a Gaussian type slope, is applied between the microstrip line and the ground plane. This field value allows the line to charge at 4 kV. The pulse generation starts with laser triggering. The behavior of the PCSSs is numerically simulated
MERLA et al.: 10-
HIGH-VOLTAGE NANOSECOND PULSE GENERATOR
4081
Fig. 2. Photograph of the built prototype.
using nonlinear resistors in accordance to a characteristic response, i.e., the Gaussian function reported in
(1) The PCSS resistors values vary from an OFF-state 10 M to an on state resistance 5 in a 0.5-ns time . In order to take into account such a type of resistor, duration the FDTD algorithm was modified by introducing an adjoin current density term [7]. and ) is 1 mm The FDTD grid space resolution ( , along the - and -directions, while 0.4 mm is used along the -direction. A 0.98-ps time resolution and a 10 000 time step are considered. In order to study the generator behavior, the extremity of the microstrip line is inserted in the perfectly matched layers (PMLs) to match the microstrip-line impedance and to avoid possible reflected waves due to the connection to the cuvette. C. Generator Prototype A 10- generator prototype is built using standard photolithographic methods. The microstrip line is equipped with two PCSSs (REGP02-20E). In Fig. 2, a photograph of the final structure is shown.
Fig. 3. Comparison of SPICE and FDTD simulated voltage pulses. (a) Square shape. (b) Bipolar shape.
the 10 of the load (the cuvette)]. A ratio of 0.4 is obtained with this configuration. The low line impedance (10 ) reduces the generated pulse amplitude with respect to the expected half dc feed value (2 kV for the square pulse). The PCSS “ON-state” impedance (5 ) becomes comparable to the line one. Hence, a part of the progressive and regressive waves traveling on the line is reflected on the PCSSs without reaching the load. The ratio between the two impedances induces an additional signal which can be observed after the main pulse. The generator is connected to a cuvette filled with a 10equivalent biological solution. Therefore, a more detailed frequency characterization of the electromagnetic properties of the solution and the cuvette is required.
D. Simulated Voltage: SPICE and FDTD Results The aim of these simulations is to show the effects of the transmission line geometry on the pulse shape and voltage amplitude and also the mismatch impact. Fig. 3 illustrates the simulated voltage on a 10 load input (for the SPICE model) and after the PCSS2 where the microstrip line is matched with PML (for the FDTD method). For a 4 kV DC voltage, a maximum value of 1.6 kV (square pulse) and a peak-to-peak value of 2.1 kV (bipolar pulse) are obtained. The half amplitude duration of the square pulse is equal to 2 ns. For the square pulse, a peak voltage value of 1.6 kV for a bias voltage of 4 kV is acquired by simulation. This value corresponds to the voltage expected for an equivalent circuit composed of two parallel resistors: a 10- (microstrip-line impedance) and a 15- [5 of the switch ON-resistance plus
III. CUVETTE CHARACTERIZATION The setup for the electromagnetic properties (permittivity and conductivity) measurements is composed of a dielectric probe (85070E Dielectric probe kit, Agilent, USA) connected to a vector network analyzer (VNA 8753E, HP, USA). The relative permittivity and the conductivity of the solution are measured at room temperature in the 100-MHz–6-GHz frequency band. To match the 10- impedance, the cuvette is filled with a biological growth medium or buffered salt solution adjusted by dilution with water to an electrical conductivity of 1.5 S/m. For frequencies less than 1 GHz, constant values of 76 and of 1.5 S/m are obtained, respectively, for the relative permittivity and the conductivity.
4082
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Impedance measurements in the frequency domain are performed on a cuvette filled with solution. An N connector equipped with two parallel metallic plates is used to connect the biological container to the variable network analyzer (VNA). The results of the measurements of cuvette impedance are presented and compared with SPICE circuit modeling results in Section IV. IV. SPICE APPROACH COMBINED WITH THE VECTOR FITTING TECHNIQUE: MODELING OF THE GENERATOR AND THE CUVETTE To model the 10- cuvette, a methodology based on the vector fitting technique is used [33]–[35]. The method developed for multiterminal system identification in the frequency domain is widely used in electromagnetic compatibility applications. Measured data are fitted on the base of a proper model. The used model is a sum of partial fractions as suggested in [33] and reported as follows: (2) where is the angular frequency, is the order of the fit, , are residues and poles, and and represent the adjoined constant and first order terms, respectively. , , and ) are used to calThe model parameters (i.e., , culate an equivalent electrical network. Therefore, the output is the equivalent electrical network of a given frequency response, and it can be directly imported for transient electromagnetic simulations (e.g., using SPICE). are obtained, the correspondent equivalent If real poles circuit is a shunt between a resistance ( ) and a capacitance ( ), with the following values:
Fig. 4. Frequency behavior and vector fitting of the 10- impedance of a cuvette filled with = 1:5 S=m solution. (a) Magnitude (ohms). (b) Phase (degrees).
TABLE I ESTIMATED VECTOR FITTING PARAMETERS
(3) If complex conjugate poles are obtained, the equivalent circuit is a shunt resistance-capacitance in parallel with and an inductance . The values of the circuit a resistor components are given by
(4) The constant ( ) is represented by a resistance , while the is disregarded first-order term ( ) representing an inductance in the performed analysis.
For the fitting of the measurements of the cuvette impedance (Fig. 4), an order of 3 is considered. A couple of complex conjugate poles together with one real pole are used. The poles and residues obtained by the vector fitting estimation are reported in Table I. Fig. 4 shows the frequency behavior of the estimated equivalent circuit impedance. The results obtained by measurements in Section III are compared with the vector fitting. As observed, the adopted model fits the measured magnitude and phase of the impedance. The photograph of the measurement device and the final estimated circuit are shown in Fig. 5, while the values of the SPICE components are reported in Table II. The circuit components can be physically associated to the different parts of the cuvette recan describe the sponse. The RC group and the resistance dielectric behavior and the conduction losses of the biological groups can be associated with the solution. , , , and
MERLA et al.: 10-
HIGH-VOLTAGE NANOSECOND PULSE GENERATOR
4083
Fig. 5. (a) Measurement device, an N connector equipped with two parallel metallic plates sustaining the cuvette. (b) Estimated circuit representation of the 10- matched electroporation cuvette using the vector fitting technique. TABLE II CIRCUIT COMPONENTS OF THE SPICE MODEL
high-frequency ( 30 MHz) resonance effects of the impedance, taking into account the connections towards the generator. The nsPEF across the cuvette electrodes are obtained with the described SPICE model [Fig. 5(b)]. The results are compared with FDTD numerical analysis and with experimental measurements in Section V.
Fig. 6. Voltage pulses obtained across the cuvette electrodes; SPICE circuital analysis, FDTD simulation, and experimental measurements.
setup shown in Fig. 1. The microstrip line is fed by a 4-kV dc voltage. The PCSS are illuminated by a neodymium—a doped YAG laser (EKSPLA, PL2143A) with optical pulse duration of 35 ps, a repetition rate of 10 Hz, and a maximum energy of 30 mJ per pulse. More details on the optical apparatus used for the PCSSs illumination are given in [20]. The output voltage is collected across the cuvette electrodes through a wideband (6 GHz) high-voltage probe (2440, Barth Electronic Technology). The probe contains one 450- input resistance and is connected to a 12-GHz frequency bandwidth oscilloscope (TDS 6124C, Tektronix). As the oscilloscope presents an internal 50- impedance, a 1:10 voltage ratio is measured. An additional 32-dB attenuation (GHMF, Barth Electronics Technology) is placed between the oscilloscope and the HV probe. D. Results
V. CHARACTERIZATION OF THE 10- GENERATOR CONNECTED TO A STANDARD ELECTROPORATION CUVETTE A. SPICE Modeling The simulated SPICE voltage is obtained by combining the SPICE model of the generator and the cuvette circuit. The and , as marked by voltage is taken between the resistors the black arrows in Fig. 5. B. FDTD Modeling FDTD simulations including the generator connected to the cuvette are also performed. The transition between the generator and the biological container are realistically modeled as observable in Fig. 1. The biological medium used in simulations has a relative permittivity equal to 76 and a conductivity of 1.5 S/m to match the 10- impedance required. The FDTD parameters are the same as those used for the previous configuration (Section II). PML boundaries surround the computational volume. C. Measurements Setup Voltage measurements are carried out on the cuvette which is directly connected to the 10- generator prototype using the
The simulated and measured pulses are shown in Fig. 6. The SPICE and FDTD simulated pulses have a good level of consistency with those measured, showing comparable signal amplitude and shape. As is noticeable, the cuvette monitored pulse shape is modified compared with the generated pulse shape as predicted by simulations [Fig. 3(a)]. This is mainly due to the variations of the cuvette impedance. A constant 10- impedance is maintained up to 30 MHz (Fig. 4). The generated pulse presents a nonnegligible spectral content up to 500 MHz. The inductive components of the cuvette impedance at high frequencies cause an increase of the pulse amplitude across the cuvette electrodes. The pulse amplitude is equal to 2 kV, whereas 1.6 kV is obtained for a perfectly matched 10- load [Fig. 3(a)]. Resonances appear at higher frequencies (around 800 MHz). The analysis carried out in Sections IV and Vconfirms that the pulse change is essentially due to the use of a noncompletely matched load within the pulse bandwidth. The SPICE analysis allows one to easily predict the generator performance. However, the simulated SPICE results do not take into account the wave propagation under the PCSS, the effects of the line tapering near the connectors, the line discontinuities, or the radiation losses. Therefore, a full-wave FDTD numerical analysis is carried out to support SPICE results.
4084
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
VI. CONCLUSION A nanosecond high-voltage pulse generator based on a 10microstrip line and laser-triggered PCSS has been designed, built, and experimentally characterized. The PCSS operated in the linear regime. The nonstandard impedance of the device is advantageous when low impedance is presented, such as for in vivo nsPEF experiments on tissues. The different numerical analyses performed on the generator using circuital representation (SPICE) and full-wave FDTD gave similar results. The numerical data predict favorable device behavior in terms of: 1) rise and fall times; 2) variable pulse shape generation; and 3) voltage intensities. Experimental characterization of a device prototype was carried out, and a good level of consistency between simulated results and measured voltage were obtained. Furthermore, the proposed numerical models represent an easy way to obtain the system response under different conditions such as the variation of the pulse amplitude, rise/fall times, and shape. The proposed generator and the developed numerical models will be particularly useful in the rapid promoting of devices for innovative nsPEF-based therapies. ACKNOWLEDGMENT The authors would like to thank IDRIS-CNRS for providing computational facilities. The authors would also like to thank F. Danei for the initial numerical analysis and the prototype fabrication. REFERENCES [1] S. J. Beebe, P. M. Fox, L. J. Rec, K. Somers, R. H. Stark, and K. H. Schoenbach, “Nanosecond pulsed electric field (nsPEF) effects on cells and tissues: Apoptosis induction and tumor growth inhibition,” IEEE Trans. Plasma Sci., vol. 30, no. 1, pp. 286–292, Feb. 2002. [2] K. H. Schoenbach, R. P. Joshi, J. F. Kolb, C. Nianyong, M. Stacey, P. F. Blackmore, E. S. Buescher, and S. J. Beebe, “Ultrashort electrical pulses open a new gateway into biological cells,” Proc. IEEE, vol. 92, no. 7, pp. 1122–1137, Jul. 2004. [3] P. T. Vernier, M. J. Ziegler, and R. Dimova, “Calcium binding and head group dipole angle in phosphatidylserine-phosphatidylcholine bilayers,” Langmuir, vol. 25, pp. 1020–1027, Jan. 2009. [4] E. B. Garon, D. Sawcer, P. T. Vernier, T. Tang, Y. H. Sun, L. Marcu, M. A. Gundersen, and H. P. Koeffler, “In vitro and in vivo evaluation and a case report of intense nanosecond pulsed electric field as a local therapy for human malignancies,” Int. J. Cancer, vol. 121, pp. 675–682, Aug. 2007. [5] S. S. Scarlett, J. A. White, P. F. Blackmore, K. H. Schoenbach, and J. F. Kolb, “Regulation of intracellular calcium concentration by nanosecond pulsed electric fields,” Biochimica Et Biophysica Acta-Biomembranes, vol. 1788, pp. 1168–1175, May 2009. [6] A. G. Pakhomov, R. Shevin, J. A. White, J. F. Kolb, O. N. Pakhomova, R. P. Joshi, and K. H. Schoenbach, “Membrane permeabilization and cell damage by ultrashort electric field shocks,” Archives Biochem. Biophys., vol. 465, pp. 109–118, Sep. 2007. [7] U. Pliquett, R. P. Joshi, V. Sridhara, and K. H. Schoenbach, “High electrical field effects on cell membranes,” Bioelectrochem., vol. 70, pp. 275–282, 2007. [8] R. Nuccitelli, U. Pliquett, X. Chen, W. Ford, R. J. Swanson, S. J. Beebe, J. F. Kolb, and K. H. Schoenbach, “Nanosecond pulsed electric fields cause melanomas to self-destruct,” Biochem. Biophys. Res. Commun., vol. 343, pp. 351–360, 2006. [9] R. P. Joshi, A. Nguyen, V. Sridhara, Q. Hu, R. Nuccitelli, S. J. Beebe, J. Kolb, and K. H. Schoenbach, “Simulations of intracellular calcium release dynamics in response to a high-intensity, ultrashort electric pulse,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 75, p. 041920, Apr. 2007.
[10] R. P. Joshi, A. Mishra, Q. Hu, K. H. Schoenbach, and A. Pakhomov, “Self-consistent analyses for potential conduction block in nerves by an ultrashort high-intensity electric pulse,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 75, p. 061906, Jun. 2007. [11] P. T. Vernier, Y. H. Sun, L. Marcu, S. Salemi, C. M. Craft, and M. A. Gundersen, “Calcium bursts induced by nanosecond electric pulses,” Biochem. Biophys. Res. Commun., vol. 310, pp. 286–295, Oct. 2003. [12] P. T. Vernier, Y. H. Sun, M. T. Chen, M. A. Gundersen, and G. L. Craviso, “Nanosecond electric pulse-induced calcium entry into chromaffin cells,” Bioelectrochem., vol. 73, pp. 1–4, Jun. 2008. [13] J. M. Sanders, A. Kuthi, Y. H. Wu, P. T. Vernier, and M. A. Gundersen, “A linear, single-stage, nanosecond pulse generator for delivering intense electric fields to biological loads,” IEEE Trans. Dielectr. Electr. Insul., vol. 16, no. 4, pp. 1048–1054, Aug. 2009. [14] P. Krishnaswamy, A. Kuthi, P. T. Vernier, and M. A. Gundersen, “Compact subnanosecond pulse generator using avalanche transistors for cell electroperturbation studies,” IEEE Trans. Dielectr. Electr. Insul., vol. 14, no. 4, pp. 871–877, Aug. 2007. [15] M. Behrend, A. Kuthi, X. Y. Gu, P. T. Vernier, L. Marcu, C. M. Craft, and M. A. Gundersen, “Pulse generators for pulsed electric field exposure of biological cells and tissues,” IEEE Trans. Dielectr. Electr. Insul., vol. 10, no. 5, pp. 820–825, Oct. 2003. [16] M. Rebersek, M. Kranjc, D. Pavliha, T. Batista-Napotnik, D. Vrtacnik, S. Amon, and D. Miklavcic, “Blumlein configuration for high-repetition-rate pulse generation of variable duration and polarity using synchronized switch control,” IEEE Trans. Biomed. Eng., vol. 56, no. 11, pp. 2642–2648, Nov. 2009. [17] J. F. Kolb, S. Kono, and K. H. Schoenbach, “Nanosecond pulsed electric field generators for the study of subcellular effects,” Bioelectromagn., vol. 27, pp. 172–187, Apr. 2006. [18] S. Romeo, M. Sarti, M. R. Scarfi, and L. Zeni, “Modified blumlein pulse-forming networks for bioelectrical applications,” J. Membrane Biol., vol. 236, pp. 55–60, Jul. 2010. [19] A. de Angelis, J. F. Kolb, L. Zeni, and K. H. Schoenbach, “Kilovolt blumlein pulse generator with variable pulse duration and polarity,” Rev. Sci. Instrum., vol. 79, Apr. 2008. [20] B. Vergne, V. Couderc, and P. Leveque, “A 30-kHz monocycle generator using linear photoconductive switches and a microchip laser,” IEEE Photon. Technol. Lett., vol. 20, no. 24, pp. 2132–2134, Dec. 2008. [21] C. Merla, S. El Amari, F. Danei, M. Liberti, F. Apollonio, D. ArnaudCormos, V. Couderc, and P. Leveque, “Microstrip-based nanosecond pulse generators: Numerical and circuital modeling,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 101–104. [22] S. El Amari, M. Kenaan, C. Merla, B. Vergne, D. Arnaud-Cormos, P. Leveque, and V. Couderc, “Kilovolt, nanosecond and picosecond electric pulse shaping by using optoelectronic switching,” IEEE Photon. Technol. Lett., vol. 22, no. 21, pp. 1577–1579, Nov. 2010. [23] T. Heeren, J. F. Kolb, S. Xiao, K. H. Schoenbach, and H. Akiyama, “Pulsed power generators and delivery devices for bioelectrical applications,” in 27th Int. Power Modulator Symp. Conf. Rec., 2006, pp. 486–489. [24] R. Sundararajan, “Nanosecond electroporation: Another look,” Molec. Biotechnol., vol. 41, pp. 69–82, Jan. 2009. [25] T. A. Eng-Choon, M. Y. W. Chia, and S. W. Leong, “Sub-nanosecond pulse-forming network on SiGe BiCMOS for UWB communications,” IEEE Microw. Theory Tech., vol. 54, no. 3, pp. 1019–1024, Mar. 2006. [26] K. Ma, R. Urata, D. A. B. Miller, and J. S. Harris, “Low-temperature growth of GaAs on Si used for ultrafast photoconductive switches,” J. Quantum Electron., vol. 40, pp. 800–804, Jun. 2004, 2010. [27] P. Kirawanich, N. Pausawasdi, C. Srisawat, S. J. Yakura, and N. E. Islam, “An FDTD interaction scheme of a high-intensity nanosecondpulsed electric-field system for in vitro cell apoptosis applications,” IEEE Plasma Sci., vol. 38, no. 10, pp. 2574–2582, Oct. 2010. [28] M. Kenaan, S. El Amari, A. Silve, C. Merla, L. M. Mir, V. Couderc, D. Arnaud-Cormos, and P. Leveque, “Characterization of a 50 Ohm exposure set-up for high voltage nanosecond pulsed electric field bioexperiments,” IEEE Biomed. Eng., vol. PP, no. 99, p. 1-1, 2010. [29] A. Taflove and S. C. Hagness, Computational Elecodynamics: The Finite-Difference Time-Domain Method, 3rd ed. Boston, MA: Artech House, 2005. [30] K. Yee, “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotropic media,” IEEE Trans. Antennas Propag., vol. AP-14, no. 3, pp. 302–307, May 1966. [31] P. Leveque, A. Reineix, and B. Jecko, “Modeling of dielectric losses in microstrip patch antennas—Application of FDTD method,” Electron. Lett., vol. 28, pp. 539–541, Mar. 1992.
MERLA et al.: 10-
HIGH-VOLTAGE NANOSECOND PULSE GENERATOR
[32] P. Leveque, C. Dale, B. Veyret, and J. Wiart, “Dosimetric analysis of a 900-MHz rat head exposure system,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 2076–2083, Aug. 2004. [33] B. Gustavsen and A. Semlyen, “Rational approximation of frequency domain responses by vector fitting,” IEEE Trans. Power Del., vol. 14, no. 3, pp. 1052–1061, Jul. 1999. [34] B. Gustavsen, “Improving the pole relocating properties of vector fitting,” IEEE Trans. Power Del., vol. 21, no. 3, pp. 1587–1592, Jul. 2006. [35] D. Deschrijver, M. Mrozowski, T. Dhaene, and D. De Zutter, “Macromodeling of multiport systems using a fast implementation of the vector fitting method,” Microw. Wireless Compon. Lett., vol. 18, pp. 383–385, Jun. 2008, 2010. Caterina Merla (M’09) was born in Rome, Italy, in 1978. She received the Laurea and Ph.D. degrees from the University of Rome “La Sapienza,” Rome, Italy, in 2004 and 2008, respectively, both in electronic engineering. She is currently a Post-Doctoral Fellow with the XLIM Research Institute, CNRS–University of Limoges, Limoges, France. Her research interests are focused on microdosimetric evaluation of the electromagnetic field at single cell level, RF real-time exposure systems design and characterization, as well nanosecond-pulse generator and applicator development.
Saad El Amari was born in Beni-mellal, Morocco, in 1984. He received the Engineering Diploma in electronics and telecommunications from the University of Limoges/ENSIL, Limoges, France, in 2008. He is currently working toward the Ph.D. degree at the XLIM Research Institute, CNRS–University of Limoges, Limoges, France. His research interests are focused on the development of nanopulse systems for the study the effect of electromagnetic pulses interactions with living entities.
Mohamad Kenaan was born in Quenia, Lebanon, in 1984. He received the M.S. degree in circuits, micro-electronic systems and nanotechnology for high-frequency and optical communications from the University of Limoges, Limoges, France, in 2007. He is currently working toward the Ph.D. degree at the XLIM Research Institute, CNRS–University of Limoges, Limoges, France. His research interests are focused on the development of exposure systems and characterization of applicators for the study of nanosecond pulsed electric fields effects on biological cells.
Micaela Liberti (M’04) was born in Genova, Italy, in 1969. She received the Laurea degree in electronic engineering and Ph.D. degree from the University of Rome “La Sapienza,” Rome, Italy, in 1995 and 2000, respectively. From 2001 to 2002, she was a Post-Doctoral Fellow with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB). In 2002, she became an Assistant Professor with the Department of Electronic Engineering, University of Rome “La Sapienza,” Rome, Italy. Since 2008, she has been a member of the Scientific Council of the European Bioelectromagnetic Association (EBEA). Her scientific interests include interaction mechanisms between electromagnetic fields and biological systems, dosimetric evaluations at the microscopic level, exposure systems dosimetry, and design.
4085
Francesca Apollonio (M’06) was born in Rome, Italy, in 1968. She received the Laurea degree (cum laude) in electronic engineering and Ph.D. degree from the University of Rome “La Sapienza,” Rome, Italy, in 1994 and 1998, respectively. In 1994, she began her research in bioelectromagnetics during which time she was involved with experimental dosimetry techniques. In 2000, she became an Assistant Professor with the Department of Electronic Engineering, University of Rome “La Sapienza,” Rome, Italy. Her research interests include the interaction of electromagnetic fields with biological systems using both theoretical and experimental approaches. In particular, she is involved in molecular dynamic studies, modeling mechanisms of interaction, dosimetry techniques, and design of exposure systems.
Delia Arnaud-Cormos (M’05) was born in Cugir, Romania, in 1978. She received the Diplôme d’Ingínieur degree from the Institute of Computer Science and Communication (IFSIC), Rennes, France, in 2002, and the M.S. and Ph.D. degrees from the National Institute of Applied Sciences (INSA), Rennes, France, in 2003 and 2006, respectively. From 2006 to 2007, she was an Assistant Professor with the University Paris-Sud 11, Orsay, France. In 2007, she joined the Bioelectrophotonics Research Group, XLIM Institute, CNRS–University of Limoges, Limoges, France, as an Associate Professor. Her current research interests concern nanosecond pulses/microwave exposure systems setup and dosimetric characterization for bioelectromagnetic studies.
Vincent Couderc was born in Aurillac, France, in 1967. He received the Ph.D. degree from the University of Limoges, Limoges, France. He is currently a Researcher with the Bioelectrophotonics team, Xlim Research Institute, CNRS–University of Limoges, Limoges, France. His current research interests are focused on spatial solitons propagation, diode-pumped laser source, nonlinear frequency conversion, and optoelectronic switching. Dr. Couderc is a member of the French Optical Society.
Philippe Leveque (M’03) was born in Poitiers, France, in 1964. He received the Ph.D. degree from the University of Limoges, Limoges, France, in 1994. In 1995, he joined the CNRS as an Associate Scientist. He is currently the Group Leader of Bioelectrophotonics team working in nanopulse application, with the XLIM Research Institute, CNRS–University of Limoges, Limoges, France. His main area of interest concerns scattering problems of electromagnetic waves, particularly in the time domain. He is involved with the development of dosimetry and exposure setups for health-risk assessment in cooperation with biological and medical research groups. Dr. Leveque is a member of the European BioElectromagnetics Association and the Bioelectromagnetics Society. He was the French official member of the URSI scientific commission K (Electromagnetics in Biology and Medecine).
4086
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies Alessandra Paffi, Micaela Liberti, Member, IEEE, Vanni Lopresto, Member, IEEE, Caterina Merla, Member, IEEE, Rossella Lodato, Giorgio Alfonso Lovisolo, and Francesca Apollonio, Member, IEEE
Abstract—In order to study possible biological effects of electromagnetic (EM) fields generated by Wi-Fi devices, an exposure system based on a Wire Patch Cell (WPC) has been designed, fabricated and fully characterized. The system is suitable to expose, in the whole band of the Wi-Fi signal, cell monolayers plated on the bottom of four 35-mm Petri dishes, filled with 2 or 3 mL of biological medium. Results of numerical and experimental characterization indicate quite good efficiency values, with acceptable homogeneity of the dose. Being an open system, the WPC needs a shielding arrangement when placed inside the incubator during the experiments. It has been proven that this arrangement effectively shields the incubator and does not modify the scattering parameter S11 of the structure. Index Terms—Exposure system, in vitro biological experiments, Wi-Fi frequencies, wire patch cell.
I. INTRODUCTION
A
S A CONSEQUENCE of the introduction of new communication technologies based on electromagnetic (EM) fields, such as Wireless Fidelity (Wi-Fi), Bluetooth and WiMax, a study campaign is in progress, aiming at the evaluation of their impact on the individual exposure [1]. In the meantime, in the context of national and international projects [2], biological investigations, both in vitro and in vivo, have been planned to identify possible specific effects of the chronic exposure to the signals typical of such emerging technologies. In particular, the Wi-Fi signal, as stated by the communication protocols IEEE 802.11b [3], IEEE 802.11g [4], and IEEE 802.11n [5], consists of several channels (11 in the USA and 13 in the EU) 22 MHz wide, spanning the frequency band: 2.40–2.48 GHz. In this work the authors aimed at the fabrication and characterization of a Wire Patch Cell (WPC)-based exposure system,
Manuscript received July, 2, 2010; revised September 15, 2010; accepted September 24, 2010. Date of publication November 29, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S Int. Microwave Symposium held on May 23-28, 2010 in Anaheim, CA. A. Paffi, M. Liberti, and F. Apollonio are with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB) and Department of Electronic Engineering, “La Sapienza” University of Rome, 00184 Rome, Italy (e-mail: [email protected]; [email protected]; apollonio@die. uniroma1.it). V. Lopresto, C. Merla, R. Lodato, and G. A. Lovisolo are with the Technical Unit of Radiation Biology and Human Health, RC Casaccia, ENEA, Rome, Italy (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086072
whose design was proposed in [6] and [7], for long-term exposures to the Wi-Fi signal of cultured cells. The experimental protocol required the contemporary exposure of a sample volume from 4 up to 12 mL, distributed in four, 35 mm diameter Petri dishes. Moreover, to guarantee the wellbeing of the cells, the environment had to be controlled through the use of an incubator. Among all the in vitro systems published since 1999 and recently reviewed by the authors [8], [9], the WPC seemed to be a suitable structure, due to its reduced dimensions, fitting inside a commercial incubator, the quite good efficiency, and the easy fabrication [10]–[12]. Systems based on this structure were already designed at 900 MHz [10], 1.80 GHz [11], and 1.95 GHz [12] and used in some European Projects of the 5th Framework Program such as RAMP2001 (Risk Assessment for Exposure of Nervous System Cell to Mobile Telephone EMF: from in vitro to in vivo Studies), and GUARD (Potential Adverse Effects of GSM Cellular Phones on Hearing). The WPC is a symmetric structure, with two squared metallic patches (roof and ground) of the same size, connected together through four ground wires (props) located at each corner of the cell [10]. It is fed through a coaxial cable, with the central pin and the outer conductor connected to the ground and the roof, respectively. If properly dimensioned, it can be used to expose cell cultures between the roof and the ground planes [10]. The WPC can be considered as a sort of trade-off between a radiating system and a resonant one. In fact, it is based on a wire patch antenna [13] but, when loaded with the sample, it is used as a one port resonant structure with a locally TEM wave inside (E field normal to the patches, H field circumferential). The intrinsic radiating properties of the structure have to be taken into account since the system is placed inside an incubator during the biological experiment. Thus, in order to avoid interference with laboratory equipments, a special cage covered with absorbing material is generally used to accommodate the system inside [11], [12]. During long-term high-level exposures, two spiral plate water jackets, as in [11], have to be used to maintain temperature control of the biological samples. Moving from the empirical rules used in [11] and [12], the system was first dimensioned to operate at 2.45 GHz, then optimized, in the absence of the biological sample, through numerical simulations. The system design was already presented in [7] and the sample dosimetry evaluated following the standard procedure first proposed in [14] and evolved in [9]. Numerical studies in the presence of the sample [7] showed that the resonance frequency of the structure decreases with the sample volume, reaching values even below the Wi-Fi band. The WPC characterized in [7], with the prop radius of 5 mm, for a sample
0018-9480/$26.00 © 2010 IEEE
PAFFI et al.: A WIRE PATCH CELL EXPOSURE SYSTEM FOR in vitro EXPERIMENTS AT WI-FI FREQUENCIES
volume of 2 mL within each Petri dish, can be used only in the first channel of the Wi-Fi band (2.40–2.42 GHz), where the scatis below 15 dB. tering parameter In this work, to overcome the limitations of [7], the performances of the system loaded with the sample have been optimized with respect to the exposed volume, achieving the coverage of the whole frequency band of the Wi-Fi signal. In particular, size and position of the props have been changed so that for each possible sample volume (1, 2, 3 mL per Petri dish) the below 15 dB in a wide range of the system exhibits a Wi-Fi band (at least 8 channels). The optimized system has been numerically and experimentally characterized. The Specific Absorption Rate (SAR) has been measured, using the thermometric procedure [15], [16] to validate the predicted behavior of the system. Finally, the problem of the EM interference between the system and the incubator has been tackled. The influence of the cage used during the experiments has been evaluated and the shielding efficacy of the cage itself has been verified. The paper is organized as follows: in Section II-A the fabricated WPC is described whereas, in Sections II-B and II-C, instruments and methods used for carrying out simulations and measurements are presented. Section III is divided in three subsections A, B, and C, dealing with the optimization of the system with the sample inside, dosimetry, and EM compatibility, respectively. Discussion and conclusions are given in Section IV.
4087
Fig. 1. Picture of the WPC with the rotating dielectric plate inside (panel a) the main geometrical parameters L and H are highlighted. Props of different radius R (panel b). Slot in the metallic plate with the mobile prop anchored through a screw (panel c). D is the distance between the center of the prop and the edge of the patch.
TABLE I GEOMETRICAL PARAMETERS OF THE WPC WITH THEIR VALUES
II. MATERIALS AND METHODS A. Description of the System A picture of the fabricated WPC is shown in Fig. 1(a). The main geometrical parameters, i.e., the length of the side of the squared patches (L) and the distance between the two patches (H) [see Fig. 1(a)] were fixed on the basis of the design choices described in [6], [7]. Differently from the system in [7], here, other geometrical parameters have been considered during the design steps: the radius of the props (R) and the distance between the center of the props and the edge of the patches (D). Also these parameters, highlighted in Fig. 1(b) and (c), respectively, were shown to affect the resonance frequency [7]. Therefore, while L and H are assumed to be fixed, R and D were thought to vary, to allow a frequency tuning when using different sample quantities, between 4 (1 mL for each Petri dish) and 12 mL (3 mL for each Petri dish), as required by the particular experimental protocol. To easily change R and D, a fabrication solution was adopted: four slots (6 mm wide and 10 mm long) were realized at each corner of the two plates, along the diagonals, as shown in Fig. 1(c). Sets of props of different radius can be provided to the structure [Fig. 1(b)]. Each prop is anchored to the metallic plates, 2 mm thick, through a screw, so that it can be easily changed or moved along the slot [Fig. 1(c)]. D and R values are not independent, since the condition: must be verified, in order to avoid the props to stick out of the structure. All geometrical parameters and their ranges of variability are reported in Table I. A dielectric round plate (150 mm of radius), able to rotate around the central pin of the feeding cable, was placed inside the structure [Fig. 1(a)]. It is used for positioning the Petri dishes in
the WPC during the experiments, when the system lies within the incubator and only one side is accessible to the operator. Due to the resonant behavior of the system, the presence of a dielectric load, especially if placed very close to the feeding cable, where the E field assumes its highest values, is reasonably thought to induce a mismatch of the structure at the frequencies of interest. Therefore, plates of different materials (Perspex and Teflon) and thicknesses (see Table I) have been also considered in the optimization. Differently from the existing WPCs [11], [12] made of golden copper, the patches and the props were made in steel, to prevent oxidation while maintaining a reduced cost. Due to the higher operating frequencies with respect to the other WPCs, special care was taken to the realization of connections. In particular, the coax central pin was inserted into the ground plane through mechanical interference to maximize the electrical contact. B. Simulations Numerical simulations were carried out on an Intel® Core i7, 12 GB of RAM, using the commercial software CST Microwave Studio®, 2008, based on the Finite Integration Technique, to evaluate scattering parameters as well as SAR distribution inside the sample. The structure was modeled in a realistic way with the four slots in each metallic plate and the dielectric rotating plate. The metallic parts of the WPC were modeled in
4088
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 2. WPC with four 35-mm Petri dishes, placed inside the shielding metal cage with foam absorbing panels.
Matlab® program to obtain statistical values (mean value, standard deviation) in the whole volume inside each Petri dish and within single layers of sample. To better estimate the mean SAR in a monolayer of cells, with negligible thickness, plated on the bottom of the holders, a vertical extrapolation was applied, as in [18]. Mean SAR values in all layers of liquid, 0.2 mm thick, were calculated, leading to a dependence with the distance of the center of the layer from the bottom of the Petri dish. To ex, third order polynomial functions trapolate the data at were used. Coefficient of variation (CV), defined as standard deviation over mean value, was considered as a measure of the SAR inhomogeneity. C. Measurements
steel (electric conductivity: ), as in the real structure. The numerical analysis was performed in the presence of the four Petri dishes (diameter 35 mm and height 12 mm) inserted between ground and roof of the WPC on the dielectric rotating plate, with the center 52 mm away from the center of the structure. The best positioning of the sample holders inside the system was chosen on the basis of the calculated E and H field distributions in the empty structure. Petri dishes were placed in the four symmetrical regions where the H field presents a quite high and uniform value (see [7, Fig. 2]), to take advantage of the inductive coupling. The sample holders were modeled as hollow cylinders of Perspex with wall thickness of 0.5 mm. Petri dishes were filled with 1, 2, or 3 mL of standard cell culture medium Roosevelt Park Memorial Institute (RPMI) solution (relative permittivity , , mass density: ). The electric properties of the culture medium were measured at the frequency of 2.45 GHz with an HP 85070B dielectric probe and a vector network analyzer, obtaining an uncertainty around 5%. Simulations have been performed without and with meniscus, as in [17]. A global mesh was adopted with 20 lines per wavelength, being the best compromise between the accuracy and the computational cost. Moreover, inside the RPMI solution, a finer mesh was used, with the maximum cell dimension equal to 0.2 mm in all directions [17]. Radiating boundary conditions were used to terminate the calculation domain. to variations of The sensitivity of the scattering parameter R (from 5 to 10 mm with step of 1 mm) was evaluated. Morevariations considering the different solution volumes over, were estimated, as well as using different materials: Perspex and Teflon , and thicknesses (0.8, 1.0, and 1.5 mm) of the round plate employed for the sample positioning. Taking into account the height of the Petri dishes (12 mm), 1.5 mm is the maximum thickness allowed for an easy placement of the holders. On the other hand, at least 0.8 mm of height is required to guarantee the stiffness of the plate. The SAR distribution within the biological solution was calculated for the different sample volumes, through the option “calculate point SAR” of CST Microwave Studio, 2008. Calculated data of SAR were extracted and processed through a
of the WPC Measurements of the scattering parameter empty and loaded with samples were performed in the band 2–3 GHz using an Agilent E8363B network analyzer. During the in vitro experiments, the WPC is placed inside a standard incubator to control environmental conditions in terms of temperature, humidity and CO . To avoid disturbances to the electronic setup of the incubator, the WPC is put inside a metalcm with walls 3 mm thick. grid shielding cage Six blocks of Emerson & Cuming Microwave Products foam absorbing material (60 mm of thickness, 20 dB of attenuation), one for each side of the cage, wrap up the WPC to avoid field reflection on metallic walls. The WPC inside the shielding cage and loaded with the samples is shown in Fig. 2. To evaluate the influence of the just described setup, already used with the WPCs operating at lower frequencies, on the was measured again through a HP system behavior, the 8753C vector network analyzer. The shielding effectiveness of the cage, even at the frequencies around 2.45 GHz, was verified by measuring the E field in the surrounding space, using a radiation meter (Wandel & Goltermann EMR-300) equipped with an isotropic probe (Wandel & Goltermann Type 8). Experimental dosimetry was carried out by temperature measurements in the RPMI culture medium using a non-perturbing thermometric method [19]. The SAR was assessed through the following relationship [20]: (1) where is the specific heat C , and C is the temperature variation induced by the exposure to a high power pulse at the microwave frequencies during a short time interval . The measured value is equal to C. To prevent convective motions inside the culture solution during the microwave heating, a small percentage (0.4%) of Agar was added, not affecting electrical properties of the medium. The temperature increase was measured through a thermometer equipped with two high-impedance thermistor probes C) and remotely controlled, via (Vitek TP100, accuracy GPIB interface, by a Labview™ program running on a personal computer. The complete measurement setup is shown in Fig. 3. The power efficiency of the system was evaluated in terms of SAR induced in the biological sample per 1 W of input power
PAFFI et al.: A WIRE PATCH CELL EXPOSURE SYSTEM FOR in vitro EXPERIMENTS AT WI-FI FREQUENCIES
Fig. 3. Set-up for SAR measurements in the WPC.
Fig. 4. Comparison between simulated jS j values for the empty WPC (gray solid line), measured values for the empty WPC (black solid line), and loaded with 2 mL (black dashed line) and 3 mL (black dotted line) per each Petri dish. R = 5 mm, D = 8 mm, plate of Perspex 1.5 mm thick. Gray transparent rectangle highlights the Wi-Fi band.
((W/kg)/W). To evaluate the net input power feeding the system, the incident power and the reflected one were monitored during the measurement, as shown in Fig. 3. III. RESULTS A. Tuning with the Sample was measured, as described in Section II-C, At first, the in the WPC with props of radius 5 mm, placed at 8 mm from the patch edge, and the round dielectric plate of Perspex, 1.5 mm thick. Measurements were performed in the empty system and with the sample (2 and 3 mL of physiological solution for each Petri dish). Results in Fig. 4 show that with 3 mL for each Petri dish the system is not efficiently usable, since the is always above 15 dB in the whole Wi-Fi band. When the whole exposed volume is equal to 8 mL (2 mL per each of the four Petri dishes), the system can be employed only in the first is below 15 dB. Wi-Fi channel, where the
4089
Fig. 5. Simulated jS j values of the WPC with R = 5 mm (gray solid line) and R = 10 mm (black solid line). Measured jS j values of the WPC with R = 10 mm (black dashed line). System loaded with 2 mL per each Petri dish. Plate of Perspex, 1.5 mm thick.
Since the simulated behaviors of are in a good agreement with the measured ones (Fig. 4), in order to improve the matching of the system loaded with 2 mL per Petri dish, simulations were performed by varying the prop radius up to 10 mm, mm, the props were moved toward with step of 1 mm. For the inside, so that they are tangent to the sides of each patch. R was increased since this implies a smaller structure from the electric point of view, thus a higher resonance frequency. For sake of readability, Fig. 5 shows only results of simulations for mm (gray solid line) and mm (black solid line). mm induces a shift of Data not shown indicate that minimum; in the meanabout 10 MHz in the position of the time, the value of this minimum increases, showing a worsening mm), which is still largely of the matching (especially for dB for mm. With this value of R, acceptable for a sample volume of 2 mL, the system shows the resonance below 15 dB up frequency inside the Wi-Fi band and the to 2.45 GHz. This behavior is confirmed by the measurement (black dashed line in Fig. 5). Nevertheless, with 3 mL of sample, the system behavior is not yet satisfactory; the resonance frequency is still below the Wi-Fi band (data not shown). Therefore, taking into account the influence of the rotating dielectric plate on the resonance frequency, already discussed in Section II-A, simulations were carried out, first reducing the plate thickness, then considering materials with a lower permittivity, as described in Section II-B. Results show that, with a plate of Teflon, 0.8 mm thick, the minimum of the WPC is well inside the Wi-Fi band both with 2 and 3 mL of sample per Petri dish. This is confirmed by the measurements reported in Fig. 6. One can see from the figure that, with this optimized configuration, the WPC can be used to expose both 2 and 3 mL per Petri is below dB from 2.43 GHz up dish. In the first case, to the upper boundary of the Wi-Fi band (channels 7–14). In the second case, the same condition is verified up to 2.47 GHz, i.e., minima are centered at from channel 1 to channel 12. The 2.47 and 2.43 GHz for 2 mL and 3 mL, respectively.
4090
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 6. Comparison between measured jS j values for the WPC loaded with 2 mL (black dashed line) and 3 mL (black dotted line) per each Petri dish. R = 10 mm, D = 10 mm, plate of Teflon 0.8 mm thick.
Fig. 7. Comparison between calculated SAR distributions with (on the bottom) and without (on the top) meniscus model, for 1 mL of RPMI solution on a vertical plane passing through the center of the Petri dish and the center of the structure. Input power equal to 1 W.
B. Dosimetry The SAR distribution inside the RPMI solution was calculated, as described in Section II-B, for 1, 2, and 3 mL, with and without meniscus, at the frequency of 2.45 GHz. A comparison of the SAR distributions on a vertical plane passing through the centers of the WPC and the Petri dish is shown in Fig. 7 for 1 mL, where the effect of the meniscus is strongest. One can see that, when the meniscus is disregarded, the SAR is highest near the upper surface of the liquid, in the central region; SAR distributions are very similar among the four Petri dishes (data not shown) due to the symmetry of the structure. The effect of the meniscus modeling is a decrease of SAR in the central region and an enhancement near the lateral wall of the Petri dish, in agreement with [17]. Similar results have been obtained in the case of 2 and 3 mL, with higher SAR maximum values. For these higher volumes of liquid, the meniscus does not induce noticeable variations (less than 17%) in the mean SAR over the whole medium. Nevertheless, the meniscus presence significantly modifies average values on different layers; therefore, it has been accounted for the quantitative dosimetric analysis. In order to validate numerical dosimetry, thermal measurements of SAR were performed, both with 2 and 3 mL of RPMI culture medium, following the procedure described in Section II-C. Two contemporary measurements were carried out on the bottom of one of the Petri dishes using two Vitek
Fig. 8. Example of recorded temperature behavior in 3 mL of RPMI: triangles represent recorded data; the gray solid line is the linear interpolation of measurement points with RF ON, described by the equation: T = 0:02t + 23:77 (correlation coefficient: R = 0:99).
probes. The measuring probes, placed on the tip of flexible cables, were inserted through two small holes made in the lateral wall of the Petri dish and positioned in the proximity of the bottom with a horizontal orientation. This configuration allowed us to avoid any possible coupling between the thermistor and the E field, which is perpendicular to the system patches. Moreover, since the biological targets of the planned experiments are mostly plated-cell cultivations, SAR within the bottom layer is the quantity we are especially interested in. However, due to the physical dimension of the probe tip (about 1 mm) and the uncertainty in the positioning, it is reasonable to assume that measurements were performed in a layer on the bottom of the Petri dish almost 2 mm thick. A 2.45 GHz, CW signal was applied with a net input power of about 15 W, lasting for 30 s (Fig. 8). Temperature data were acquired every 5 s. for 3 mL and fifty Seventy measurements for 2 mL were performed in different points spanning a circular surface of about 15 mm of radius at the medium bottom. Temperature measurements cannot be performed with 1 mL of RPMI solution due to the very small thickness of the liquid (about 1 mm). In Table II the mean value of measured SAR, normalized to the input power (power efficiency), its standard deviation, and CV = Coefficient of Variation are collected for both 2 and 3 mL. In the same table these values are compared to those obtained by simulations in similar conditions, showing a satisfactory agreement for the mean power efficiency. In both cases, the differences between measured and simulated values (0.31 (W/kg)/W for 2 mL and 0.65 (W/kg)/W for 3 mL) are contained in the uncertainty of the measurement. To perform this comparison, simulated values were calculated in a layer representative of the volume where measurements were carried out. Voxels distant less than 2 mm from the side wall of the Petri dish were not considered in calculation, since they are sensitive to numerical artifacts [21]. For what concerns the standard deviations, and consequently the CV, the higher values numerically obtained are explainable with the high SAR gradient in the vertical direction not detectable by the temperature probe.
PAFFI et al.: A WIRE PATCH CELL EXPOSURE SYSTEM FOR in vitro EXPERIMENTS AT WI-FI FREQUENCIES
4091
TABLE II STATISTIC VALUES OF THE POWER EFFICIENCY (W/KG/W) MEASURED AND SIMULATED AT 2.45 GHZ IN THE BOTTOM VOLUME OF A 3.5-MM PETRI DISH FILLED WITH 2.0 ML AND 3.0 ML OF RPMI MEDIUM
Fig. 9. Distribution of the E field amplitude simulated at 2.45 GHz (1 W of input power) on a vertical cut passing through the center of the WPC and of the Petri dishes.
TABLE III STATISTIC VALUES OF THE POWER EFFICIENCY (W/KG/W) SIMULATED IN THE WHOLE VOLUME OR EXTRAPOLATED FOR A THIN MONOLAYER ON THE BOTTOM OF A 3.5-MM PETRI DISH FILLED WITH 1.0 ML, 2.0 ML AND 3.0 ML OF RPMI MEDIUM AT 2.45 GHZ
Fig. 10. Measured jS j for the WPC loaded with four Petri dishes filled with 3 mL of RPMI medium, inside (solid line) and outside (dashed line) the metallic shielding cage covered with foam absorbers.
To estimate the SAR induced in a cell monolayer on the bottom of the culture medium, an accurate measurement is unfeasible, due to the very reduced thickness with respect to the spatial resolution of the temperature probe. However, due to the experimental validation of numerical estimates, we can rely on the values obtained by the extrapolation of simulation results, as explained in Section II-C. Table III summarizes, for 1, 2 and 3 mL, statistical values of the power efficiency calculated for a cell monolayer. The efficiency values in cell monolayers are smaller than the ones of Table II, however the inhomogeneity (11% for 1 mL, 29% for 2 mL and 28% for 3 mL) is compatible with the value considered acceptable for the in vitro experiments [14]. If one wants to compare such values with the ones calculated in the whole volume of sample, they are given in Table III as well. Despite the high efficiency values, the inhomogeneity is always higher than 67% and makes the system not suitable to expose cell suspensions; this result was expected from the strong non-uniformity of SAR evidenced in Fig. 7. C. EM Compatibility In this section the EM compatibility study is reported to verify the actual shielding properties of the metallic cage used when the WPC is placed inside the standard incubator. The matching properties of the WPC in realistic operating conditions (i.e., inside the cage) were analyzed, as described in Section II-C. The investigation was carried out when the system is loaded with four Petri dishes filled with 3 mL of culture medium. From Fig. 9 one can see that the E field amplitude, simulated at 2.45 GHz on a vertical cut passing through the center
of the WPC and the center of a Petri dish, presents non negligible values around the lateral apertures and under the bottom patch, in correspondence to the central pin. Even though the E field sharply decays outside the system (less than 20% of the maximum at 2 cm from the lateral apertures), it is necessary to verify that the whole setup (cage with the absorbing panels inside) does not perturb the WPC behavior. measurement of the WPC placed in the shielding cage, as in Fig. 2, is reported in Fig. 10 (black solid line). Comparison with the same measurement when the WPC was outside the cage (black dashed line in Fig. 10) shows an excellent agreement, indicating that the presence of the shielding setup does not modify the frequency behavior of the WPC. The shielding effectiveness of the cage with the WPC inside, fed with 1 W of input power, was verified by measuring the E field amplitude 10 cm away from each side of the cage, as described in Section II-C. The measured field was below the sensitivity threshold of the instrument (1 V/m), hence interferences with the incubator walls can be excluded, as well as disturbances to the incubator electronics. IV. DISCUSSION AND CONCLUSIONS When dealing with exposure systems for in vitro experiments one of the main features is the homogeneity of the EM dose distribution inside the sample, as well as the values of SAR efficiency that can be achieved. This can be accomplished with resonant systems, closed and compact structures having a narrow
4092
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
operating frequency band, which can be easily placed inside an incubator; in spite of the high efficiency, the positioning of the sample is critical due to the extremely localized region of field uniformity and the closed structure. WPCs overcome this problem because they essentially are resonant systems based on a wire patch antenna; hence they preserve the resonant behavior allowing satisfactory efficiencies while they are partially open structures, which permit an easily positioning of the samples. Previous designs of WPCs were realized for in vitro exposure to single frequencies of mobile signals (GSM900 [10], GSM1800 [11], UMTS1950 [12] MHz), here the WPC has been designed to accomplish experiments for the Wi-Fi signal, a wide band signal widely used for indoor local networks. With respect to the previous WPC models the higher frequency had the effect to reduce the useful volume: in fact, with respect to the one at 900 MHz, the actual WPC has the two metallic patches at a closer distance, while, with respect to the ones at 1800 and 1950 MHz, it has a reduced available volume to place the Petri dishes, as the radius of the props (5 mm) had to be increased. With prop radius of 10 mm, we succeed in covvalues below 15 dB, ering the whole Wi-Fi band, with using 2 or 3 mL of sample volumes. Being based on a patch antenna, the WPC has a relevant EM field outside, from the lateral apertures but also below it (Fig. 9). Therefore, to avoid disturbances to the electronics of the incubator, the WPC is put inside a shielding cage. It has been proven that this arrangement effectively shields the incubator from the field irradiated by the WPC and does not modify at all the scatof the structure (Fig. 10). tering parameter Regarding SAR distribution, high values are localized just beneath the upper surface of the liquid in the central region and also near the lateral wall of the Petri dish, due to the meniscus effect (Fig. 7). Table II demonstrates a good agreement between simulations and measurements. Since the biological cultures to be used in the in vitro experiments are essentially monolayers, efficiency values have been extrapolated for 1, 2 and 3 mL, providing values of 0.92, 1.10, and 1.89 W/kg/W, respectively, with homogeneity higher than 70% (Table III). The estimated power efficiencies on monolayers are in line with those presented in literature for other WPCs [10]–[12], even accounting for different operating frequencies and different exposed sample volumes. Compared to the exposure systems in the literature, operating at 2.45 GHz and based on other EM structures [9], the present one is the only designed to expose Petri dishes. It has efficiency values higher than radial waveguides [22], [23] and horn antennas [24] at the same frequency. Moreover, the Wi-Fi WPC, contrary to other resonant exposure systems [9], can efficiently operate over a relatively large bandwidth, covering the whole Wi-Fi spectrum (2.40–2.48 GHz). The main geometric parameters that determine the operating frequency of a WPC are the side of the two patches and, especially, the distance between them; thus it seems that the Wi-Fi at 2.45 GHz are the highest frequencies we can use with such a kind of structure, while maintaining the same sample holders. In fact, the allocation of the Petri dishes would become impossible in a WPC with the very reduced height necessary for the higher frequencies used in other emerging technologies.
Therefore, regarding the new standards, such as the WiMax or the Wi-Fi at 5 GHz, it will be plausibly necessary to use different sample holders, with a lower height, or to move towards different EM structures. ACKNOWLEDGMENT The authors want to thank S. Chicarella for the system fabrication and S. Mancini, L. Checchetelli, A. Napolitano, and L. Grandinetti for their useful support during the characterization of the WPC structure. REFERENCES [1] COST (European Cooperation in the Field of Scientific and Technical Research) Action BM0704, Emerging EMF-Technologies and Health Risk Management, [Online]. Available: http://www.cost-bm0704.eu/ [2] Ecole Pratique des Hautes Etudes Project: Early Life Exposure of Young Animals to Radiofrequency (Wi-Fi) Fields, 2007 [Online]. Available: www.cost-bm0704.eu/index.php?option=com_docman&task=doc_download&gid=42&Itemid=61 [3] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specification: Higher-Speed Physical Layer Extension in the 2.4 GHz Band, IEEE Std. 802.11b, 1999. [4] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specification: Higher-Speed Physical Layer Extension to 54 Mbps, IEEE Std. 802.11g, 2003. [5] Wireless LAN Medium Access Control (MAC) and Physical Layer (PHY) Specification: Higher-Speed Physical Layer Extension to 600 Mbit/s, IEEE Std. 802.11n, 2009. [6] A. Paffi, F. Apollonio, M. Liberti, L. Grandinetti, S. Chicarella, and G. d’Inzeo, “A new wire patch cell for the exposure of cell cultures to electromagnetic fields at 2.45 GHz: Design and numerical characterization,” in Proc. 39th Eur. Microwave Conf., Rome, Italy, Sep. 1, 2009, pp. 870–873. [7] A. Paffi, F. Apollonio, M. Liberti, G. A. Lovisolo, R. Lodato, C. Merla, S. Mancini, S. Chicarella, and G. d’Inzeo, “A wire patch cell for vitro exposure at 2.4 GHz,” in Proc. IEEE MTT-S Int. Microwave Symp., Anaheim, CA, May 23–28, 2010, pp. 772–775. [8] G. A. Lovisolo, F. Apollonio, L. Ardoino, M. Liberti, V. Lopresto, C. Marino, A. Paffi, and R. Pinto, “Specifications of in vitro exposure setups in the radio frequency range,” Radio Sci. Bull., vol. 331, pp. 21–30, 2009. [9] A. Paffi, F. Apollonio, G. A. Lovisolo, C. Marino, R. Pinto, M. Repacholi, and M. Liberti, “Considerations for developing a radio frequency exposure system: A review for in vitro biological experiments,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 10, pp. 2702-2714, Oct. 2010. [10] L. Laval, P. Leveque, and B. Jecko, “A new in vitro exposure device for the mobile frequency of 900 MHz,” Bioelectromagnetics, vol. 21, no. 4, pp. 255–263, 2000. [11] L. Ardoino, V. Lopresto, S. Mancini, R. Pinto, and G. A. Lovisolo, “1800 MHz in vitro exposure device for experimental studies on the effects of mobile communication systems,” Radiation Protection Dosimetry, vol. 112, no. 3, pp. 419–428, 2004. [12] V. Lopresto, L. Ardoino, S. Mancini, R. Pinto, and G. A. Lovisolo, “An in vitro exposure device for experimental studies on the effects of UMTS mobile communication system,” in Proc. Bioelectromagnetics 2005, Dublin, Ireland, Jun. 2005, pp. 363–365. [13] C. Delaveaud, P. Leveque, and B. Jecko, “New kind of microstrip antenna: The monopolar wire-patch antenna,” Electron. Lett., vol. 30, no. 1, pp. 1–2, 1994. [14] N. Kuster and F. Schonbon, “Recommended minimal requirements and development guidelines for exposure setups of bio-experiments addressing the health risk concern wireless communications,” Bioelectromagnetics, vol. 21, no. 7, pp. 508–514, 2000. [15] H. F. Bowman, “Heat-transfer and thermal dosimetry,” J. Microw. Power, vol. 16, no. 2, pp. 121–133, 1981. [16] C. K. Chou, H. Bassen, J. Osepchuk, Q. Balzano, R. Petersen, M. Meltz, R. Cleveland, J. C. Lin, and L. Heynick, “Radio frequency electromagnetic exposure: Tutorial review on experimental dosimetry,” Bioelectromagnetics, vol. 17, no. 3, pp. 195–208, 1996. [17] J. Schuderer and N. Kuster, “Effect of the meniscus at the solid/liquid interface on the SAR distribution in Petri Dishes and Flasks,” Bioelectromagnetics, vol. 24, no. 2, pp. 103–108, 2003.
PAFFI et al.: A WIRE PATCH CELL EXPOSURE SYSTEM FOR in vitro EXPERIMENTS AT WI-FI FREQUENCIES
[18] J. Schuderer, T. Samaras, W. Oesch, D. Spät, and N. Kuster, “High peak SAR exposure unit with tight exposure and environmental control for in vitro experiments at 1800 MHz,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 8, pp. 2057–2066, Aug. 2004. [19] F. A. Duck, Physical Properties of Tissue. A Comprehensive Reference Book. London, U.K.: Academic, 1990. [20] M. A. Stuchly and S. S. Stuchly, CRC Handbook of Biological Effects of Electromagnetic Fields, Second ed. Boca Raton, FL: CRC, 1986. [21] J. Schuderer, D. Spat, T. Samaras, W. Oesch, and N. Kuster, “In vitro exposure systems for RF exposures at 900 MHz,” IEEE Trans. Microw. Theory Tech., vol. 52, pt. II, pp. 2067–2075, Aug. 2004. [22] E. G. Moros, W. L. Straube, and W. F. Pickard, “The radial transmission line as a broadband shielded exposure system for microwave irradiation of large number of culture flask,” Bioelectromagnetics, vol. 20, no. 2, pp. 65–80, 1999. [23] W. F. Pickard, W. L. Straube, and E. G. Moros, “Experimental and numerical determination of SAR distributions within culture flasks in a dielectric loaded radial transmission line,” IEEE Trans. Biomed. Eng., vol. 47, pp. 202–208, Feb. 2000. [24] Vijayalaxmi, “Cytogenetic studies in human blood lymphocytes exposed in vitro to 2.45 GHz or 8.2 GHz radio frequency radiation,” Radiation Research, vol. 166, no. 3, pp. 532–538, Sep. 2006. Alessandra Paffi was born in Rome, Italy, in 1971. She received the Laurea degree (cum laude) in electronic engineering and Doctorate degree from the University of Rome “La Sapienza,” Rome, Italy, in 1999 and 2005, respectively. From 2005 to 2006, she was a Post-Doctoral Fellow with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB) and she is currently a Post-Doctoral Fellow with the Department of Electronic Engineering, “La Sapienza” University of Rome. Her main research activities include theoretical and experimental studies for modeling interactions between EM fields and biological systems. Special interest is devoted to the design and fabrication of MW field exposure systems.
Micaela Liberti (M’04) was born in Genova, Italy, in 1969. She received the Laurea degree in electronic engineering and Doctorate degree from the University of Rome “La Sapienza,” Rome, Italy, in 1995 and 2000, respectively. From 2001 to 2002, she was a Post-Doctoral Fellow with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB). In 2002, she became an Assistant Professor with the Department of Electronic Engineering, University of Rome “La Sapienza.” Since 2008, she has being a member of the Scientific Council of the European Bioelectromagnetic Association (EBEA). Her scientific interests include interaction mechanisms between EM fields and biological systems, dosimetric evaluations at the microscopic level, exposure systems dosimetry, and design.
Vanni Lopresto (M’95) was born in Vicenza, Italy, in 1973. He received the Laurea degree in Electronic Engineering from the University of Rome “La Sapienza”, Rome, Italy. From 2003 to 2006 he was Research Fellow with the Section of Toxicology and Biomedical Sciences of ENEA, Casaccia Research Center; Rome, Italy. From 2006 to 2009 he was with Motorola, involved in the research and development of antenna technologies for mobile systems. In 2010 he joined ENEA as Researcher at the Technical Unit of Radiation Biology and Human Health, Casaccia Research Center; Rome, Italy. His research concerns numerical and experimental dosimetry of EM fields and therapeutic applications of EM fields.
4093
Caterina Merla (M’09) was born in Rome, Italy, in 1978. She received the Laurea degree in electronic engineering and the Ph.D. degree in electronic engineering from the University of Rome “La Sapienza,” Rome, Italy, in 2004 and 2008, respectively. From April 2008 to May 2010 she was a Post-Doctoral Fellow with the XLIM Research Institute, Centre National de la Recherche Scientifique (CNRS)–University of Limoges, Limoges, France. She is currently a Post-Doctoral fellow with the Italian Inter-University Center of Electromagnetic Fields and Biosystems (ICEmB). Her research interests are mainly focused on microdosimetric evaluation of the EM field at single cell level, dosimetry techniques, and design of exposure systems. Dr. Merla was the recipient of the 2008 URSI Young Scientist Awards presented at the XXIX URSI General Assembly, Chicago, IL.
Rossella Lodato was born in Palermo, Italy, in 1968. She received the Laurea degree in electronic engineering from the University of Palermo, Italy. From 2000 to 2005 she worked in STMicroelectronics, Catania, Italy where she was digital designer in the research and development of fuzzy logic microcontrollers. In 2003 she became CAD (Computer Aided Design) team leader with Microcontroller Division in STMicroelectronics. From 2005 to 2007 she worked as freelance engineer. From 2007 she was Research Fellow with the Technical Unit of Radiation Biology and Human Health, Casaccia Research Center, Rome, Italy. Her research concerns numerical and experimental dosimetry of EM fields, design of exposure systems. Recently she was involved in magnetic fields measurements and exposure assessment in working places as MRI environment.
Giorgio A. Lovisolo was born in Turin, Italy, in 1945. He received the aeronautic engineering degree from the Politecnico of Turin. He worked on hyperthermic treatment control on cancer therapy and in 1982 he joined ENEA (Italian Agency for New Technologies, Energy and Environment), first as Researcher, then as Head of the Medical Physics Division, as Scientific Advisor of the Environmental Department Direction, and presently as Project Coordinator. He is contract Professor of Dosimetry and protection of non ionizing radiations in the Post-graduate School of Health Physics, Tor Vergata University of Rome. Since 1979 he has worked on ionizing and non-ionizing radiation applications in biomedical technologies. In particular, recently his research has concerned the EM dosimetry in the radioprotection studies.
Francesca Apollonio (M’06) was born in Rome, Italy, in 1968. She received the Laurea degree (cum laude) in electronic engineering and Doctorate degree from the University of Rome “La Sapienza,” Rome, Italy, in 1994 and 1998, respectively. In 1994, she began her research in bioelectromagnetics during which time she was involved with experimental dosimetry techniques. In 2000, she became an Assistant Professor with the Department of Electronic Engineering, University of Rome “La Sapienza.” Her research interests include the interaction of EM fields with biological systems. In particular, she is involved in molecular dynamic studies, modeling mechanisms of interaction, dosimetry techniques, and design of exposure systems.
4094
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Visual Observations of Characteristic Behaviors of RF Waves in CRLH-TLs and Their Applications to Dispersion Characterizations Masahiro Tsuchiya, Takahiro Hashiba, and Takahiro Shiozawa
Abstract—A novel experimental approach is proposed and demonstrated for visually observing characteristic behaviors of radio frequency (RF) waves in metamaterial structures in real time. Space domain analyses of observed wave images are also successfully applied to dispersion characterizations of the structures. These unique functionalities are provided by the RF wave visualization capability of the live electro-optic imaging scheme. Not only successful visualizations of forward and backward propagating RF waves in composite right/left-handed transmission lines are demonstrated, but visual investigation also takes place on their characteristic wave features at boundaries and in the extreme short wavelength region. The RF wave imagery is shown to be useful for determining right/left-handed frequency ranges and evaluating their propagation constants. The derived dispersion diagrams are discussed and compared with those conventionally obtained from unwrapped 21 phase data. Index Terms—Electro-optic measurements, transmission lines, vision system.
metamaterial,
I. INTRODUCTION
S
INCE successful demonstrations based on practical composite-right/left-handed (CRLH) transmission lines (TLs) were reported, radio frequency (RF) metamaterial structures have attracted considerable attention and been the subject of intensive study [1]–[9]. One of their persisting challenges, however, is methods to sufficiently and efficiently analyze, characterize, and diagnose their internal wave properties; especially regarding dynamical and dispersion characteristics of RF waves within metamaterial structures. More efficient and effective tools for these analyses, characterizations, and diagnoses are therefore in high demand. To date, two schemes have primarily been applied in investigations of the dynamical and dispersive properties of RF waves within metamaterial structures: numerical simulations and unphase data measured by vector network analyzers. wrapped These are rather indirect and include some ambiguous factors such as validity of medium models and determination of transition frequency . Alternatives with novel aspects seem to warrant significant consideration. More concretely speaking, useManuscript received July 01, 2010; revised September 09, 2010; accepted September 22, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This paper is an expanded paper from the IEEE MTT-S Int. Microwave Symposium held on May 23-28, 2010 in Anaheim, CA. M. Tsuchiya is with the National Institute of Information and Communications Technology, Tokyo 184-8795, Japan (e-mail: [email protected]). T. Hashiba and T. Shiozawa are with Kagawa National College of Technology, Kagawa 769-1192, Japan (e-mail: [email protected]). Digital Object Identifier 10.1109/TMTT.2010.2086071
fulness of the following for investigating the dynamical and dispersive properties of metamaterial waves should be examined: 1) an experimental method to promptly indicate characteristic behaviors of RF waves and/or 2) an experimental method to more distinctively determine dispersion characteristics. We recently reported on a possible candidate for these: the live electro-optic imaging (LEI) scheme (Fig. 1) [10], [11], which provides real-time visual access to internal electrical waves in RF planar circuits, high-speed digital circuits, and millimeter-wave modules [12]–[15]. Visualization of traveling W-band waves in a semiconductor slab waveguide is another good example [16], with even aerially traveling electromagnetic waves successfully visualized [17]. Some relevant movies of those RF waves are available online [12], [15]–[17]. One of the most attractive instrumental features of an LEI camera is its functionality for acquiring RF data in an ultraparallel coherent way [12]. It allows two-dimensional depiction of the RF phase relationship on an LEI view. The implications of this are two-fold: 1) the camera can help intuitively grasp dynamical behaviors of RF waves and 2) quantitative analyses in the space domain, e.g., wavelength measurement, are possible. Therefore, the LEI camera scheme seems to meet the demand for alternative tools. A preliminary approach for CRLH-TLs and its result were reported in IMS2010 [18]. This paper more comprehensively describes its extension. Regarding visual observations of characteristic propagation features of RF waves in a metamaterial structure, we report on backward propagating waves in a left-handed frequency band of CRLH-TL as well as forward propagating waves in a righthanded band. Also described are visualization results of wave behaviors at boundaries between ordinary microstrip lines and a CRLH region, and curious wave behaviors in the left-handed band of a short wavelength such as partially penetrating waves and skipping wave propagations. Regarding dispersion characterization, a method to evaluate a wave propagation constant from a wave image is described together with comparison of thus-derived dispersion diagrams with S-parameter measurements results. Limitations of the present method in the evaluations and possible error inclusions are also discussed. II. CONFIGURATIONS AND PRINCIPLES OF LEI CAMERA A. System Configurations The RF and optical configurations of the LEI camera system are shown schematically in Fig. 1(a). Whereas extended expla-
0018-9480/$26.00 © 2010 IEEE
TSUCHIYA et al.: VISUAL OBSERVATIONS OF CHARACTERISTIC BEHAVIORS OF RF WAVES IN CRLH-TLs
4095
system: first electro-optically in the LEI optics and second numerically in the digital signal processing (DSP) unit attached to the complementary metal oxide silicone (CMOS) image sensor. The RF phase within the observation window ([A] in Fig. 1(b)) is preserved during these DC processes and reproduced precisely in an LEI image ([C] in Fig. 1(a)) as follows. be an evanescent electrical field of an RF wave Let propagating within the sample. Here, is a position in the plane of the EO crystal sensor plate, which is indicated by can be expressed as [A] in Fig. 1(b), and is time.
(1)
Fig. 1. (a) Optical and RF configurations of live electro-optic imaging system and (b) magnified cross-sectional illustration of the observation window with a schematically drawn evanescent field (E ) originating from a CRLH transmission line. The window is covered with a sapphire plate on a ZnTe electro-optic (EO) sensor plate, whose top and bottom are high-reflection (HR) and antireflection (AR) coated, respectively. A 640-nm charge-coupled device (CCD) image of the sample, illuminated by a light-emitting-diode (LED), is acquired simultaneously with the LEI observations. f ; f ; f , and f are frequencies of the RF signal in the sample, optical local oscillator (LO) signal, electro-optically down-converted signal, and image sensor sampling, respectively. DM: dichroic mirror, PBS: polarization beam splitter, PC: personal computer. f is the frequency of the phase revolution in an LEI view. Planes A, B, and C are for the explanations in the text.
nations for the figure’s contents and their functionalities have been described in our previous papers [11]–[13] and therefore skipped here, some features relevant to the RF wave observations and analyses in the present work are summarized below. Fig. 1(b) shows a CRLH-TL sample with its face set down on a 200- m-thick sapphire plate. The distance between the sapphire plate and a sample surface is set approximately several tens of micrometers or less. The sapphire plate protects the electro-optic (EO) ZnTe sensor plate from mechanical damage caused by contact with an uneven sample surface. The EO plate acts as a two-dimensional sensor of electrical field distribution of 36 and is 25 mm 25 mm in size; its diagonal dimension mm limits the maximum extent of LEI observation view. Total power of 780 nm laser light irradiated over the EO plate is estimated to be a few milliwatts, which is low enough to avoid thermal effects caused by optical heating of the EO plate. The crystallographic orientation of the EO plate surface is chosen as (100) so that the -component of the evanescent field vectors of RF waves is visualized. This EO plate configuration waves propmakes beneficially it possible to visualize all the agating in the EO plate regardless of the directions of their wave vectors as explained next. B. Principle of RF Wave Observations As described in [12], two stages of two-dimensional and realtime frequency down-conversion (DC) occur in the LEI camera
, and are the amplitude, freHere, quency, and two-dimensional wave vector of the RF wave, respectively. Usually, RF waves in a sample are expressed as a superposition of this kind of formula; therefore, it is sufficient for the present explanation to deal with (1) as long as no nonlinear effect is included. gives rise to optical intensity moduThe electrical field lation provided by the laser beam irradiation to the EO sensor plate shown in Fig. 1(b) and the polarimetric optics in Fig. 1(a). The two-dimensional distribution of the optical modulation at the plane [B] in Fig. 1(a) is thus given by
(2) , and are optical intensities for the total laser Here, signal, the components of a continuous wave (CW) as well as higher order modulations, and the component modulated at the , respectively. Here, is the differintermediate frequency and . denotes a ence frequency between position at the surface of the CMOS image sensor. The optical signal given by (2) is then detected by the CMOS image sensor and numerically processed in the DSP unit [12]. The resultant shown on a personal field phasor image signal computer (PC) display ([C] as in Fig. 1(a)) is given by
(3) is the pixel address. As indicated, the two-diHere, mensional relationship of the RF wave phase in the EO crystal plate—i.e., wave properties—is reproduced precisely in the final LEI view, provided that the physical length on the EO crystal plate is appropriately converted to a distance in the PC display’s LEI view. The real-time optical monitor of a sample surface is beneficial for this purpose. This is provided by a built-in charge-coupled device (CCD) camera, a 640-nm light-emitting diode (LED) illuminator, and the dichroic feature of high-reflection coating on the EO crystal plate and a mirror. These are all indicated in Fig. 1. A visual representation as an LEI movie for (3) is called as phasor movie. This displays the phasor characteristics at each pixel and, therefore, is useful for examining wave propagation. For this reason, phasor images are dealt with below.
4096
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 2. Photographs of two CRLH transmission lines are shown with the unitcell pattern. The length d and period N are indicated beneath each. The lines and spaces in the interdigital capacitance, as well as the shorted (via) stub inductance pattern, are common in all samples in this paper. After [18]. TABLE I STRUCTURAL PARAMETERS OF CRLH TRANSMISSION LINE SAMPLES
III. CRLH TRANSMISSION LINES Ladder-network transmission lines [4]–[6] were chosen as samples to examine via the LEI observation scheme because they are the most fundamental among the many metamaterial structures. A. CRLH Transmission Line Samples Three types of CRLH-TL samples—A, B, and C—were fabricated. A and B are handmade and C is machine-made with patterns generated by a high-accuracy photolithography machine and via holes made by a numerically controlled boring machine and electroplating process. These samples were made on the glass epoxy circuit boards of flame-retardant type 4 (FR4) with relative permittivity of 4.7 at 1 MHz and thickness of 1.6 mm. Fig. 2 shows two handmade samples (A and B) together with a corresponding unit cell pattern. The unit cell pattern is rather conventional and contains an interdigital capacitance and shorted stub inductance. Length and period of the unit cell patterns for those three samples are listed in Table I. It should be noted that the difference between A and C stays at and , whereas B differs from C in the method of fabrication. Those variations in the samples are aimed at evaluation of the LEI camera system for its distinction of CRLH-TL wave properties. Each CRLH-TL region is connected to two leading microstrip line sections with SubMiniature type A (SMA) receptacles at their ends. B. S-Parameter Measurements For preliminary characterization of the samples, their S-parameters were measured in a frequency range of 1.0 to 8.5 GHz with a vector network analyzer (Agilent E5071C ENA). The network analyzer was calibrated so that the reference planes were located at the SMA receptacles of each sample. The measured in Fig. 3(a)–(c), data are plotted as functions of frequency
Fig. 3. (a) Measured magnitudes jS j, (b) the unwrapped and corrected phase ' ' 0 ' , and (c) measured magnitudes jS j for S-parameters of the three samples are plotted as functions of frequency f . Here, ' at 1 GHz is set to be zero for the sake of convenience.
=
which show the magnitude on a logarithmic scale, the un, and the magwrapped and corrected phase data nitude, respectively. The phase data correspond to dispersion curves, whereas the zone folding properties, i.e., transition frebetween the left-handed (LH) and rightquencies handed (RH) ranges [4], should be determined separately. The correction applied to the measured unwrapped phase data is given by
(4) where is a propagation constant for the leading microstrip lines between the CRLH-TL sections and SMA receptacles, is the circuit board length (100 mm for A and B, 150 mm for C). at 1 GHz is assumed to be zero for the sake Here, of convenience although residual phase rotation with respect to the 0–1 GHz frequency range should be clarified somehow. IV. VISUAL OBSERVATIONS OF RF WAVES The LEI camera was applied to the three samples. In addition to the central parts of the CRLH-TLs, the boundaries between the two leading ordinary microstrip lines and a CRLH section were visually investigated. A few characteristic behaviors of LH-waves were also observed. Here, an RF signal of 20 dBm was injected into a sample via one of two SMA receptacles so that fine and high contrast images were obtained. The other SMA receptacle was connected to a 50 terminator or an RF cable to an RF power meter. It is noteworthy that a calibration process needed for a conventional S-parameter measurement is not necessarily required in those visual observations.
TSUCHIYA et al.: VISUAL OBSERVATIONS OF CHARACTERISTIC BEHAVIORS OF RF WAVES IN CRLH-TLs
4097
Fig. 4. (a) An optical image of the central part of CRLH transmission line sample A was taken through the EO crystal plate at the LEI observation window. The direction of the RF signal injection is shown by an arrow. In (b) and (c), two series of stroboscopic wave images (phasor images) are shown with a phase evolution interval of =4. A number n aside each image indicates the phase n=4 of the image. The RF signal frequencies are (a) 1.8 and (b) 4.0 GHz. After [18].
In the following, representative results of these visual observations are shown stroboscopically; a series of phasor images for one period are listed with a specific phase interval. These images are extracted from a corresponding LEI phasor movie, which was monitored in real time with a frame rate of 8 or 10 frames per second and was electronically recorded simultaneously.
Fig. 5. (a) An optical image of the input part of CRLH transmission line sample C was taken through the EO crystal plate at the LEI observation window. The direction of the RF signal injection is shown by an arrow. In (b) and (c), two series of stroboscopic wave images (phasor images) are shown with a phase evolution interval of =5. A number n aside each image indicates the phase n=5 of the image. The RF signal frequencies are (a) 2.1 GHz (in LH-band) and (b) 6.9 GHz (in RH-band).
A. Forward- and Backward-Propagating Waves Fig. 4 shows the LEI observation results for CRLH-TL-A stroboscopically; two series of LEI images are indicated with a phase interval of . A corresponding optical image of the CRLH pattern captured by the built-in CCD camera is also shown at the top. As indicated by the arrow in Fig. 4(a), the RF signal was injected from the top left toward the bottom right. The 1.8-GHz image series shown in Fig. 4(b) clearly shows a backward-propagating wave whereas the 4.0-GHz series in Fig. 4(c) indicates a forward-propagating wave. For CRLH-TL-B and CRLH-TL-C, similar sets of images/movies for forward/backward waves were obtained for different sets of . Features of these wave images will afterwards be quantitatively analyzed for evaluation of dispersion characteristics in Section V. B. RF Waves at Boundaries Generally, there are always difficulties in choosing one of two mathematical models for media with periodical structures: a model with an infinite periodicity, whose mathematical expressions are somewhat simpler, or one with a finite periodicity. In some cases, the wave behaviors at the boundaries provide assistance. In this regard, the LEI camera observation scheme is attractive since it provides direct visual access to the waves at the boundaries. Figs. 5 and 6 show the results of LEI observations for input and output boundaries of sample C, wherein some interesting features are indicated. Fig. 5(a) shows a CCD image of the input part of sample C: an ordinary microstrip line in the upper right is connected to the CRLH section in the lower left. The white arrow indicates
Fig. 6. (a) An optical image of the output part of CRLH transmission line sample C was taken through the EO crystal plate at the LEI observation window. The direction of the RF signal injection is shown by an arrow. In (b) and (c), two series of stroboscopic wave images (phasor images) are shown with a phase evolution interval of =5. A number n aside each image indicates the phase n=5 of the image. The RF signal frequencies are (a) 2.1 GHz (in LH-band) and (b) 6.9 GHz (in RH-band).
the direction of RF power injection. Fig. 5(b) is a stroboscopic series of phasor images taken for the RF frequency of 2.1 GHz . The sinusoidal wave in the LH band. The phase interval is is clearly seen traveling down along the microstrip line. The direction of wave propagation is the same as that of the arrow. On the other hand, a backward-propagating wave is seen on the
4098
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
CRLH section toward the boundary. It is also notable that the backward wave behaves like a wave until it reaches the last piece of unit cell. These two waves seem to collide with each other at the interface and disappear there. In contrast, what is visually observed in Fig. 5(c) is different. Fig. 5(c) shows a stroboscopic series of phasor images for the RF frequency of 6.9 GHz in the RH band. The phase interval is . The wave behavior in the ordinary microstrip line section is similar to that at 2.1 GHz but differs only in wavelength. Note that the wave behavior at the interface is rather simple: continuous wave propagation. This result implies that the impedance mismatching at the boundary is small, which is in good agreemeasured at this frequency for ment with the fact that the whole sample including the leading microstrip line sections [see and SMA receptacles is more than 5 dB smaller than Fig. 3(c)]. These results are rather reasonable from the standpoint of transmission line properties since the contribution of interdigital capacitance and shorted stub inductance to the characteristic impedance of the CRLH section is much less at this frequency (6.9 GHz) than in the LH band. Fig. 6 shows the LEI observation results for the output boundary of the same sample (C), whose CCD image taken through the EO sensor plate is shown in Fig. 6(a). The direction of RF power flow is shown by a white arrow. Fig. 6(b) shows a stroboscopic series of phasor images taken for the 2.1-GHz RF frequency in the LH band. The phase interval is . The boundary evidently acts as a source of both forward and backward waves, which travel in opposite directions after their generation. On the other hand, the wave behavior at 6.9 GHz in the RH band is rather straightforward as in the case of Fig. 5(c). An RF wave almost continuously propagates over the boundary. These wave observation results interestingly suggest the following. 1) The input and output boundaries respectively act as a sink and a source of waves in the LH band, whereas the RF waves on those boundaries are rather continuous in the RH band. 2) The backward-propagating waves with short wavelengths behave as waves even in the region very close to the boundaries. Care should be taken, however, since these features must depend on the frequency of interest. Therefore, much more extended investigations on the wave features at the boundaries are necessary. Note that the LEI camera’s ability to make prompt and easy visual observations may be beneficial in this context. C. Behaviors of LH-Waves With Short Wavelengths The LEI camera observation scheme’s promptness and easiness in the RF wave visualization were utilized for trial inquiry for unexpected and curious behaviors of RF waves. Apart from standard views of backward-propagating waves, some interesting wave images were taken in the LH band. Fig. 7(a) shows a stroboscopic series of phasor images for RF waves taken for the central part of the CRLH section of sample C. The phase interval is and RF frequency is 1.47 GHz. The direction of RF power injection is from the top-right to bottom-left of the images. value to this frequency in Note that the corresponding value is almost unity Fig. 3(a) is quite low, whereas the
Fig. 7. Two series of stroboscopic wave images (phasor images) for CRLH-TL-C are shown with a phase evolution interval of =5. A number n aside each image indicates the phase n=5 of the image. The RF signal frequency is 1.47 GHz for (a) and 1.50 GHz for (b). The two observation areas for (a) and (b) are slightly different from each other. White triangles in (b) are guides for the readers’ eyes.
[Fig. 3(c)]. It means that most of the injected RF wave power returns. However, Fig. 7(a) indicates that partial penetration of RF waves exists within the CRLH section even at this frequency. In addition, the penetration depth depends on the phase: wave to and at to are faint. Those images at image features imply the presence of a standing wave nature within the CRLH section. Fig. 7(b) shows another interesting series of phasor images. A type of backward-propagating wave was observed. It should be noted here that the wavelength is extremely short; as short as two periods of unit cells. It is close to the upper limit of the , which is given by the infinite propagation constant periodicity model. An interesting discovery is that the propagation of the backward wave is awkward; i.e., not smooth. As indicated by white triangles in the figure, the wave propagates inand . The wave termittently at two specific phases— pattern in each image shown in Fig. 7(b) is also not uniform; in one portion the wavelength seems longer than in others. These peculiar RF wave behaviors could be due to an effect at the LH band edge, which comes from the infinite periodicity model of the structure. However, further discussions are necessary for the details and validity of this speculation taking the finite period of the sample into account. V. DISPERSION CHARACTERIZATIONS A.
Evaluation and Dispersion Diagrams
Propagation constants of the observed forward- and backward-propagating waves were quantitatively evaluated to construct dispersion diagrams. This is on the basis of the wavelength measurement procedure shown in Fig. 8(a) that is simple of the and rather straightforward. Here, the wavelength RF wave corresponds to the distance in an LEI movie that a s, which provides a value for visualized wave travels in .
TSUCHIYA et al.: VISUAL OBSERVATIONS OF CHARACTERISTIC BEHAVIORS OF RF WAVES IN CRLH-TLs
4099
Fig. 9. Dispersion diagrams for CRLH-TL-B and C. Solid circles (B) and solid squares (C) indicate a propagation constant of forward waves evaluated from LEI visions of RF waves. Solid diamonds (B) and solid triangles (C) are backward waves. Error caused in a wavelength measurement for the evaluation at each data point is estimated to be 20% or less. The thick solid curves show the zone-folded derived from S phase data. The two dashed lines indicate =d and =Nd for j j, while the thin solid line is the air mode boundary.
B. Comparison With S-Parameter Phase Data Fig. 8. (a) Schematic illustration of the wavelength measurement in a LEI view. (b) Dispersion diagram of CRLH-TL-A. Solid circles (forward waves) and diamonds (backward waves) indicate propagation constant evaluated from LEI wave visions. Error caused in a wavelength measurement for the evaluation at each data point is estimated to be 12 % or less. The two dashed lines indicate =d and =Nd for j j, while the thin solid line is the air mode boundary. The thick solid curve shows zone-folded derived from S phase data.
can also be estimated from Propagation constants in (4), which is the conventional procedure. After was derived in a separate experiment employing curves in a simple microstrip line sample, the shifts Fig. 3(b) were projected into Figs. 8(b) and 9. Here, as follows: the curves by
Fig. 8(b) shows the resultant plot of values against together with wave images taken at some frequencies of interest; the highest and lowest frequencies of the observable wave propagation (OWP) range in the LH band, lowest frequency of the local minimum, OWP range in the RH band, frequency of frequency of the largest in the RH band, and frequency beyond the bend of the dispersion curve. Note that interesting features of waves such as wavelengths, fine structures of electrical field distribution within a unit cell, and field distributions outside the patterns of upper metals on the glass epoxy circuit board are well-displayed in these images. Apart from those, it should be noticeable that a unique pattern is observed in the central unit cell at 4.75 GHz, at which the curve in Fig. 3(a) shows a local minimum. This observation result suggests that this specific unit cell possibly gives rise to the curve at 4.75 GHz. Though further concave feature of the investigation is necessary, it is thus shown that the LEI observation is useful for figuring out possible allocations of defects in metamaterial. values derived in the same procedure for samples The B and C are plotted in Fig. 9. Because those samples are shorter in , the values in the LH band distribute in the larger value range than in Fig. 8(b). The transition frequencies are also higher than that for sample A. This is again reasonable with the smaller value leading to a smaller interdigital capacitance. values The discrepancy between samples B and C in the in the LH band probably originates from the difference in the fabrication methods (Table I). It implies that the LEI method for the dispersion characterization is sufficiently resolvable for the detection of fabrication errors of this magnitude.
(5) For CRLH-TL-A, a frequency of 2.73 GHz was chosen for , where is , which corresponds to the upper limit of value in a periodic medium and to the dotted lines in Figs. 8(b) and 9. This subtraction is theoretically reasonable although some ambiguities caused in the phase measurement process remain; it suffers from parasitic phase rotations around soldered pins of the SMA receptacles and other uncertain factors like the residual phase rotation at 1 GHz [Fig. 3(b)] and the boundary conditions between the CRLH and leading microstrip line sections. Nevertheless, the curve thus obtained capably fits the evaluated dispersion diagram as seen in Fig. 8(b), though some discrepancy was observed at GHz. For CRLH-TL-B and C, the same procedure was applied. The results are plotted in Fig. 9. Again, fairly good agreements were observed, while some deviations exist. Origins of those deviations are currently not clear; therefore, further investigation and discussion are needed. C. Discussions Discussions center on two problems: the evaluable range of values and the possible errors caused in the evaluation procedure. The lower limit of values for the evaluation method is given by the area of the LEI observation, which is currently 25 mm 25 mm. For a longer wave, the accuracy of the wavelength measurement degrades. Though the accuracy depends on the signal-to-noise ratio in the wave images, maximum measurable wavelength is now approximately 100 mm, which
4100
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
is obtained by computer-assisted image analyses. This value is approximately three times larger than the diagonal size of the and corresponds to of 20 m . EO crystal sensor plate For sample A, this is as much as the minimum of the structure, whereas it is twice the minimum for samples minimum that both B and C. It is assumed here for the boundaries of a single CRLH section are simultaneously open or shunted. region, the balanced/unbalanced properties In the low [5] and/or the leaky mode behavior [4] are around interesting topics for investigating with the LEI observation scheme. However, the present LEI view does not sufficiently . Therefore, detailed discussion on cover the range of the above issues should be reserved until after accuracy is improved, though some relevant characteristic trends seem to exist in the plots both in Figs. 8(b) and 9. The higher limit of evaluation is given by the spatial resolution of the LEI observation scheme. It is presently below 1 of more than 1000 m . This mm and gives a maximum value is large enough for the samples dealt with in this work. It is worth discussing the possibility to evaluate the imaginary part of propagation constant because this functionality is necessary to give a complete picture of the dispersion behavior of an RF wave in a CRLH structure [19]. This is especially true near the region where there is the transition between the backward and forward regimes, which is important for the leaky-wave antenna applications [20]. A possible evaluation procedure corresponds to the image analysis of spatial variation of in (3) along the direction of wave propagation. In this context, the scheme of field magnitude images given by the LEI camera system [12] is more suitable, where a two-dimensional variais visualized. Unfortunately enough, a practical tion of evaluation trial would be difficult for the present LEI setup and CRLH samples; the maximum extent of the present LEI observation view is too small with respect to expected values of for the present samples. Either size extension of the LEI observation view or a high sample would lead to a demonstration of this evaluation approach. Regarding possible errors in the evaluation, the effect of the incoherent phase relationship is negligible because of the properties of the LEI observations. Consideration should, however, be taken on the effect of the sapphire plate and EO crystal plate, whose relative permittivity are rather high. Presence of those high materials on the transmission lines modifies the interdigital capacitance and adds parasitic capacitance to the righthanded capacitance. Careful investigation is therefore necessary for the evaluated values, especially in the LH-band. The discrepancies in the LH-bands observed in Figs. 8(b) and 9 might originate from this effect, but it seems possible to apply systematic corrections to the data, which would be a future work. VI. CONCLUSION In this paper, we report on our trial applying a novel experimental approach to real-time visual observations and dispersion characterizations of RF waves in fundamental metamaterial structures, CRLH transmission lines. These unique functionalities are provided by the RF wave visualization capability of the live electro-optic imaging scheme.
Not only are successful visualizations of forward- and backward-propagating RF waves demonstrated, but their characteristic features as waves at boundaries and in the extreme short wavelength region are also visually investigated. The RF wave imagery is shown to be useful for evaluating their propagation constants. The dispersion diagrams thus derived are discussed and compared with those conventionally obphase data. tained from unwrapped With satisfactory results of the trial in the above, the potential of the LEI observation scheme seems to be partially proved as an efficient and effective tool for analyses, characterizations, and diagnoses of dynamical and dispersion characteristics of RF waves within metamaterial structures. ACKNOWLEDGMENT The authors would like to thank Prof. Y. Matsushima of Waseda University and Prof. T. Morimoto of KNCT for their support. They would also like to thank K. Nakagawa of NICT for her assistance, Y. Imajo of Stack Electronics for his technical support, and Prof. J. Hamasaki for his encouragement. REFERENCES [1] V. G. Veselago, “The electrodynamics of substances with simultaneously negative values of " and ,” Soviet Phys. Uspekhi, vol. 10, no. 4, pp. 509–514, Jan.–Feb. 1968. [2] R. A. Shelby, D. R. Smith, and S. Schults, “Experimental verification of a negative index of refraction,” Science, vol. 292, pp. 77–79, Apr. 2001. [3] R. W. Ziolkowski and H. Heyman, “Wave propagation in media having negative permittivity and permeability,” Phys. Rev. E, vol. 64, Oct. 2001, 056625 (15 pp.). [4] C. Caloz and T. Itoh, “Novel microwave devices and structures based on the transmission line approach of meta-materials,” in Proc. IEEE MTT-S Int. Symp., Philadelphia, PA, Jun. 2003, pp. 195–198. [5] A. Sanada, C. Caloz, and T. Itoh, “Characteristics of the composite right/left-handed transmission line,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 68–70, Feb. 2004. [6] C. Caloz and T. Itoh, “Transmission line approach of left-handed materials and microstrip implementation of an artificial LH transmission line,” IEEE Trans. Antennas Propag., vol. 52, no. 5, pp. 1159–1166, May 2004. [7] G. V. Eleftheriades, A. Grbic, and M. Antoniades, “Negative-refractive-index transmission-line metamaterials and enabling electromagnetic applications,” in Proc. IEEE Antennas Propag. Int. Symp., Jun. 2004, pp. 1399–1402. [8] A. Lai, C. Caloz, and T. Itoh, “Composite right/left-handed transmission line metamaterials,” IEEE Microw. Mag., vol. 5, no. 3, pp. 67–74, Sep. 2004. [9] C.-J. Lee, K. M. K. H. Leong, and T. Itoh, “Composite right/left-handed transmission line based compact resonant antennas for RF module integration,” IEEE Trans. Antennas Propag., vol. 54, no. 8, pp. 2283–2291, Aug. 2006. [10] K. Sasagawa and M. Tsuchiya, “Real-time monitoring system of RF near-field distribution images on the basis of 64-channel parallel electro-optic data acquisition,” IEICE Electron. Express, vol. 2, pp. 600–606, Dec. 2005. [11] K. Sasagawa, A. Kanno, T. Kawanishi, and M. Tsuchiya, “Live electrooptic imaging system based on ultra-parallel photonic heterodyne for microwave near-fields,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2782–2791, Dec. 2007. [12] K. Sasagawa, A. Kanno, and M. Tsuchiya, “Real-time digital signal processing for live electro-optic imaging,” Opt. Exp., vol. 17, no. 18, pp. 15641–15651, Oct. 2009. [13] M. Tsuchiya and T. Shiozawa, “RF wave visions for circuit analyses and diagnoses by live electrooptic imaging camera,” Int. J. Microw. Wireless Technol., to be published. [14] LEI Camera Website [Online]. Available: http://lei-camera.nict.go.jp/
TSUCHIYA et al.: VISUAL OBSERVATIONS OF CHARACTERISTIC BEHAVIORS OF RF WAVES IN CRLH-TLs
[15] M. Tsuchiya, A. Kanno, K. Sasagawa, and T. Shiozawa, “Image and/or movie analyses of 100-GHz traveling waves on the basis of real-time observation with live electrooptic imaging camera,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3373–3379, Dec. 2009. [16] A. Kanno, K. Sasagawa, T. Shiozawa, and M. Tsuchiya, “Real-time visualization of electromagnetic waves propagating in air using live electro-optic imaging technique,” Opt. Exp., vol. 18, no. 10, pp. 10029–10035, May 2010. [17] M. Tsuchiya and T. Shiozawa, “Visual observation of internal signal transmissions in a millimeter-wave amplifier module,” in Proc. 40th Eur. Microw. Conf. 2010, Paris, Sep. 2010, accepted for presentation.. [18] M. Tsuchiya and T. Shiozawa, “Dispersion characterization of CRLH transmission lines by electro-optic visions of forward/backward waves,” in Dig. 2010 Int. Microw. Symp., Anaheim, CA, May 2010, pp. 29–32, TU1B-2. [19] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications. New York: Wiley-Interscience, 2006. [20] S. Paulotto, P. Baccarelli, F. Frezza, and D. R. Jackson, “Full-wave modal dispersion analysis and broadside optimization for a class of microstrip CRLH leaky-wave antennas,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 12, pp. 2826–2837, Dec. 2008. Masahiro Tsuchiya was born in Shizuoka, Japan, on Sep. 28, 1960. He received the B.E., M.E., and Ph.D. degrees in electronic engineering from The University of Tokyo, Tokyo, Japan, in 1983, 1985, and 1988, respectively. In 2003, he joined the National Institute of Information and Communications Technology (NICT), Tokyo, and is currently an NICT Executive Researcher. His research interests include electronics and optoelectronics for info-communication systems. Dr. Tsuchiya is a member of the Institute of Electronics, Information, and Communication Engineers, Japan, and the Japan Society of Applied Physics.
4101
Takahiro Hashiba was born in Ehime, Japan, on Apr. 2, 1986. He received the A.Eng. degree from Niihama National College of Technology, Niihama, Japan, in 2007. He is currently pursuing the Engineering degree in the advanced course of Kagawa National College of Technology, Kagawa, Japan. In 2007, he joined the Kinden Corporation. His research interests include microwave photonics and antenna design. Mr. Hashiba is a member of the Institute of Electronics, Information, and Communication Engineers, Japan.
Takahiro Shiozawa was born in Tokyo, Japan, on Dec. 14, 1957. He received the B.S. degree in electrical engineering from the Tokyo University of Agriculture and Technology, Tokyo, Japan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from The University of Tokyo in 1982 and 2005, respectively. In 1982, he joined the Yokogawa Electric Corporation. In 1990, he moved to the Opto-Electronics Research Laboratories, NEC Corporation. He is now with the Kagawa National College of Technology, Kagawa, Japan. His research interests include optical electronics and optical communication systems. Prof. Shiozawa is a member of the Institute of Electronics, Information, and Communication Engineers Japan, the Institute of Image Information and Television Engineers, the Japan Society of Applied Physics, the Japan Society of Medical Imaging and Information Sciences, and the Forum for Advancement of Three Dimensional Image Technology and Arts.
4102
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
22-pJ/bit Energy-Efficient 2.4-GHz Implantable OOK Transmitter for Wireless Biotelemetry Systems: In Vitro Experiments Using Rat Skin-Mimic Jaeyoung Jung, Student Member, IEEE, Siqi Zhu, Student Member, IEEE, Peng Liu, Student Member, IEEE, Yi-Jan Emery Chen, Senior Member, IEEE, and Deukhyoun Heo, Member, IEEE Abstract—A wireless biotelemetry system operates in vivo, which requires low power consumption for long-lasting operation, high output power for long transferable distance, and high throughput for incorporating many recording electrodes and transmitting raw brain signals. An implantable 2.4-GHz on–off keying (OOK) transmitter with high throughput and high energy efficiency for wireless biotelemetry systems has been designed in a 0.18- m CMOS process. To balance power consumption and output power, a complementary voltage-controlled oscillator for the proposed transmitter is employed. Power consumption of the transmitter is reduced by switching the oscillator on and off to generate an OOK modulated signal. The transient delay for the transmitter is derived and applied to implement a high throughput transmitter. Rat skin-mimic emulating the implant environment such as electrical properties of the skin is used to measure the proposed transmitter in vitro. To transmit 136 Mb/s of OOK data, the transmitter consumes 3 mW of dc power and generates an output power of 14 dBm. The transmitter achieves energy efficiency of 22 pJ/bit with an associated bit error rate of 1.7 10 3 without using an error correction scheme. Index Terms—Body area network, brain–computer interface (BCI), energy efficiency, high data rate, in vitro experiments, low power, skin mimicking, tissue mimicking, wireless transceiver.
I. INTRODUCTION
B
RAIN–COMPUTER interface (BCI) has become the mainstream in brain science and prostheses. BCI transfers recorded neural signals from the brain to an outside computer, which interprets the signal using parametric and nonparametric algorithms [1]. Recording spontaneous brain signals is the key in building realistic BCI systems because most interpretation algorithms rely on a correlation between activities and brain signals [1]. The natural motions of an animal allow us to obtain real brain activities as opposed to motions under restricted circumstances such as attached wires on the body or limited Manuscript received July 02, 2010; revised September 24, 2010; accepted September 29, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported in part by NIMH R01MH71830, R01-MH60263, and by the National Science Foundatioin (NSF) under NSF CAREER Award ECCS-0845849. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. J. Jung, S. Zhu, P. Liu, and D. Heo are with the Department of Electrical and Computer Engineering, Washington State University, Pullman, WA 99164 USA (e-mail: [email protected]). Y.-J. E. Chen is with the Department of Electrical Engineering, Graduate Institute of Electronics Engineering, National Taiwan University, Taipei 106, Taiwan. Digital Object Identifier 10.1109/TMTT.2010.2088137
Fig. 1. Block diagram of a neural recording system with the proposed wireless transmitter.
movements in laboratory cages [2]. Spontaneous brain signals resulting from natural activities can be acquired using wireless transceivers, as shown in Fig. 1. To investigate the practical correlation, the transmission of raw spontaneous brain signals is required instead of compressed brain signals such as firing rate; moreover, increasing the number of recording electrodes can improve accuracy of the investigation. Raw brain signals typically consist of a large amount of data; therefore, a high throughput wireless link is needed. A typical biotelemetry wireless link consists of a transmitter and a receiver located inside and outside of an animal body. In vitro experiments using live animals or animal tissue are necessary in order to test the wireless link in the development process. Utilizing live animals or tissue is costly and requires unnecessary animal sacrifice. Several researches have presented tissue-mimicking materials and a scalp phantom to replace in vitro experiments that use animals [3]–[5]. Tissue-mimicking materials and a scalp phantom emulate the implant environment; e.g., electrical properties of real rat skin or tissues of human beings. In this study, the proposed transmitter performance is measured using the rat skin-mimic. This skin-mimic presents well-matched electrical properties to real rat skin in terms of and permittivity for the 2.4-GHz North conductivity American industrial, scientific, and medical (ISM) band [3]. In this paper, measured data with rat skin-mimic will be compared with the data measured with real rat skin, as shown in [6]. This paper describes a wireless transmitter, as presented in Fig. 1. The inner device of the biotelemetry wireless link is mainly limited by power budget and by small system size so that an implanted transmitter can have long-lasting operation within the body under a limited power source, e.g., a battery or an energy harvesting circuit. The design guidelines for the proposed wireless transmitter focus on increasing the throughput and lowering the power consumption. The proposed transmitter achieves high throughput and low power consumption through
0018-9480/$26.00 © 2010 IEEE
JUNG et al.: 22-pJ/bit ENERGY-EFFICIENT 2.4-GHz IMPLANTABLE OOK TRANSMITTER
4103
TABLE I COMPARISON OF DIFFERENT METHODS FOR IMPLEMENTATION OF HIGH DATA-RATE TRANSMITTERS FOR BIOTELEMETRY SYSTEMS AND SHORT-DISTANCE-RANGE TRANSCEIVERS
on–off keying (OOK) modulation. The transmitter combines an OOK modulator and an oscillator for low power consumption; moreover, the transient delay for the transmitter is derived and applied to implement a high throughput transmitter. Energy efficiency of wireless transmitters is represented by energy per bit, which is the average amount of energy required to transmit a single bit of data [7]. The transmitter is able to achieve power efficiency of 22 pJ/bit when tested under the following conditions: data rate of 136 Mb/s, distance of 20 cm, and nonline-of-sight (LOS) by rat skin-mimic. This paper is organized as follows. Section II presents previous implementations of transmitters for BCI. Sections III–V cover in vitro experiments with rat skin-mimic and a theoretical analysis and circuit design details of the proposed transmitter. The measurement results are presented in Section VI and are followed by a conclusion in Section VII.
II. PREVIOUS WORKS In recent years, numerous methods for the realization of low power and high data rate wireless transmitters have been presented in the literature. Table I summarizes diverse transmitters along with the advantages and disadvantages of each of those. The common implementation for BCI wireless transmitters uses the 433-MHz Wireless Medical Telemetry Service (WMTS) frequency band. A transmitter with the 433-MHz WMTS band has advantages in transmitter power consumption from minimizing free-space path loss and operation frequency; however, poor antenna efficiency due to size is an unavoidable drawback. The 433-MHz WMTS band transmitters require larger antennas
than the 2.4-GHz ISM band transmitter although both transmitters demonstrate high throughput with low power consumption [7]–[10]. In order to reduce the size of the antenna in the transmitter, use of the 2.4-GHz ISM frequency band or higher frequency bands have been proposed [11], [12]. Although the size of the antenna is reduced by a higher frequency band, these BCI wireless transmitters suffer from path loss due to the animal skin. The power level of a transmitted signal is attenuated by the animal skin. The penetration depth in animal skin is estimated by the level of the degradation, as shown in (1) [13] (1) where is the penetration depth, is the frequency of the signal, is the real part of the tissue permittivity, is the tissue permeability, and is the tissue conductivity. The 2.4-GHz ISM and higher frequency bands are attenuated more than the 433-MHz WMTS frequency band, which limits the available penetration depth for the transmitters. This drawback is acceptable given that size of the system is the prime design consideration. The transconductance telemetry system using inductive coupling was developed to provide power and transmit data without wire connections [14]. The proposed telemetry system in [14] is a duplex transceiver that can transfer a high throughput up to 200 Mb/s; however, it has drawbacks for BCI systems because the animals could not freely move due to the limitation of the distance between the two inductive coupling coils ( 5 cm). In another approach, ultra-wideband (UWB) transmitters were proposed [15], [16]. The UWB transmitter designed for
4104
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
on-the-fly spike sorting achieved a data rate of 90 Mb/s using a power consumption of 1.6 mW; however, the UWB transmitter can suffer from short available communication distance due to the degradation of transmitted UWB signals over skin according to (1) [15]. Another UWB transmitter consumes 90 mW, which is the critical disadvantage for long-lasting operation within the body [16]. Furthermore, the UWB transmitter uses a wide frequency band, typically 1 GHz. This wideband operation can cause complexity on the circuit because the degradation of the signal depends on frequency according to (1).
III. RAT SKIN-MIMIC FOR In Vitro EXPERIMENTS Tissue-mimicking material consisting of de-ionized water, diethylene glycol butyl (DGBE), and polyethylene glycol mono phenyl ether (Triton X-100) is used as rat skin-mimic [3]. The mixture of skin-mimic is formed at room temperature. Table II summarizes the content of rat skin-mimic. Electrical properties of the rat skin-mimic are S/m and at the 2.4-GHz ISM band. Compared to real rat skin at the 2.4-GHz of the rat skin-mimic is well matched, and of ISM band, the rat skin-mimic is 18% higher [3]. In Fig. 2(a), the proposed transmitter, with the exception of the top of the antenna, was wrapped by epoxy to be protected from water, blood, and tissue of rat skin (Fig. 2(b), mm) and rat skin-mimic (Fig. 2(c), average skin thickness mm) for in vitro experiments. Four sets of rat thickness skin were obtained from 15-week-old rats. All measurements were done within 30 min of the excision in order to maintain the conditions of the rat skin comparable to that of a living rat. When output power level of the transmitter is 6 dBm, the detected power level along different distances between a transmitter and a receiver is plotted, as shown in Fig. 3. The detected power levels with rat skin-mimic and with rat skin are 20 and 25 dB lower than the detected power level without rat skin, respectively. In (1), the medium with higher for RF signal traveling shows longer penetrating depth resulting in less power degradation. Difference of between the rat skin and rat skin-mimic can account for the difference of the detected power level between the rat skin and rat skin-mimic.
Fig. 2. (a) Side view of the transmitter. (b) Rat skin (average thickness of 3 mm). (c) Rat skin-mimic (thickness of 3 mm).
Fig. 3. Detected power level along different distances between a transmitter and a receiver using the proposed transmitter when output power level of the transmitter is 6 dBm.; the dotted line shows attenuation through free-space propagation, and the dotted–dashed line and the solid line show attenuation when the transmitter was covered by rat skin-mimic and the real skin.
0
IV. DESIGN CONSIDERATIONS The primary design considerations for the proposed transmitter are aimed at minimizing system size and improving energy efficiency. The size of the system is mainly optimized by choosing the proper operational frequency corresponding to the size of the antenna; moreover, energy efficiency is compromised by selecting a modulation scheme and using high energy-efficient circuits. A. Operational Frequency Multiple license-free frequency bands are available, such as the 433-MHz WMTS band and the 2.4-GHz ISM band. The size of an inner device and available commercial antennas are considered when selecting operational frequency. The decrease in
TABLE II RECIPES FOR RAT SKIN-MIMIC FOR 2.4-GHz ISM BAND
the penetration depth of the animal skin due to increased frequency band was compensated for by maximizing the sensitivity of the receiver using high dynamic-range circuits. Consequently, the 2.4-GHz ISM frequency band was chosen to take advantage of a smaller size of 2.4-GHz antennas as compared to 433-MHz antennas.
JUNG et al.: 22-pJ/bit ENERGY-EFFICIENT 2.4-GHz IMPLANTABLE OOK TRANSMITTER
Fig. 4. Proposed transmitter; the transmitter including 8 9 2 mm .
2 2
antenna is
B. Spatial Environment The transmitter operates in the distance range of 20 cm across animal skin spatial environment. Fig. 3 shows the detected power level versus distance under three conditions: freespace LOS and non-LOS due to rat skin-mimic (thickness of 3 mm) and real rat skin (average thickness of 3 mm). The receiver should detect the signal for a 65-dB path loss, which corresponds to a 30-cm LOS loss at 2.4 GHz with a 25-dB loss due to rat skin and an additional 10-dB loss margin. This dynamic path loss can be resolved by using high dynamic-range components on the receiver such as variable gain amplifiers or automatic gain control for a receiver. C. Modulation and Data Rate When selecting the modulation, the following criteria should be considered: power consumption, data throughput, spectral efficiency, and circuit complexity. The transmitter uses OOK modulation. Although the OOK modulation has disadvantages in terms of spectral inefficiency, susceptibility to interference and higher signal-to-noise ratio (SNR) demand, the benefits of OOK modulation such as minimized power consumption, reduced harmonics, and simple circuit structure outweigh the disadvantages [7], [8], [10], [15], [17]. In addition, OOK modulation is more reliable in terms of suppressing interference and harmonics when compared to other modulations used in body-area dynamic path loss environments [18]. In addition, the practical limitation for data rate is given by the bandwidth of the antenna. An off-the-shelf antenna with a 3 dB-bandwidth of 150 MHz was employed for this study. V. CONSTRUCTION OF THE WIRELESS LINK A. Wireless-Link Architecture A wireless transmitter for biotelemetry systems was implemented, as shown in Fig. 4. Separate inner (transmitter) and outer (receiver) units were built. In this section, we describe the transmitter and receiver designed for both the outer and inner units.
Fig. 5. Transmitter chip; the die is 0.7
4105
2 1 mm .
was composed of a 2.4-GHz antenna, a low-noise amplifier variable-gain amplifier (LNA-VGA) chain, an OOK demodulator, and a comparator. The LNA-VGA chain amplifies sensed RF signals by 65 dB to compensate for dynamic non-LOS path loss. The OOK demodulator was implemented with a Schottky diode and a low-pass-filter. The OOK demodulator can work with low power signals due to low threshold voltage of the Schottky diode. The comparator converts output of the envelope detector to the transistor–transistor logic (TTL) level digital logic signals. Hysteresis was employed to the comparator to provide a noise robust design. C. Inner Unit: Transmitter The transmitter was built in a 0.18- m CMOS technology, as shown in Fig. 5. The transmitter included a 2.4-GHz signal generator, an OOK modulator, and a power amplifier (PA). The transmitter, a 2.4-GHz off-the-shelf omni-directivity antenna and electrostatic discharge (ESD) protectors were mounted on the transmitter system. The transmitter and transmitter system occupied 0.7 1.0 mm and 8 9 2 mm , respectively. The proposed OOK transmitter transferring raw recording brain signals must be high throughput while consuming low dc power. For a high throughput transmitter, a fast startup and turn-off oscillator is essentially required, since an oscillator is the main startup and turn-off delay among the components of a transmitter. The average dc power consumed by the transmitter can be decreased by 38% by turning off all components when the OOK modulation signal is “0,” as shown in Table III. In addition, the output power of the transmitter, given its low power consumption, should be high enough to transfer data over a distance of 20 cm. To satisfy those three requirements, several voltage-controlled oscillator (VCO) structures were examined: a single-ended Colpitts (SEC) VCO, a current reused (CR) VCO, a single cross-coupled (SCC) pair VCO, and a compleshowing mentary (C) VCO. The energy transfer efficiency the relation between output power and power consumption is defined as (2)
B. Outer Unit: Receiver The BCI wireless link employs OOK modulation; therefore, the receiver could be implemented with a simple structure. It
is the tank energy, where quency of the VCO
is oscillation fre,
4106
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 7. Simplified second-order RLC network model for analysis of the switching transient of an oscillator.
Fig. 6. Schematic of the proposed transmitter.
TABLE III COMPARISON OF AVERAGE DC CURRENT CONSUMPTION: CASE A—ALL COMPONENTS OF THE TRANSMITTER ARE TURNED OFF WITH A BIT OF “0” CASE B—THE TRANSMITTER IS ALWAYS TURNED ON
is dc power consumption,
is quality factor of the tank is drain current of the is the equivalent tank inductance, current source, is the equivalent tank capacitance, is the equivalent tank negative resistance, and is the oscillation amplitude constant [19]. An SEC VCO has higher energy transfer efficiency; however, it necessitates higher gain for reliable startup, and its single-ended structure is susceptible to common-mode noise sources such as substrate and supply noise [19], [20]. In addition, a CR VCO has less startup time than a SEC VCO or an SCC VCO [10]. The supply current of a CR VCO is reduced by half compared to that of the SCC VCO, while both oscillators have the same negative conductance [10]. When both oscillators operate in the current limited regime at the same operation frequency, a CR VCO and an SCC VCO have 50% and 25% of the energy transfer efficiency of a C-VCO, respectively. Consequently, a C-VCO was chosen in order to implement an oscillator with fast startup and high energy transfer efficiency. The transmitter generates a 136-Mb/s nonreturn-to-zero (NRZ) encoded OOK signal. A schematic of the transmitter chip is shown in Fig. 6. A C-VCO produces a 2.4-GHz carrier –M5 provides double cross-coupled pairs as signal. M2 , and the negative resistance are negative resistance. optimized for the lowest phase noise [20]. The designed C-VCO has an close to 31%. The OOK modulator is integrated to a current source M1 of the C-VCO to generate encoded OOK
signals. The inverter type PA amplifies OOK encoded signals, and it is connected to the 2.4-GHz antenna. An ON/OFF message signal is applied to a gate of M1 . If the bit transmitted has a value of “0,” all transmitter circuits in the transmitter are turned off to save power. During a “0” bit, biasing current shuts off. Output of the VCO after a dc blocking capacitor is 0 V so the PA ideally does not consume any power. If the bit transmitted has a value of “1,” the current source is turned on. Output voltage of the VCO swings between 0.32 V. The PA amplifies the output voltage before transmitting at the antenna. D. Theoretical Analysis: Oscillation Turn ON/OFF Transients Encoded OOK signals are generated by turning ON/OFF the current source of the complementary VCO. When the current source is switched ON (or OFF), output of the VCO exponentially grows (or shrinks). While the PA is always switched on, delay of the PA contributed to transient delay of the transmitter system is negligible. The switching transient of the VCO determines the transient delay of the transmitter related to the OOK modulation rate. To analyze the maximum throughput of the transmitter, the rising time during oscillation startup and the falling time during oscillation end were investigated. To simplify the analysis, a general second-order RLC network for the VCO tank was employed, as shown in Fig. 7 [20]. and are functions of size of transistors, as shown in [20]
(3)
where and are the parasitic capacitance of pMOS and nMOS such as junction capacitance, respectively, is the parasitic capacitance of a spiral inductor, is is varactor capacithe load capacitance of the VCO tank, is the parasitic conductance of the varactor, is the tance, is the output parasitic conductance of a spiral inductor, and conductance of pMOS and nMOS. The transient delay for rising and falling was assumed to be the same. By applying Kirchhoff’s Current Law, the voltage across the tank capacitance is expressed in (4) as follows: (4)
JUNG et al.: 22-pJ/bit ENERGY-EFFICIENT 2.4-GHz IMPLANTABLE OOK TRANSMITTER
4107
Fig. 8. Optimization of the VCO for the transmitter; tr1 and tr2 are determined is decided by oscillaby tuning range of the oscillation frequency, while A shows the lowest phase noise tion condition. Intersection of tr1, tr2, and A is the transient delay when the under given power consumption [20]. t transmitter turns ON/OFF.
The envelope of the exponentially growing (or shrinking) is expressed in terms of the output of the VCO damping ratio , which is defined by Exponential decay frequency Oscillation frequency (5) The current source is switched ON/OFF with unity input function . Therefore, the step response for the general second-order RLC network (Fig. 7) in the -domain is (6) By applying the inverse Laplace transformation, after removing a dc component is expressed in (7) as follows:
(7) By the definition of the rising/falling time, the transient delay is the time period between 90% and 10% of the max. imum envelope voltage The analytical closed form for the transient delay is presented in (9) at the bottom of the following page. When the maximum is stated in terms of envelope voltage is 0.32 V, from (7) and (8)
(8) plane for optimization of the Feasible design points in the complementary VCO are shown in Fig. 8. tr1 and tr2 were determined by tuning range of the oscillation frequency, and
Fig. 9. Simulated and calculated transient response of the VCO. (a) Rising transient time. (b) Falling transient time. (a) Rising transient time—the top (a current source is switched ON) and the bottom (the dotted line is calculated by (7) and the solid line is simulated). (b) Falling transient time—the top (a current source is switched OFF) and the bottom (the dotted line is calculated by (7) and the solid line is simulated).
was decided by the oscillation condition [20]. The vertical axis in Fig. 8 represents available capacitance as a sum of a varactor, parasitic capacitances of the inductor, and parasitic capacitances of the cross-coupled pair while the horizontal axis shows size of the cross-coupled pair of the C-VCO [20]. Quality of the transmitted signal corresponds to the phase noise of the C-VCO. Higher quality of the transmitted signal could be achieved by lowering the phase noise of the C-VCO. When the nMOS and pMOS cross-coupled pair of the C-VCO are sized according to , the C-VCO repthe shade intersection area by tr1, tr2, and resents the lowest phase noise given the feasible design points [20]. of the C-VCO Furthermore, the transient delay can be estimated with (9). Analysis of the transient delay in Fig. 8 provides for the certain range of sizes for the cross-coupled pairs of the C-VCO. The transmitter is aimed to transfer OOK data at the rate of 200 Mb/s. The allowable maximum
4108
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 10. Transient simulation of the transmitter with 200-Mb/s OOK message. Fig. 12. Data transmission at 136 Mb/s at the distance of 20 cm with rat skinmimic.
TABLE IV SUMMARY OF THE MEASURED PERFORMANCE OF THE PROPOSED TRANSMITTER
Fig. 11. Measured OOK transmitter modulated output spectra with 136-Mb/s PRBS OOK data.
transient delay was 10 ns. A tuning range of 400 MHz with the center oscillation frequency of 2.7 GHz was chosen for the analysis of the transient delay with the design margin. The tank
of 2.3 nH was implemented in the C-VCO. inductance The transient delay curves from (9) were added to the shade intersection in Fig. 8. At point A, the C-VCO operates at the highest oscillation frequency of 2.9 GHz with the tank capacitance of 1.3 pF and the transient delay of 7 ns. At point B, the oscillation frequency of the C-VCO can be shifted to 2.4 GHz by increasing varactor capacitance by 600 fF, thus achieving a transient delay of approximately 8 ns, while the size of the cross-
where
(9)
JUNG et al.: 22-pJ/bit ENERGY-EFFICIENT 2.4-GHz IMPLANTABLE OOK TRANSMITTER
4109
TABLE V COMPARISON WITH RECENTLY REPORTED HIGH DATA RATE. TRANSMITTERS FOR BIOTELEMETRY SYSTEMS AND SHORT DISTANCE-RANGE TRANSCEIVERS
coupled pair of the C-VCO was retained the same as at point A. In practical design, the tuning range of 180 MHz with the center oscillation frequency of 2.7 GHz was selected. The cross-coupled pair of the C-VCO was sized to 45 m 0.18 m corresponding to the highest oscillation frequency of approximately 2.8 GHz; moreover, the varactor capacitance was set to 280 fF corresponding to the tuning range of 180 MHz. Consequently, the C-VCO operating in the range of the shadow intersection area is additionally optimized not only to achieve the transient delay of less than 10 ns, but also to keep the lowest phase noise. Fig. 9 shows the rising/falling transient response, comparing simulation results to estimation by (7). The transient simulation of the transmitter incorporated with 200-Mb/s ON/OFF message is shown in Fig. 10. VI. In Vitro MEASURMENTS The proposed transmitter is tested with rat skin and rat skinmimic, as shown in Fig. 2. Measured data with rat skin is presented in [6]. In this paper, measurements were performed using rat skin-mimic. Path loss due to rat skin-mimic is 5 dB less than that of the real rat skin, as shown in Fig. 3. The wireless link including the proposed transmitter can transfer 136-Mb/s OOK data at a distance of 20 cm through a communication channel incorporating rat skin-mimic. Performance of the wireless link with rat skin-mimic shows good agreement with the measured data in [6]. Table IV summarizes the performance of the transmitter. The measured transmitter typically operates at 2.46 GHz and transmits up to 136-Mb/s OOK data. Measurements were performed under the following conditions: the maximum data rate of 136 Mb/s, 20-cm distance, and non-LOS. Fig. 11 shows a typical OOK modulated transmitter output spectrum for an output power of 14 dBm, as measured with a Rohde–Schwarz
FSP spectrum analyzer. The bit error rate (BER) was measured using an Anritsu ME522A BER tester. The presented 1 pseudorandom bit sequence (PRBS) BER with 2 was 1.7 10 . The transmitter consists of a VCO, OOK modulator, and a PA, but does not include any error-correction circuits. In this case, the measured BER can be improved by using an error-correction code. Hamming and Golay codes are strong candidates to implement a low-power circuitry for an error-correction code. For example, Hamming and Golay codes can enhance the BER up to 10 with additional energy consumption of 3 and 4 pJ/bit, respectively [21]. OOK message signals and recovered waveforms with 136-Mb/s OOK data are demonstrated in Fig. 12. Table V compares the performance of this transmitter to recently reported wireless links for biotelemetry and short-range distance communication in the literature. The inductive coupling wireless link is presented [14]. The method of this transceiver cannot transfer data over 5 cm despite its advantages of a 200-Mb/s data rate. Analogous to the inductive coupling wireless link, UWB wireless links were introduced in [15] and [16]. The UWB method, however, requires high power consumption to transmit data over a 20-cm distance, including animal skin. The narrowband transmitter using the 433-MHz WMTS was shown in [10]. The volume of this transmitter is at least four times greater than that of the proposed transmitter due to poor antenna efficiency. VII. CONCLUSION A 136-Mb/s energy-efficient wireless transmitter for biotelemetry systems has been presented. This transmitter was implemented by incorporating an energy efficient VCO structure in which the transmitter is turned off when the OOK modulation signal is “0.” In addition, modulation speed of the
4110
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
transmitter was optimized by achieving minimum transition delay of the VCO. Consequently, this transmitter achieved a transferable distance of 20 cm and high energy efficiency of 22 pJ/bit at a 136-Mb/s data rate.
ACKNOWLEDGMENT The authors would like to thank Dr. Y. S. Kim, Han-Dong University, Pohang, Korea, Dr. D. M. Rector, College of Veterinary Medicine, Washington State University (WSU), Pullman, for his help and Dr. M. R. Tofighi, Pennsylvania State University, University Park, for his comments. The authors also wish to acknowledge the assistance and support of the National Science Foundation (NSF) Center for Design of Analog–Digital Integrated Circuits (CDADIC), National Institute of Mental Health (NIMH), and Taiwan National Chip Implementation Center, Taipei, Taiwan.
[15] M. Chae, W. Liu, Z. Yang, T. Chen, J. Kim, M. Sivaprakasam, and M. Yuce, “A 128-channel 6 mW wireless neural recording IC with on-the-fly spike sorting and UWB tansmitter,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., 2008, pp. 146–603. [16] A. Medi and N. Won, “A high data-rate energy-efficient interferencetolerant fully integrated CMOS frequency channelized UWB transceiver for impulse radio,” IEEE J. Solid-State Circuits, vol. 43, no. 4, pp. 974–980, Apr. 2008. [17] F. G. Stremler, Introduction to Communication Systems, 3rd ed. Reading, MA: Addison-Wesley, 1992. [18] A. C. W. Wong, G. Kathiresan, C. K. T. Chan, O. Eljamaly, O. Omeni, D. McDonagh, A. J. Burdett, and C. Toumazou, “A 1 V wireless transceiver for an ultra-low-power SoC for biotelemetry applications,” IEEE J. Solid-State Circuits, vol. 43, no. 7, pp. 1511–1521, Jul. 2008. [19] R. Aparicio and A. Hajimiri, “A noise-shifting differential Colpitts VCO,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1728–1736, Dec. 2002. [20] D. Ham and A. Hajimiri, “Concepts and methods in optmization of integrated LC VCOs,” IEEE J. Solid-State Circuits, vol. 36, no. 6, pp. 896–909, Jun. 2001. [21] C. Desset and A. Fort, “Selection of channel coding for low-power wireless systems,” in IEEE Veh. Technol. Conf., 2003, pp. 1920–1924. [22] J. Yoo, L. Yan, S. Lee, Y. Kim, and H. Yoo, “A 5.2 mW self-configured weareable body sensor network controller and a 12 W wirelessly powered sensor for a continuous health monitoring system,” IEEE J. Solid-State Circuits, vol. 45, no. 1, pp. 178–188, Jan. 2010.
REFERENCES [1] T. W. Berger and D. Glanzman, Toward Replacement Parts for the Brain: Implantable Biomimetic Electronics as Neural Prostheses. Cambridge, MA: MIT Press, 2005. [2] P. Irazoqui-Pastor, I. Mody, and J. W. Judy, “In-vivo EEG recording using a wireless implantable neural transceiver,” in Proc. IEEE EMBS Neural Eng. Conf., 2003, pp. 622–625. [3] T. Karacolak, R. Cooper, and E. Topsakal, “Electrical properties of rat skin and design of implantable antennas for medical wireless telemetry,” IEEE Trans. Antennas Propag., vol. 57, no. 9, pp. 2806–2812, Sep. 2009. [4] T. Karacolak, A. Z. Hood, and E. Topsakal, “Design of a dual-band implantable antenna and development of skin mimicking gels for continuous glucose monitoring,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 1001–1008, Apr. 2008. [5] R. Watry, M.-R. Tofighi, U. Kawoos, and A. Rosen, “Characterization of implantable antennas for intracranial pressure monitoring: Reflection by and transmission through a scalp phantom,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2366–2376, Oct. 2008. [6] J. Jung, S. Zhu, P. Liu, L. Wang, T. Luo, Y. Chen, and D. Heo, “Energy efficient 136 Mb/s OOK implantable transmitter for wireless brain computer interface,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, 2010, pp. 1604–1607. [7] D. C. Daly and A. P. Chandrakasan, “An energy-efficient OOK transceiver for wireless sensor networks,” IEEE J. Solid-State Circuits, vol. 42, no. 5, pp. 1003–1011, May 2007. [8] J. Bohorquez, A. Chandrakasan, and J. Dawson, “A 350 W CMOS MSK transmitter and 400 W OOK super-regenerative receiver for medical implant communications,” IEEE J. Solid-State Circuits, vol. 44, no. 4, pp. 1248–1259, Apr. 2009. [9] Y. Liu and T. Lin, “A 3.5-mW 15-Mbps O-QPSK transmitter for realtime wireless medical imaging applications,” in IEEE Custom Integr. Circuits Conf., 2008, pp. 599–602. [10] J. Ryu, M. Kim, J. Lee, B. Kim, M. Lee, and S. Nam, “Low power OOK transmitter for wireless capsule endoscope,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 855–858. [11] H. Miranda, V. Gilja, C. Chestek, K. V. Shenoy, and T. H. Meng, “A high-rate long-range wireless transmission system for multichannel neural recording application,” in IEEE Int. Circuits Syst. Symp., 2009, pp. 1265–1268. [12] A. Tomkins, R. Aroca, and T. Yamamoto, “A zero-IF 60 GHz 65 nm CMOS transceiver with direct BPSK modulation demonstrating up to 6 Gb/s data rates over a 2 m wireless link,” IEEE J. Solid-State Circuits, vol. 44, no. 8, pp. 2085–2099, Aug. 2009. [13] D. A. Olver, Microwave and Optical Transmission. New York: Wiley, 1992. [14] S. Lee, J. Yoo, and H. Yoo, “A 200-Mbps 0.02-nJ/b dual-mode inductive coupling transceiver for cm-range multimedia application,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 56, no. 5, pp. 1954–1957, May 2009.
Jaeyoung Jung (S’05) received the B.S. degree in electrical engineering and computer science from Han-Dong University, Pohang, Korea, in 2002, the M.S. degree in biomedical engineering from the University of Southern California (USC), Los Angeles, in 2006, and is currently working toward the Ph.D. degree in electrical engineering at Washington State University, Pullman. From 2003 to 2004, he was with LG-Philips (currently LG Display) Ltd., Gumi, Korea, as a System Engineer. His research interests are high-throughput transceivers, frequency synthesizers, all digital phase-locking loops, and applications of the BCI and biotelemetry systems. Mr. Jung was a recipient of a Korea Government Scholarship presented by the National Institute for International Education, Korea (2004–2006). Siqi Zhu (S’10) received the B.S. degree in communications engineering from Southwest Jiaotong University, Chengdu, China, in 2005, the M.S. degree in microelectronics and solid-state electronics from the Institute of Microelectronics of Chinese Academy of Sciences, Beijing, China, in 2008, and is currently working toward the Ph.D. degree at the School of Electrical Engineering and Computer Science (EECS), Washington State University, Pullman. His doctoral research concerns analog, RF, and millimeter-wave integrated circuits and low-power high data-rate wireless links.
Peng Liu (S’10) received the B.S. degree and Master of Engineering degree in automation from Tsinghua University, Beijing, China, in 1999 and 2002, respectively, and is currently working toward the Ph.D. degree in electrical engineering at Washington State University, Pullman. From 2003 to 2004, he was a Software Engineer with Magma Design Automation Inc., Beijing, China. In early 2005, he joined the Ericsson (China) Research Institute of Technology, Beijing, China, as an Integration and Verification Engineer and then a System Design Engineer, where he was responsible for the design of base stations for GSM-900 and GSM-1800. His research interests are low-power low phase-noise VCOs/quadrature voltage-controlled oscillators (QVCOs), low-power phase-locked-loops, and LNAs.
JUNG et al.: 22-pJ/bit ENERGY-EFFICIENT 2.4-GHz IMPLANTABLE OOK TRANSMITTER
Yi-Jan Emery Chen (S’97–M’01–SM’07) received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1987, the M.S. degree in electrical and computer engineering from the University of California at Santa Barbara, in 1991, and the Ph.D. degree in electrical engineering from the Georgia Institute of Technology, Atlanta, in 2001. From 1992 to 1993, he was a Software Engineer with Siemens Telecommunication, where he was involved with synchronous optical network (SONET) equipment development. From 1993 to 1996, he was with Tektronix, where he was responsible for electronic test and measurement solutions. From 2000 to 2002, he was with National Semiconductor, where he was involved with RF transceiver and RF PA design. In 2002, he joined the Georgia Institute of Technology, as a member of the research faculty, where he explored the device-to-circuit interactions of advanced SiGe technology. Since 2003, he has been with National Taiwan University, Taipei, Taiwan, where he is currently an Associate Professor. He has authored or coauthored over 70 refereed journal and conference papers. His recent research focuses on the design of RF integrated circuits (RFICs), RF power amplifiesr, LCD/LED drivers, power management integrated circuits (ICs), and system-in-package integration. Dr. Chen currently serves on the Technical Program Committees of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS), and IEEE Radio and Wireless Symposium (RWS). Since 2004, he has served on the IC Implementation Review Committee, National Chip Implementation Center, Taipei, Taiwan. He was the corecipient of the 2000 IEEE MTT-S IMS Best Paper Award and the corecipient of the 2008 University Team Award for Contribution to Industrial Economics from the Ministry of Economic Affairs, Taiwan.
4111
Deukhyoun Heo (S’97–M’00) received the B.S.E.E. degree in electrical engineering from Kyoungpuk National University, Daegu, Korea, in 1989, the M.S.E.E. degree in electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 1997, and the Ph.D. degree in electrical and computer engineering from the Georgia Institute of Technology, Atlanta, in 2000. In 2000, he joined the National Semiconductor Corporation, where he was a Senior Design Engineer involved in the development of silicon RFICs for cellular applications. Since Fall 2003, he has been an Associate Professor with the Electrical Engineering and Computer Science Department, Washington State University, Pullman.He has authored or coauthored approximately 76 publications, including 18 peer-reviewed journal papers and 60 international conference papers. He has primarily been interested in RF/microwave/opto transceiver design based on CMOS, SiGe BiCMOS, and GaAs technologies for wireless and wireline data communications, batteryless wireless sensors and intelligent power management system for sustainable energy sources, adaptive beam formers for phased-array communications, low-power high data-rate wireless links for biomedical applications, and multilayer module development for system-in-package solution. Dr. Heo has been a member of the Technical Program Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) and the International Symposium of Circuit and Systems (ISCAS). He has served as an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS—PART II: EXPRESS BRIEFS (2007–2009) and has served as an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the 2000 Best Student Paper Award presented at the IEEE MTT-S IMS and the 2009 National Science Foundation (NSF) CAREER Award.
4112
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A Novel Vital-Sign Sensor Based on a Self-Injection-Locked Oscillator Fu-Kang Wang, Student Member, IEEE, Chien-Jung Li, Member, IEEE, Chieh-Hsun Hsiao, Student Member, IEEE, Tzyy-Sheng Horng, Senior Member, IEEE, Jenshan Lin, Fellow, IEEE, Kang-Chun Peng, Member, IEEE, Je-Kuan Jau, Jian-Yu Li, Student Member, IEEE, and Cheng-Chung Chen
Abstract—A novel vital-sign sensor with a self-injection-locked oscillator and a frequency demodulator to reduce system complexity and improve sensitivity is proposed. The theory provides a delta–sigma model to account for the excellent signal-to-noise spectral density ratio in a parametric study of the sensitivity performance. Then, the experiments verify the sensing principle and the predicted performance. Accordingly, a prototype sensor with high sensitivity is realized for noncontact cardiopulmonary monitoring, achieving a long sensing distance without the need for a low-noise amplifier. The sensing distance can grow four times longer by doubling the operating frequency. Furthermore, the sensor using a swept frequency can eliminate the null detection points and the external radio interference. As an experimental result, the sensor, which is placed 4 m away from the subject, can reliably detect the heartbeat signal an the operating frequency of 3.6 GHz and an output power level of 0 dBm. Index Terms—Delta–sigma model, frequency demodulator, selfinjection-locked oscillator, vital-sign sensor.
I. INTRODUCTION UE TO the convenience of wireless networks, wireless healthcare service, which provides wireless data access to home-monitoring healthcare devices, has become prevalent in many countries [1]. With this service, a health-monitoring system can put patients at home under surveillance for recognizing health problems and deliver real-time vital-sign information to a remote caregiver using the current wireless infrastructure. Recently, Doppler radars have been widely used for noncontact cardiopulmonary monitoring [2]–[15]. The advantages of the specific monitoring include reduction in the patients’ discomfort during the application of the monitoring process and the potential for widespread adoption. Based on
D
Manuscript received July 03, 2010; revised October 06, 2010; accepted October 06, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported in part by the National Science Council, Taiwan, under Grant 97-2221-E-110-034-MY3, Grant 97-2221-E-110-035-MY3, Grant 97-2628-E-110-041-MY3, and Grant 99-2622-E-110-003-CC1 and by the Department of Industrial Technology, Taiwan, under Grant 98-EC-17-A-01-S1-104. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, May 23–28, 2010, Anaheim, CA. F.-K. Wang, C.-J. Li, C.-H. Hsiao, and T.-S. Horng are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 804, Taiwan (e-mail: [email protected]; [email protected]). J. Lin is with the Department of Electrical and Computer Engineering, University of Florida, Gainesville, FL 32611 USA. (e-mail: [email protected]). K.-C. Peng is with the Department of Computer and Communication Engineering, National Kaohsiung First University of Science and Technology, Kaohsiung 811, Taiwan (e-mail: [email protected]). J.-K. Jau, J.-Y. Li, and C.-C. Chen are with the Information and Communication Research Laboratories, ITRI, Hsinchu 310, Taiwan (e-mail: jekuan@itri. org.tw). Digital Object Identifier 10.1109/TMTT.2010.2087349
Fig. 1. Conventional vital-sign sensor architecture.
the Doppler radar technology, Fig. 1 illustrates the most general architecture of the line-of-sight short-range sensor for detecting vital-sign signals [5]–[15]. An RF continuous wave (CW) signal is generated by the voltage-controlled oscillator (VCO) and emitted by the transmit antenna toward the subject. The time-varying chest wall position caused by cardiopulmonary phenomena phase modulates the transmitted signal. The sensor then receives the signal reflected back from the subject via the receive antenna and demodulates it to yield the vital-sign information. Since the phase-noise reduction caused by range correlation was experimentally evaluated in [8], the direct-conversion architectures with free-running oscillators are able to detect low-frequency cardiopulmonary signals without using the phase-locked loops. The null detection points encountered every quarter wavelength from the sensor to the subject can be avoided with the help of a quadrature architecture [8]–[10]. However, the quadrature imbalance often introduces residual phase error to degrade the detection accuracy [11]. The two-tone transmission system in [12] also can resolve the null detection point problem, but it is at the cost of larger bandwidth usage. Since the sensor with higher operating frequency is more sensitive to small chest wall motion, it has been seen that the harmonic sensing architecture [13] and the millimeter-wave circuits [14], [15] are developed for this purpose. However, the design for these sensors is still challenging with respect to robustness, power consumption, and cost. Moreover, most of the vital-sign sensors reported to date operates at a fixed frequency and lack effective mechanism to prevent cofrequency interference. In this study, a noncontact vital-sign sensor using a VCO with an injection port and a frequency demodulator is proposed for the first time. As shown in Fig. 2, the architecture transmits and receives the signal via the VCO’s output and injection port, respectively, to bring the VCO into a self-injection-locking state. The frequency demodulator then extracts the vital-sign signals from the VCO output. The combination of the self-injection-locking and frequency demodulation processes yields a delta–sigma noise-shaping mechanism to achieve a high
0018-9480/$26.00 © 2010 IEEE
WANG et al.: NOVEL VITAL-SIGN SENSOR BASED ON A SELF-INJECTION-LOCKED OSCILLATOR
4113
Fig. 2. Proposed vital-sign sensor architecture. Fig. 3. Illustration of the injection-locking mechanism. (a) Block diagram of an oscillator under injection. (b) Vector diagram of the signals shown in (a).
signal-to-noise spectral density ratio (SNR) in the demodulator output. On the basis of this mechanism, the sensor inherently has high sensitivity without using a low-noise amplifier at the front-end. Although the proposed architecture involves a novel sensing principle, the null detection points still exist when the received injection signal into the VCO is quadrature phase with the VCO output signal. For improvement, the architecture allows the VCO to sweep its output frequency, which consequently not only resolve the null detection point problem but also protect the sensor from external radio interference. The authors have covered the architecture and interference aspects and provided brief theory and examples in the preliminary publication of this research [16]. This paper substantially expands [16] to include a delta–sigma model with experimental validation for a parametric study of the SNR gain performance. In addition, this paper further discusses the elimination of the null detection points and demonstrates the vital-sign sensing capability at different frequencies and distances.
is the well-known Adler’s equation [17] under the assumption ). In (2), is a of weak injection level (i.e., quality factor of the VCO’s tank circuit, and (3) denotes the instantaneous frequency separation between the oscillation and injection signals. When the VCO enters the self-injection-locking state by inserting the output signal into the injection port via a certain path, all of the signals in Fig. 3(b) can be further treated to have the with a different instantaneous phase varisame frequency ation, and their instantaneous frequencies are then written as (4) (5)
II. DELTA–SIGMA MODEL
(6)
Fig. 3(a) displays the block diagram of an oscillator under injection. The oscillator is modeled with an amplifier and a feedback path. The voltage gain of the amplifier is included in the feedback-path impulse response. The feedback path forms a loop to establish the oscillation condition. It should be noted that the feedback path includes a tank circuit and a summing point to allow the injection of signals. The tank circuit has a resonant frequency to determine the frequency of a free-running oscillator. Fig. 3(b) illustrates the vector representation of signals shown in Fig. 3(a) [17]. In Fig. 3(b), represents the inherent oscillation signal with instantaneous frequency and constant amplitude represents the injection signal with instantaneous frequency and constant amplitude , and is the resultant oscillator output signal under injection with instantaneous frequency and constant amplitude . Based on Adler’s analysis [17], can be regarded as a vector rotating clockwise with a beat frequency with respect to , and is the phase difference from to . Thus, the instantaneous VCO output frequency is represented as (1)
Note that, in (4)–(6), and are mutually dependent, and both are dependent on , which is the instantaneous phase noise of VCO. The relation between and is given as (7) is the time delay of the self-injection-locking path, where represents a phase modulation introduced in the path and due to the Doppler effect. Another relationship between and is their instantaneous phase difference , which is given as (8) is a constant phase shift equal to and is where a phase perturbation induced by and . Making the Taylor’s expansion of around gives (9) Substituting (3)–(5) and (9) into (2) leads to
where (2)
(10)
4114
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
where (11) is interpreted as the locking range [17] of a free-running oscillator with low phase noise. Substituting (5), (6), and (10) into (1) yields the following relation between and : (12)
Fig. 4. Frequency-domain model of the proposed sensor architecture.
Integrating (12) gives the instantaneous phase variation with respect to the VCO output, that is (13) Continuing with the derivation, we take the Laplace transforms of (7), (10), and (13) with the Laplace-domain representaand and then tions solve for in terms of and to yield (14) where, for Fig. 5. Illustration of the delta–sigma noise-shaping mechanism.
(15)
For
in (18) is further approximated as
shows a transfer function of integration with respect to and (19) (16)
where is the delay time of the delay line used in the frequency demodulator. Taking the Laplace domain of (17) gives
According to (15), (16), and (18), the equivalent model to account for the combined process of self-injection-locking and frequency demodulation can be represented as Fig. 4 with . It is noted that this model behaves as a first-order delta–sigma modulator [19] for noise shaping to enhance the SNR of the phase-modulation signal, as illustrated in Fig. 5. The self-injection-locking mechanism acts like an integrator to reinforce the low-frequency components of the phase-modulation signal, while it functions as an attenuator to suppress the phase noise whose spectrum often has a 30–dB/decade slope due to the flicker noise [20]. In contrast, the frequency-demodulation process performs a differential operation to restore the phase-modulation signal without distortion and, in the meantime, push the phase noise out of the modulation bandwidth to high frequencies with a 20–dB/decade slope. Therefore, a phase-noise spectrum with a 10-dB/decade slope is predicted in the baseband. Overall, the delta–sigma process is the key for the proposed sensor system to achieve high SNR performance. Assume that the phase-modulation signal and the VCO phase noise has a power spectral density (PSD) of and , respectively. The baseband PSD can then be represented as
(18)
(20)
shows a transfer function of suppression with respect to . It is particularly noted that, in [18], the same expressions as (16) have been derived for a self-injection-locked oscillator. From (14)–(16), one can understand that exhibits a gain that increases with decreasing modulation frequency and, thus, can greatly amplify the low-frequency modulation information of the vital signs. On the contrary, shows an attenuation that increases with increasing the path delay and thus can help suppress the phase noise if the delay time is sufficient. To extract the phase-modulation signal, a frequency demodulator is subsequently used to process the VCO output signal. As shown in Fig. 2, the mixer multiplies by its delay version and outputs the signal with their phase difference to the baseband. In the time domain, this baseband signal is described by (17)
WANG et al.: NOVEL VITAL-SIGN SENSOR BASED ON A SELF-INJECTION-LOCKED OSCILLATOR
Fig. 6. SNR gain measurement. (a) Experimental setup. (b) Simplified circuit schematic of a differential VCO with an injection port.
4115
Fig. 7. PSD measurement results for evaluating the SNR gain.
The SNR gain is defined as the ratio between the SNR before and after the delta–sigma process, and it is given by
(21) According to (21), we can predict that the SNR gain increases with decreasing modulation frequency at a rate of 20 dB per decade. In addition, the SNR gain increases with a larger locking which, from (11), is proportional to the operating range oscillation frequency and the injection amplitude . We should also pay attention to the injection phase shift , which causes the null detection points at every odd multiple of 90 . In Section III, we will conduct several experiments to verify the parametric dependence of the SNR gain as indicated above. III. SNR GAIN EXPERIMENTS Here, we provide the SNR gain measurements to verify the theory developed in Section II. Fig. 6(a) shows the experimental setup, which includes a differential VCO having an injection port, a phase modulator, a phase shifter, and an attenuator. The signal in one of the VCO’s differential output terminals is phase modulated by a sinusoidal waveform of frequency and then fed back to the injection port of VCO via the phase shifter and the attenuator. Thus, the self-injection-locking loop is established. It is noted that both the attenuator and phase shifter are variable for adjusting the injection amplitude and phase shift. The signal in the other differential output terminal connects to a signal source analyzer for measuring the PSD. A constant voltage is applied to the VCO’s tuning terminal for determining the oscillation frequency . Fig. 6(b) shows a circuit schematic of the differential VCO using a push–pull configuration [21]. In the push–pull operation mode, the current circulates between the bases of the transistors M1 and M2 so as to drive the two transistors 180 out of phase. Two back-to-back varactors are used in the emitter tank circuit to tune the oscillation frequency with a tuning voltage. Another differential pair of the transistors M3 and M4 forms an injection stage to receive the injection signal. Three microstrip lines are used to compensate for the circuit responses for achieving a wide tuning range. The top one helps maintain the 180 phase
Fig. 8. SNR gain versus offset frequency with varying E
=E
.
difference between the bases of M1 and M2 at a higher operating frequency. The bottom two improve the high-frequency resonant response of the tank circuit to realize a smooth tuning over a large bandwidth. The differential output buffer stage is used to provide output isolation. For this study, two differential VCOs are implemented. One has a tuning range from 0.9 to 2.2 GHz, and the other has a tuning range from 2.2 to 3.6 GHz. Fig. 7 plots the PSD measurement results using the experimental setup shown in Fig. 6. There are four measured curves in Fig. 7 for evaluating the SNR gain of the sensor system by means of (20) and (21). Two curves with legends of and are the measured PSDs of the injected and output phase-modulated signal, respectively, for the VCO under modulated self-injection. The other two curves with and are the measured PSDs of legends of the phase noise for the free-running and self-injection-locked VCO, respectively. It can be seen from Fig. 7 that the self-injection-locking notably amplifies the VCO phase-modulation signal and suppresses the VCO phase noise at the same time. With the help of the above measurement technique, SNR gain and its dependence, as expressed in (21), can be accurately verified. Fig. 8 compares the calculated and measured SNR gains at various ratios of the injection amplitude to the oscillation amplitude . The solid line, broken line, and dotted line express the calculated SNR gain according to (21) with equal to 0.05, 0.005, and 0.0005, respectively. The measured results are represented using the circle symbols, showing an excellent agreement with the calculated predictions. It is noted that, for this example, the oscillation frequency is chosen
4116
Fig. 9. SNR gain versus offset frequency with varying
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
. Fig. 11. Block diagram of the implemented sensor system.
operating frequency. Therefore, the inclusion of the SNR gain factor yields the SNR in proportion to the fourth power of the operating frequency. Based on this characteristic, the proposed sensor architecture can more effectively improve the sensitivity and hence the sensing distance than the conventional ones by using a higher operating frequency. IV. VITAL-SIGN SENSING EXPERIMENTS
Fig. 10. SNR gain versus offset frequency with varying f
.
at 2.45 GHz, the tank quality factor is about 25, and the is set at 30 . injection phase shift With the same oscillation frequency and tank quality factor, Fig. 9 shows the comparison results for verifying the dependence of SNR gain on the injection phase shift when the ratio is set at 0.005. The solid line, broken line, and equal to 0 , dotted line express the calculated results with 60 , and 88 , respectively, while the measured results are shown using circle symbols. Again, excellent agreement between calculated and measured results is obtained. We should note that, only, the SNR gain achieves a maximum as by varying , while it vanishes as . By modifying the conditions in the last example to allow a and to fix at 0 , we can verify the depenvariable dence of SNR gain on using Fig. 10. In a similar fashion, the calculated results are drawn with lines in Fig. 10, while the measured results are represented with symbols. The comparison again shows very good agreement. By referring to (11) and (21), , and it therethe SNR gain is proportional to the square of fore exhibits an increasing rate of 6 dB per octave as varies from 0.9 to 3.6 GHz. As mentioned earlier, the SNR gain increases with decreasing modulation frequency at a rate of 20 dB per decade. For the vital sign sensing applications, the processing bandwidth is often limited below 10 Hz, which corresponds to the high SNR gain region, say above 70 dB, as shown in the solid lines of Figs. 8–10. In another aspect, the phase modulation PSD due to the Doppler effect is generally proportional to the square of the
The block diagram of the proposed vital-sign sensor is shown in Fig. 11. The sensor system contains a receive antenna, a transmit antenna, a differential VCO with an injection port, a frequency demodulator composed of a mixer and a delay line, a low-pass filter (LPF), a bandpass filter (BPF), and a digital signal processor (DSP) with built-in analog-to-digital converter (ADC) and digital-to-analog converter (DAC). Fig. 11 also annotates the key specifications of the RF components used in this sensor system. The sensing procedure is briefly demonstrated as follows. In transmission, the DSP delivers a frequency control word (FCW) to the built-in DAC and generates a constant voltage to select the VCO output frequency or a 1-kHz linear ramp voltage to sweep the VCO output frequency in the targeted bandwidth. The VCO output signal at the differential positive terminal is then emitted toward the subject by means of the transmit antenna. In reception, the receive antenna receives the signal reflected back from the subject to serve as an injection signal into the VCO via the injection port. The received signal will include the independent wireless communication signals within the targeted bandwidth if an anti-interference ability test is carried out for the sensor system. Afterward, the VCO output signal at the differential negative terminal is frequency demodulated and then low-pass or bandpass filtered for subsequently performing the vital-sign and interference detection in the DSP. The main function of the proposed vital-sign sensor is to detect the breathing and heartbeat movements of a human subject in a noncontact way. The following shortly describes the Doppler radar principle to support this detection. Consider a distance with a time-varying displacement between the sensor and the subject, and results from breathing and heartbeat movements. These movements cause a Doppler shift that is proportional to the velocity of movement in the reflected signal. Therefore, the received signal’s instantaneous frequency
WANG et al.: NOVEL VITAL-SIGN SENSOR BASED ON A SELF-INJECTION-LOCKED OSCILLATOR
Fig. 12. Detection of radio interferences. (a) Spectrum of three interference signals including a 2.421-GHz GMSK signal, a 2.443-GHz FM signal, and a 2.464-GHz QPSK signal. (b) Baseband bandpass filter output of the sensor system in detecting the interference signals shown in (a).
4117
Fig. 13. Breathing detection results. (a) Output waveform. (b) Output spectrum.
is a delay version of the VCO output instantaneous frequency plus the reflected Doppler shift, that is
(22) where is the speed of light and is the round-trip propagation delay given by . Comparing (22) and (5) with substitutions of (6) and (7) yields the instantaneous phase modulation, due to the Doppler effect, given by (23)
A. Sensing Results Using a Sweeping Mode In the swept-frequency operation mode, the VCO in the sensor system performs a frequency sweep in the industrial, scientific and medical (ISM) band from 2.4 to 2.484 GHz at a rate of 100 MHz/ms. Two experimental tests are performed on the sensor system using the swept mode. One is the anti-interference ability test, and the other is a test to eliminate the null detection points. In the anti-interference ability test, the sensor is interfered by a combination of three independent modulation signals within the operating band. The spectrum of the combined signals has been measured by a spectrum analyzer with the same receive antenna as that of the sensor, and the results are shown in Fig. 12(a). The first one is a GMSK signal located at 2.421 GHz with a received power 37 dBm and 271-kb/s data rate; the second one is an FM signal located at 2.443 GHz with a received power 42 dBm and 320-kHz modulation bandwidth; and the third one is a quaternary phase-shift-keying (QPSK) signal located at 2.464 GHz with a received power 32 dBm and 1-Mb/s data rate. In [22], the authors have demonstrated how to extract the interference frequency and amplitude information from the
Fig. 14. Heartbeat detection results. (a) Output waveform. (b) Output spectrum.
baseband bandpass filter output signal by measuring the center position and duration of the quiet zones appearing in , as shown in Fig. 12(b). In this figure, the response of three quiet zones are observed at different times, corresponding to the three interference signals at different frequencies. In the vital-sign sensing experiment, an adult subject is seated 1 m from the sensor and breathing normally. Since the received signals include not only the reflected Doppler-shift signal but also the external radio interference signals in the operating band, we use an LPF to filter out the influence of the interference on the frequency-demodulated output signal and meanwhile preserve the vital sign information for DSP to process. The breathing and heartbeat information can be distinguished by applying digital filters with the following passbands: 0.1–1 Hz for breathing and 1–10 Hz for heartbeat. Consequently, Figs. 13(a) and (b) and 14(a) and (b) show the detected waveforms and spectrums for breathing and heartbeat,
4118
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 15. Heartbeat detection results at a null detection point and verification with a simultaneous ECG recording. (a) Heartbeat detection output waveform with and without frequency sweeping. (b) ECG output waveform.
respectively. A breathing rate of 17 beats/min and a heartbeat rate of 79 beats/min can be identified. In the performance test at the null detection point, the sensor first adjusts its operating frequency to a fixed one at 2.438 GHz so that the subject, seated 1 m away from the sensor, is at the null detection point. The heartbeat detection output for this situation is plotted by the dashed line in Fig. 15(a), showing a vanishing amplitude in the output waveform. Next, the sensor operates in the sweeping mode with the heartbeat detection output shown by the solid line in Fig. 15(a). One can see that the sweeping mode can effectively resolve the null detection point problem to provide a clear output waveform with sufficiently large amplitude. For verifying the detected heartbeat rate, an electrocardiogram (ECG) is simultaneously recorded, as shown in Fig. 15(b), by measuring electrical potential between various points of the subject’s chest using a biomedical instrumentation amplifier. The ECG provides information about heart activity and is composed of a P-wave, a QRS complex, and a T-wave to represent the depolarization and repolarization of the atria and ventricles [23]. By comparison, the two output waveforms shown by the solid lines in Fig. 15(a) and (b) coincide with each other in the same heartbeat period. B. Sensing Results at Different Operating Frequencies As mentioned earlier, the proposed sensor architecture can achieve an SNR in proportion to the fourth power of the operating frequency . This is because of the facts that both the phase-modulation PSD and SNR gain are proportional to the square of , as can be deduced from (11), (21), and (23). Since the received power in general decreases with the square of the sensing distance, doubling the operating frequency should increase the SNR by a factor of four times. To validate this prediction, the following experiments are conducted for the sensor to detect the heartbeat at different frequencies and distances to the subject.
Fig. 16. Heartbeat detection results at different distances between the subject and the sensor operating at 2.4 GHz.
Fig. 17. Heartbeat detection results at different distances between the subject and the sensor operating at 1.8 GHz.
In the experiments, the VCO in the sensor system operates in a single-frequency mode, and its output frequencies are selected to be 1.8, 2.4, and 3.6 GHz. The sensor is placed away 0.5, 1, 2, from the subject at four nominal distances, i.e., and 4 m. It should be noted that the actual distances between the sensor and the subject are fine-tuned to a multiple of a half wavelength to secure the optimum SNR gain. Fig. 16 shows the heartbeat detection results at 2.4 GHz. It is observed that the amplitude of the output waveform reduces by about half as the sensing distance doubles. In particular, the digital processing of the results at 4 m fails to provide an accurate measure of the heartbeat rate, and thus the verified maximum sensing distance is 2 m for the sensor operating at 2.4 GHz. In a similar fashion, Figs. 17 and 18 show the heartbeat detection results at the other two frequencies, 1.8 and 3.6 GHz. Based on the same criterion of measuring the heartbeat rate, the verified maximum sensing distance is 1 and 4 m for the sensor operating at 1.8 and 3.6 GHz, respectively. This is consistent with our expectation
WANG et al.: NOVEL VITAL-SIGN SENSOR BASED ON A SELF-INJECTION-LOCKED OSCILLATOR
4119
[8] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. A. Kovac, “Range correlation and I/Q performance benefits in single-chip silicon Doppler radars for noncontact cardiopulmonary monitoring,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 838–848, Mar. 2004. [9] R. Fletcher and J. Han, “Low-cost differential front-end for Doppler radar vital sign monitoring,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1325–1328. [10] J. H. Park, B. J. Jang, and J. G. Yook, “0.18 m CMOS receiver front-end for non-invasive cardiopulmonary monitoring,” in IEEE Asia–Pacific Microw. Conf. Dig., Dec. 2008, pp. 1–4. [11] Y. Yan, C. Li, and J. Lin, “Effects of I/Q mismatch on measurement of periodic movement using a Doppler radar sensor,” in Proc. IEEE Radio Wireless Symp., Jan. 2010, pp. 196–199. [12] Y. Xiao, J. Lin, O. Boric-Lubecke, and V. M. Lubecke, “Frequency tuning technique for remote detection of heartbeat and respiration using low-power double-sideband transmission in the -band,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2023–2032, May 2006. [13] A. Singh and V. M. Lubecke, “A heterodyne receiver for harmonic Doppler radar cardio-pulmonary monitoring with body-worn passive RF tags,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 1600–1603. [14] L. Chioukh, H. Boutayeb, L. Li, L. Yakia, and K. Wu, “Integrated radar systems for precision monitoring of heartbeat and respiratory status,” in Asia–Pacific Microw. Conf. Dig., Dec. 2009, pp. 405–408. [15] P. H. Lien, L. Lin, and H. R. Chuan, “Computer simulation of the RF system effects on a millimeter-wave Doppler radar for human vitalsignal estimation,” in Eur. Radar Conf. Dig., Sep. 2009, pp. 465–468. [16] F.-K. Wang, C.-J. Li, C.-H. Hsiao, T.-S. Horng, J. Lin, K.-C. Peng, J.-K. Jau, J.-Y. Li, and C.-C. Chen, “An injection-locked detector for concurrent spectrum and vital sign sensing,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 768–771. [17] R. Adler, “A study of locking phenomena in oscillators,” Proc. IRE, vol. 34, no. 6, pp. 351–357, Jun. 1946. [18] H.-C. Chang, “Stability analysis of self-injection-locked oscillators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 1989–1993, Sep. 2003. [19] J. C. Candy and O. J. Benjamin, “The structure of quantization noise from sigma-delta modulation,” IEEE Trans. Commun., vol. COM-29, pp. 1316–1323, Sep. 1981. [20] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Univ., 2004. [21] A. Grebennikov, RF and Microwave Transistor Oscillator Design, 1st ed. New York: Wiley, 2007. [22] C.-J. Li, F.-K. Wang, T.-S. Horng, and K.-C. Peng, “A novel RF sensing circuit using injection locking and frequency demodulation for cognitive radio applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3143–3152, Dec. 2009. [23] J. G. Webster, Medical Instrumentation Application and Design, 4th ed. New York: Wiley, 2009.
Ka
Fig. 18. Heartbeat detection results at different distances between the subject and the sensor operating at 3.6 GHz.
that the sensor can increase the sensing distance four times by doubling the operating frequency. V. CONCLUSION This paper presents a novel vital-sign sensor architecture that can resolve the interference and null detection point problems in a noncontact monitoring of cardiopulmonary motion. This paper also provides an accurate delta–sigma model to predict the parametric dependence of SNR gain for the proposed sensor architecture. The experiments verify the theoretical predictions and show very promising sensing results. The most significant results include a high-quality sensing of cardiopulmonary activities at a null detection point while interferences are introduced into the sensor system and an increase of four times the sensing distance by doubling the operating frequency. REFERENCES [1] C.-C. Lin, M.-J. Chiu, C.-C. Hsiao, R.-G. Lee, and Y.-S. Tsai, “Wireless health care service system for elderly with dementia,” IEEE Trans. Inf. Technol. Biomed., vol. 10, no. 4, pp. 696–704, Oct. 2006. [2] K. M. Chen, D. Misra, H. Wang, H. R. Chuang, and E. Postow, “An -band microwave life-detection system,” IEEE Trans. Biomed. Eng., vol. BME-33, no. 7, pp. 697–701, Jul. 1986. [3] G. Ossberger, T. Buchegger, E. Schimback, A. Stelzer, and R. Weigel, “Non-invasive respiratory movement detection and monitoring of hidden humans using ultra-wideband pulse radar,” in IEEE Ultrawideband Syst. Tech. Dig., May 2004, pp. 395–399. [4] A. C. H. Yu, E. Cohen-Solal, B. I. Rayu, and S. Ayati, “An automated carotid pulse assessment approach using Doppler ultrasound,” IEEE Trans. Biomed. Eng., vol. 55, no. 3, pp. 1072–1081, Mar. 2008. [5] J. C. Lin, “Microwave sensing of physiological movement and volume change: A review,” Bioelectromagn., vol. 13, pp. 557–565, Apr. 1992. [6] K. M. Chen, Y. Huang, J. Shang, and A. Norman, “Microwave life-detection systems for searching human subjects under earthquake rubble or behind barrier,” IEEE Trans. Biomed. Eng., vol. 27, no. 1, pp. 105–114, Jan. 2000. [7] A. D. Droitcour, O. Boric-Lubecke, V. M. Lubecke, J. Lin, and G. T. A. Kovac, “0.25 m CMOS and BiCMOS single chip direct conversion Doppler radars for remote sensing of vital signs,” in IEEE Int. Solid State Circuits Conf. Dig., Feb. 2002, pp. 348–349.
Fu-Kang Wang (S’10) was born in Kaohsiung, Taiwan, on May 15, 1985. He received the B.S.E.E. and M.S.E.E. degrees from the National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2007 and 2009, respectively, where he is currently working toward the Ph.D. degree in electrical engineering. His Ph.D. work is focused on RF sensing techniques.
X
Chien-Jung Li (S’07–M’10) was born in Tainan, Taiwan, on October 26, 1979. He received the B.S.E.E. and Ph.D. degrees from the National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2002 and 2009, respectively. He is currently a Postdoctoral Fellow with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan. His research interests include power-amplifier linearization techniques, frequency synthesizer designs, and local-oscillator pulling issues in direct-conversion
transceivers.
4120
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Chieh-Hsun Hsiao (S’10) was born in Kaohsiung, Taiwan, on June 5, 1984. He received the B.S.E.E. and M.S.E.E. degrees from the National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2006 and 2008, respectively, where he is currently working toward the Ph.D. degree in electrical engineering. His Ph.D. research is focused on phase- and injection-locked oscillators.
Tzyy-Sheng Horng (S’88–M’92–SM’05) was born in Taichung, Taiwan, on December 7, 1963. He received the B.S.E.E. degree from the National Taiwan University, Taipei, Taiwan, in 1985, and the M.S.E.E. and Ph.D. degrees from the University of California, Los Angeles, in 1990 and 1992, respectively. Since August 1992, he has been with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan, where he was the Director of the Telecommunication Research and Development Center (2003–2008) and Director of the Institute of Communications Engineering (2004–2007), and where he is currently a Professor. He has authored or coauthored over 100 technical publications published in refereed journals and conferences proceedings. He holds over ten patents. His research interests include RF and microwave integrated circuits and components, RF signal integrity for wireless system in package, and digitally assisted RF technologies. Dr. Horng has served on several Technical Program Committees of international conferences including the International Association of Science and Technology for Development (IASTED) International Conference on Wireless and Optical Communications, the IEEE Region 10 International Technical Conference, the IEEE International Workshop on Electrical Design of Advanced Packaging and Systems, the Asia Pacific Microwave Conference, the IEEE Radio and Wireless Symposium, and the Electronic Components and Technology Conference. He was the recipient of the 1996 Young Scientist Award presented by the International Union of Radio Science, the 1998 Industry Education Cooperation Award presented by the Ministry of Education, Taiwan, and the 2010 Distinguished Electrical Engineer Award presented by the Chinese Institute of Electrical Engineering, Taiwan.
Jenshan Lin (S’91–M’94–SM’00–F’10) received the Ph.D. degree in electrical engineering from the University of California, Los Angeles, in 1994. He was with AT&T Bell Labs (currently Lucent Bell Labs), Murray Hill, NJ, from 1994 to 2001, and its spin-off Agere Systems from 2001 to 2003. In July 2003, he joined the University of Florida, Gainesville, as an Associate Professor and became a Professor in August 2007. He was a Visiting Professor with National Taiwan University (summer 2006) and a Visiting Researcher with NTT (summer 2010). He has authored or coauthored over 200 technical publications in refereed journals and conferences proceedings, and he holds seven patents. He has been serving on several conference steering committees and technical program committees, including the International Microwave Symposium (IMS), the Radio Frequency Integrated Circuits Symposium (RFIC), and the Radio and Wireless Symposium (RWS). He was the General Chair of 2008 RFIC Symposium and the Technical Program Chair of 2009 Radio and Wireless Symposium. His current research interests include sensors and biomedical applications of microwave and millimeter-wave technologies and wireless energy transmission. Dr. Lin is an elected IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom) member, currently serving as the Chair of Technical Coordinating Committee. He served as an Associate Editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES from 2006 to 2010. He was the recipient of the 1994 UCLA Outstanding Ph.D. Award, the 1997 Eta Kappa Nu Outstanding Young Electrical Engineer Honorable Mention Award, and the 2007 IEEE MTT-S N. Walter Cox Award.
Kang-Chun Peng (S’00–M’05) was born in Taipei, Taiwan, on February 18, 1976. He received the B.S.E.E., M.S.E.E., and Ph.D. degrees from the National Sun Yat-Sen University, Kaohsiung, Taiwan, in 1998, 2000, and 2005, respectively. He is currently an Assistant Professor with the Department of Computer and Communication Engineering, National Kaohsiung First University of Science and Technology, Kaohsiung, Taiwan. His current research interests are in the area of delta–sigma modulation techniques, low-noise phase-locked loops, low-power voltage-controlled oscillators, and modulated frequency synthesizers.
Je-Kuan Jau was born in Tainan, Taiwan, on November 19, 1977. He received the B.S.E.E. and Ph.D. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 1999 and 2006, respectively. He is currently with the Information and Communications Research Laboratories, ITRI, Hsinchu, Taiwan, as an Engineer. His research interests are RF transmitters and power amplifiers.
Jian-Yu Li (S’07) was born in Pingtung, Taiwan. He received the B.S.E.E and M.S.E.E degrees from the National Sun Yat-Sen University, Kaohsiung, Taiwan, in 1998 and 2000, respectively. He is currently working toward the Ph.D. degree in communication engineering at National Chiao Tung University, Hsinchu, Taiwan. In 2000, he joined the Information and Communications Research Laboratories, ITRI, Hsinchu, Taiwan, as an Engineer. His research interests are in the area of microwave integrated circuits and passive components design.
Cheng-Chung Chen was born in 1975 in Hsinchu, Taiwan. He received the B.S. degree in electrical engineering from the National Sun Yet-Sen University, Kaoshiung, Taiwan, in 1997, and the M.S. and Ph.D. degrees in communication engineering from the National Chiao-Tung University, Hsinchu, Taiwan, in 1999 and 2003, respectively. In 2003, He joined the Information and Communications Research Laboratory, ITRI, Hsinchu, Taiwan. His research interests include RF front-end chip and module design.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
4121
A Novel Passive RFID Transponder Using Harmonic Generation of Nonlinear Transmission Lines Fan Yu, Student Member, IEEE, Keith G. Lyon, Member, IEEE, and Edwin Chihchuan Kan, Senior Member, IEEE
Abstract—We present a novel RF identification transponder based on nonlinear transmission lines (NLTLs). The NLTLs provide an effective solution to self-jamming with the harmonic generation property, and they are passive, compact, and offer easy impedance. Three NLTLs are fabricated with a different in an IBM 8RF 0.13- m CMOS Bragg cutoff frequency process. The 0.4 mm by 1.8 mm NLTL with GHz has 10-dBm second harmonic and 15-dBm third harmonic power with 0-dBm small-signal input. We demonstrate effective wireless transmission of the NLTL-based transponder over a read range of 0.3–0.8 m using less than 7-mm D*dot antennas and a 90-dBm off-the-shelf receiver.
= 10
Index Terms—Harmonic generation, nonlinear transmission line (NLTL), RF identification (RFID) transponder.
I. INTRODUCTION
T
HERE IS an urgent need to develop passive millimeterscale RF identification (RFID) transponders (including antennas) for various embedded sensors [1]. A wide variety of possible solutions had been proposed, mainly in the way of simple backscatter with amplitude [2], phase [3], or a controlled time delay [4]. In these systems, the continuous-wave (CW) signal to power up the passive tags inevitably couples to the receiver input as strong self-interference, which presents a major challenge to the reader’s receiver design. To avoid this problem, a frequency multiplier is proposed to generate a harmonic or subharmonic of the interrogation signal, providing a unique response signal among the leakage from the transmitter, as well as the incidental backscatter from the linear objects in view [5]–[9]. The implementation of frequency multipliers can be based on transistors (active type) or on diodes (passive type). Among active frequency multipliers, CMOS-based circuits are usually preferred for low-cost applications since they require lower supply voltage and dc consumption, as well as easy integration with the low-power CMOS baseband module. However, around 1-mW power and a 1-V supply voltage are required [10], [11]. For example, a recent proposal uses an active injection-locked frequency multiplier to generate second harmonic with active Manuscript received July 01, 2010; revised October 04, 2010; accepted October 06, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported by the National Science Foundation under the Civil, Mechanical and Manufacturing Innovation (CMMI) Program 0928596. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. The authors are with the Department of Electrical and Computer Engineering, Cornell University, Ithaca, NY 14853 USA (email: [email protected]; [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2088134
current of the oscillator at more than 650 A [5]. In a small passive RFID system, the power harvested by the tag is limited to tens of W, which is insufficient to power up the active frequency multiplier. In contrast to active multipliers, diode multipliers consume no dc power at the expense of conversion loss. Several approaches have been proposed using harmonic [6], [7] or subharmonic [8] generated by a single diode. For diode frequency doublers in [6] and [7], the input and output matching network designed at fundamental and harmonic frequencies consume large amount of circuit area and introduce additional losses. In addition, the design in [7] employed a varactor diode to modify the impedance matching, leading to an on–off keying (OOK) modulation. However, the tunable range of the input impedance is limited by changing the capacitance value of the varactor. In [7], 17-V bias voltage difference with 70% capacitance variation gives only 10-dB conversion gain difference. Another approach [8] used the diode as a frequency mixer to generate the sideband signal of second harmonic. The circuit was advantageous when an interrogator received reflections of its own transmitted harmonics. However, the mixing product is basically third-order term, which has lower conversion efficiency compared with second-order harmonic. Besides, the generation of the signal to be upconverted also required dc power. In this proposal, 1-mA operating current from 1.5-V battery was consumed to generate the 10-MHz sideband signal. This paper proposes a novel frequency-doubling transponder utilizing harmonic generation by integrated nonlinear transmission lines (NLTLs), where the local data signal on the transponder is modulated onto the second harmonic of the interrogating carrier. Compared with the single-diode multipliers, the NLTLs have shown better conversion efficiency over a broad bandwidth without additional matching network [12], [13]. By modulating the bias voltage of the NLTLs, the transponder is capable of amplitude shift keying (ASK), phase shift keying (PSK), and other similar coding schemes. This paper is organized as follows. Section II discusses the theory of the harmonic generation of the NLTLs with a small input signal. Section III presents the design process and the simulation results of three integrated NLTLs. Section IV examines the measurements of the prototype devices with antenna setup and verifies the sensor node applicability. II. HARMONIC GENERATION THEORY OF NLTLsNLTLS An NLTL is most often implemented as a transmission line where the shunt capacitance varies with voltage, which can be in either semidiscrete or discrete configuration, as shown in Fig. 1. The periodic nature makes the NLTL act as a low-pass filter and its cutoff frequency is the Bragg frequency. As opposed to the
0018-9480/$26.00 © 2010 IEEE
4122
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 1. (a) Semidiscrete configuration (b) Discrete configuration of periodically loaded NLTLs.
single-diode frequency multipliers, which exhibit reactive input impedances, the positive real impedances of the NLTLs provide easier matching and wider bandwidth. The second advantage of the NLTL frequency multiplier is its efficient harmonic generation because the Bragg frequency prevents power spreading to the spectrum above the desired harmonic [12]. In addition, the number of stages provides an additional degree of freedom to enhance the harmonic generation efficiency in comparison with the lump diode.
K
and C–V characteristic for a Fig. 2. Extracted second-order nonlinearity typical nMOS varactor in an IBM 8RF 0.13- m CMOS process.
, the fundamental frequency and th harmonic propagate together and interfere constructively. grows almost Especially when , the two waves linearly with . After passes get out of phase to result in destructive interference. Considering the loss of transmission line/varactor, the propagating harmonic wave would be a combined process of growing with periodically and attenuating exponentially at the same time. Thus, there exists an optimal section number for the highest conversion efficiency. By differentiation of (1), one finds that
A. Small-Signal Analysis To analyze the small-signal harmonic generation characteristics of NLTLs, an analytical expression [14] was derived for the harmonic amplitude in the NLTLs. Assuming impedance matching at the source port, the amplitude of the th harmonic is in the th section of the NLTL biased at
(1) where is the amplitude of the fundamental wave excited at the and are the per-stage propagation constant input port; is the factor deand attenuation constant of th harmonic; scribing the nonlinear C–V characteristics (2) where
is the charge on nonlinear capacitance and denotes the th derivative with respect to .
(3) Cutoff Frequency : In addition to section number is also related to . For NLTLs with cutoff frequency is small in the low frequency range, while exhibiting weak dispersion. When the operating frequency approaches increases toward , but is decreased due to strong dispersion in the proximity of . The combined effects will determine the input frequency with maximal conversion efficiency for certain . In the RFID design needs to be chosen carefully for a given input fredomain, quency transmitted by the interrogator. : By varying the bias point of the varactors, Bias Point would have different values that change amplitude of output harmonic. In Fig. 2, the extracted second-order nonlinearity for a typical nMOS varactor in an IBM 8RF 0.13- m CMOS process is shown. It is easy to find that the optimal bias point , where shows a maximum value. should be at III. SIMULATION RESULTS
B. Optimization of Design Parameters From (1), several parameters will affect the efficiency of harmonic generation of the NLTL, such as , etc. For a given process, the designers have more freedom to control the , and the bias point stage number , the cutoff frequency . is a periodic function of the stage Stage Number : number in the lossless case. With pure TEM waves where , the magnitude of harmonics always grows , for linearly with increasing . When
To demonstrate the efficiency of harmonic generation under low input power level, three NLTLs are designed and simulated. GHz. DeDesign 1 is based on lumped inductors with signs 2 and 3 are based on distributed high-impedance coplanar GHz, but with different stripline (CPS) at the same dimensionless parameter [15]. The choice of the NLTL configuration is mainly dependent on the frequency range. Discrete NLTLs are usually preferred in the low-frequency range for the compact size, while transmission-line based NLTLs are chosen due to their higher factor at high frequency.
YU et al.: NOVEL PASSIVE RFID TRANSPONDER
TABLE I NLTL HARMONIC GENERATOR PARAMETERS
Fig. 3. Simulated and calculated second and third harmonic output power of NLTLs excited by a 0-dBm sinusoidal signal.
The parameters of three NLTL designs are given in Table I, are the section length and the characteristic where and impedance, respectively. To characterize the transmission loss of the CPS in the 0.13- m RF-CMOS process, full-wave simulations of the structure are conducted in Ansoft’s High Frequency Structure Simulator (HFSS) to extract the -parameter model, which is exported to circuit simulation.1 The spiral inductor and MOS varactor of NLTLs are based on IBM’s foundry device models. The section number is chosen to be 10, giving the maximum conversion efficiency for second harmonic, which will be shown later. The input ports of NLTLs are fed by a sinusoid , whose input power is chosen to be 0 dBm, close to the practical RFID applications. Fig. 3 shows the simulated output power of generated second and third harmonics of three NLTLs. It can be seen that the conversion efficiency for the th harmonic (being 2 or 3) peaks slightly below where the Bragg cutoff has filtered all the higher order harmonics. In addition, Design 2 exhibits 1–2-dBm higher output harmonic power compared to Design 3, since Design 2 experiences less transmission line loss, as well as larger nonlinearity of varactors. To further demonstrate the harmonic generation efficiency of the NLTL, we also simulate two single-diode frequency doublers in the same technology. One is designed GHz and compared with Design 1. The other for 1Cadence Design Syst., New Providence, NJ. [Online]. Available: http://www.cadence.com/products/rf.html
4123
GHz and compared with Design 2. For one is for fair comparison, the capacitance values of single diodes are chosen to be the sum of all loaded varactors of the compared NLTLs. The simulation results are shown in Table II. For both designs, it can be found that the NLTL frequency doublers have at least 6-dBm higher harmonic power. Although the efficiency of single diode doublers may be further enhanced by using a larger diode, it would need more inductance for matching. In the present single-diode designs, both of the series and shunt inductance in the input matching are already up to 10 nH, which will occupy large circuit area and introduce further losses. To investigate the harmonic formation process along the NLTL stages, Fig. 4 shows the harmonic output power of Design 1 at several section numbers . For the second and third is around 10 and 5, respectively. harmonic, the optimum The shorter length with maximum conversion efficiency for third harmonic comes from larger attenuation loss and stronger dispersion. With lower input frequency, the optimum should be larger according to (3). In addition, Fig. 5 shows the simulated output power and phase of second harmonic of Design 1 at various bias points. It can be found that the maximum conversion occurs around . The second-harmonic power in the positive bias shows a sharper decrease than in the negative bias region. This lowers down , is because the large capacitance at higher which introduces more Bragg reflection for the second harmonic signal. From Fig. 5, one can also see the sharp phase change V, while the amplitude of the second harmonic near is almost constant. The output power and phase of second harmonic dependence on the bias voltage can be used to modulate the local sensor data on the second harmonic signal with ASK or PSK. Other similar modulation schemes are also possible. IV. EXPERIMENTAL RESULTS A. Harmonic Generation Measurements We fabricated three NLTL designs in an IBM 8RF 0.13- m CMOS process with parameters given in Table I, as shown in Fig. 6. The NLTLs are excited by a CW RF signal generated by an Agilent E8257D. Spectrum analyzer Agilent 8564EC is used to measure the output power of the desired harmonics at each input frequency. To evaluate the loss in the fundamental are measured. De-emwave, the transmission coefficients bedding is done by thru-reflect line (TRL) calibration with Agilent E8364B. Fig. 7 shows the measured output power of the second and third harmonics, as well as the fundamental loss of Design 1. The maximum second harmonic output power is 10 dBm at an input frequency of 3.4 GHz, while the third harmonic peaks at 2.6 GHz with output power of 15 dBm. Fig. 8 shows the measured output power of the second and third harmonics, as well as the transmission characteristics of Designs 2 and 3. The measurements follow the circuit simulation profiles in Fig. 3 closely, except the flattened peaks of harmonics, owing to the accuracy of the transmission line model and other additional loss mechanisms such as the substrate effect. Consistent with simulation, Design 2 has slightly better conversion efficiency over Design 3 due to stronger nonlinearity of varactors. The maximum output power of the second harmonic for
4124
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
TABLE II SIMULATION RESULTS OF SINGLE DIODE FREQUENCY DOUBLERS
Fig. 6. Scaled die photographs of three on-chip NLTLs: Design 1 with lumped inductor (top), Design 2 with CPS (middle), and Design 3 with CPS (bottom).
Fig. 4. Simulated second and third harmonic output power of Design 1 versus the section number n with a 0-dBm and 3.5-GHz input sinusoidal signal.
Fig. 7. Measured second and third harmonic output power of Design 1 excited by 0-dBm sinusoidal signal.
Fig. 5. Simulated second harmonic output power and phase of Design 1 at with the input frequency f GHz and the input power different V P dBm.
=0
=3
Designs 2 and 3 is 14 and 15 dBm, respectively; for the third harmonic, both designs achieve around 21-dBm output power. In practical RFID applications, the received interrogating signal varies with distances. To explore the communication range for NLTLs-based RFID system, it is important to evaluate the harmonic generation ability of an NLTL as a function of for the th harmonic is input power. Conversion gain defined as (4)
Fig. 8. Measured second and third harmonic output power of Designs 2 and 3 excited by 0-dBm sinusoidal signals.
where is the input power of the fundamental wave and is the output power of the th harmonic. Fig. 9 plots the con-
YU et al.: NOVEL PASSIVE RFID TRANSPONDER
Fig. 9. Measured conversion gain for the second and third harmonic power versus input power: Design 1 at 3.4 GHz; Design 2 at 5.8 GHz.
4125
Fig. 11. Photograph of the experiment setup showing D*dot antennas attached to the wafer probe by a coax adapter. Inset: antennas used for transponder testing (from the left to right): D*dot 2, D*dot 1, Monopole 2, and Monopole 1.
Fig. 10. Schematic of the transponder test setup.
version gain of the second and third harmonics of Designs 1 and 2 versus the input power at the corresponding optimal input frequencies of 3.4 and 5.8 GHz. We can see that the conversion gain decreases with smaller input power and the third harmonics exhibit larger slope than the second harmonics, which matches well to the prediction of (1). All the measurements above show that the harmonic generation of NLTLs is still efficient with a small input power level. B. Distance Characterization In order to characterize the interrogation distance of an RFID tag, a test setup in Fig. 10 was implemented. The downlink distance is the distance between the transmitter of the reader and the transponder. The uplink distance is the distance between the receiver of the reader and the transponder. The transponder is under interrogation from the transmitter of the reader at a distance of 12 cm. The transmitted power from Agilent E8257D is 20 dBm, and an R&S FSU spectrum analyzer is used as a receiver with 90-dBm sensitivity. National Institute of Standards and Technology (NIST) standard TEM horn antennas are employed for transmitting and receiving signals on the reader side. For the transponder, we use two pairs of antennas: planar monopole antennas and D*dot probe antennas, where D*dot 1 and Monopole 1 are used for receiving the interrogating signal; D*dot 2 and Monopole 2 are used for transmitting the second
Fig. 12. Measured second harmonic signal power received by the reader at opdBm. The uplink distance d from erating frequency of 3.5 GHz with P the tag to the reader is maintained as 12 cm.
= 20
harmonic signal [16], [17]. Fig. 11 shows the photographs of the experiment setup and the antennas used in the test. The length of center conductor of D*dot 1 and D*dot 2 are approximately 7 and 4 mm, respectively. This setup is to demonstrate that the transponder system can still be operational with millimeter-scale D*dot antennas. Different antennas and configurations can be employed according to specific applications. Using the network analyzer Agilent E8364B, the Friis transmission loss for the antenna setup can be characterized as (5) The measured transmission loss is 32 dB at interrogating frequency of 3.5 GHz from the horn antenna to Monopole 1 (downlink). From Monopole 2 to the reader horn antenna (uplink), the transmission loss is 30 dB at the second harmonic frequency of 7 GHz. For D*dot antennas, the transmission loss of the downlink is around 40 dB at 3.5 GHz and the uplink loss is 32 dB at 7 GHz.
4126
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
with the receiver sensitivity of 90 dBm. The interrogation distance can be readily improved by increasing the transmitting power, enhancing the gain of transponder antennas, or using a receiver with higher sensitivity. In order to verify the capability to transmit the data signal, the received second harmonic power is measured with the bias voltage switching from 0 to 1 V to transmit bit “1” and bit “0” by the ASK modulation. Fig. 14 shows the measured spectrum using monopole antennas at the two bias states with the operating frequency 3.5 GHz at the interrogation distance of 12 cm. The measured second harmonic at 7 GHz is 41. 6 dBm at 0-V bias and 86.2 dBm at 1-V bias. V. CONCLUSION Fig. 13. Received second harmonic power at the receiver versus the interrogation distance d with transmitting power 20 dBm and operating frequency d . Both of the uplink distance d and the downlink 3.5 GHz, where d d distance d are varied.
= =
A novel NLTL-based RFID transponder to solve the self-interference problem is proposed. Analytical modeling is developed to facilitate the design procedures. Both of the simulation and measurements of the NLTL prototypes show the efficient harmonic generation under small input power. Further, the measurements with antenna test setup demonstrate effective transmission between the reader and the transponder using both monopole and D*dot antennas. The range of the tag has also been characterized to be between 0.3 to 0.8 m with a low transmitting power of 0.1 W and a relatively insensitive receiver with noise floor at 90 dBm. The maximum read range can be further enhanced by using a high-power transmitter, a low-noise receiver, or high-gain transponder antennas. REFERENCES
= 35
Fig. 14. Measured spectrum with operating frequency f : GHz and cm with V V and V V. the interrogation distance d Resolution bandwidth is 30 kHz.
= 12
=0
=1
To obtain the range characterisitic of the NLTL-based transponder, we measured the received harmonic power with from the transmitter to the different downlink distance from the tag to tag, shown in Fig. 12. The uplink distance the reader is maintained as 12 cm. It can be found that the second harmonic signal received by the interrogator varies as , independent of the antenna choices. This relation characteristic from the contrasts with the conventional transmitter to the transponder with the conventional backscattering scheme. This is mainly due to the additional second harmonic conversion dependence from the NLTL, which is also quadratic with the received input power. When increasing both and the uplink distance , since of the downlink distance from the tag back the uplink range has the characteristic of to the receiver, the NLTL-based transponder would have range behavior for the whole radio link shown in Fig. 13, which is also found in the single diode subharmonic tag [8]. From Fig. 13, it can be found that the maximum read range is around 0.3 m for D*dot antennas and 0.8 m for monopole antennas
[1] K. Finkenzeller, RFID Handbook, 2nd ed. New York: Wiley, 2003. [2] J. P. Curty, N. Joehl, C. Dehollain, and M. J. Declercq, “Remotely powered addressable UHF RFID integrated system,” IEEE J. Solid-State Circuits, vol. 40, no. 11, pp. 2193–2202, Nov. 2005. [3] U. Karthaus and M. Fischer, “Fully integrated passive UHF RFID transponder IC with 16.7-W minimum RF input power,” IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1602–1608, Oct. 2003. [4] A. Chamarti and K. Varahramyan, “Transmission delay line based ID generation circuit for RFID applications,” IEEE Microw. Wireless Compont. Lett., vol. 16, no. 11, pp. 588–590, Nov. 2006. [5] F. Kocer and M. P. Flynn, “A new transponder architecture with on-chip ADC for long-range telemetry applications,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1142–1148, May 2006. [6] M. Bouthinon, J. Gavan, and F. Zadworny, “Passive microwave transponder, frequency doubler for detecting avalanche victims,” in Proc. 10th Eur. Microw. Conf., Sep. 1980, pp. 579–583. [7] S. M. Aguilar and T. M. Wellerl, “Tunable harmonic re-radiator for sensing applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, vol. 2, pp. 1565–1568. [8] C. W. Pobanz and T. Itoh, “A microwave noncontact identification transponder using subharmonic interrogation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1673–1679, Jul. 1995. [9] F. Yu, K. G. Lyon, and E. C. Kan, “Harmonic generation from integrated nonlinear transmission lines for RFID applications,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 844–947. [10] K. Yamamoto, “A 1.8 V operation 5 GHz-band CMOS frequency doubler using current-reuse circuit design technique,” IEEE J. Solid-State Circuits, vol. 40, no. 6, pp. 1288–1295, Jun. 2005. [11] F. Ellinger and H. Jackel, “Ultracompact SOI CMOS frequency doubler for low power applications at 26.5–28.5 GHz,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 2, pp. 53–55, Feb. 2004. [12] E. Carman, M. Case, M. Kamegawa, R. Yu, K. Giboney, and M. Rodwell, “V -band and W -band broadband, monolithic distributed frequency multipliers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1992, vol. 2, pp. 819–822. [13] D. Salameh and D. Linton, “Microstrip GaAs transmission-line (NLTL) harmonic and pulse generators,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 7, pp. 1118–1122, Jul. 1999.
YU et al.: NOVEL PASSIVE RFID TRANSPONDER
[14] K. S. Champlin and D. R. Singh, “Small-signal second harmonic generation by a nonlinear transmission line,” IEEE Trans. Microw. Theory Tech., vol. MTT-34, no. 3, pp. 351–353, Mar. 1986. [15] K. G. Lyon, F. Yu, and E. C. Kan, “Space efficient CMOS nonlinear transmission lines,” in Proc. IEEE Circuits Syst. Int. Symp., May 2009, pp. 2073–2076. [16] J. R. Andrews, “UWB signal sources, antennas & propagation,” Picosecond Pulse Labs., Boulder, CO, Oct. 2003. [17] N. P. Agrawall, G. Kumar, and K. P. Ray, “Wide-band planar monopole antennas,” IEEE Trans. Antennas Propag., vol. 46, no. 2, pp. 294–295, Feb. 1998.
Fan Yu (S’09) received the B.E. degree from the University of Science and Technology of China, Hefei, China, in 2007, and is currently working toward the Ph.D. degree in electrical and computer engineering at Cornell University, Ithaca, NY. In Summer 2010, she was a Research Intern with GE Global Research, Niskayuna, NY. Her current research interests include RFID systems, ultra-wideband radio, nonlinear circuits, and flexible electronics.
4127
Keith G. Lyon (S’07–M’10) received the B.S. degree in computer engineering from the University of Wyoming, Laramie, in 2005, and the Ph.D. degree in electrical engineering from Cornell University, Ithaca, NY, in 2010. His doctoral research concerned nonconventional approaches to low-power radio, as well as applications of ferroelectric polymers to flexible electronics. His current research interests concern radio and wireless devices in flexible electronics.
Edwin Chihchuan Kan (S’86–M’91–SM’05) received the B.S. degree from National Taiwan University, Taipei, Taiwan, in 1984, and the M.S. and Ph.D. degrees from the the University of Illinois at Urbana-Champaign, in 1988 and 1992, respectively, all in electrical engineering. In January 1992, he joined Dawn Technologies, as a Principal Computer-Aided Design (CAD) Engineer, where he developed advanced electronic and optical device simulators and technology CAD framework. From 1994 to 1997, he was with Stanford University, as a Research Associate. From 1997 to 2002, he was an Assistant Professor with the School of Electrical and Computer Engineering, Cornell University, Ithaca, NY, where he is currently a Professor. In 2004 and 2005, he was a Visiting Researcher with Intel Research, Santa Clara, CA, and a Visiting Professor with Stanford University during his sabbatical leave. His main research areas include CMOS technology, semiconductor device physics, flash memory, CMOS sensors, ultra-low-power radio links, composite CAD, and numerical methods for partial differential equations (PDEs) and ordinary differential equations (ODEs). Dr. Kan was the recipient of the Presidential Early Career Award for Scientists and Engineer (PECASE) presented by the White House in 2000.
4128
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Millimeter-Wave Interferometric Angular Velocity Detection Jeffrey A. Nanzer, Member, IEEE
Abstract—A new method of detecting the angular velocity of moving objects using correlation interferometry is presented. As an object passes through the interferometer beam pattern, the frequency of the signal response is directly proportional to the angular velocity of the object. Only a simple frequency analysis is required to measure the velocity, whereas typical techniques require angle estimation and multiple looks to calculate the rate of angular change over time. The general response of the interferometer is derived, as well as the fringe frequency. The method is verified by detecting the angular velocity of a walking human with a millimeter-wave correlation interferometer, and experimental results of a walking human are presented. Index Terms—Correlation interferometry, human presence detection, radiometry, target detection.
I. INTRODUCTION
D
ETECTION of the angular velocity of an object is beneficial for many applications where target tracking or classification is desired, from human activity classification to vehicle trajectory determination, among many others. Determination of the trajectory of a moving object requires measuring the radial velocity as well as the azimuth and elevation angular velocities. Depending on the application, radial velocity may be measured by utilizing a Doppler radar or acoustic transducers [1], [2], however the angular velocity is not so simply determined. The target angle must be determined, and then the movement must be tracked and its change in angle over time calculated. Multiple looks are required to detect the angular change of the target position. This relies on processing as well as the accuracy of the angle calculation, while Doppler detection of the radial velocity relies primarily on the physics of the object and sensor motion and the frequency response of the sensor. In this paper, a new technique of detecting the angular velocity of an object using correlation interferometry is presented which relies on the sensor configuration and object physics. A simple frequency analysis is all that is required to determine the angular velocity, rather than angle estimation over multiple looks. An object passing through the interferometer beam
Manuscript received June 30, 2010; revised September 14, 2010; accepted September 23, 2010. Date of publication November 11, 2010; date of current version December 10, 2010. This work was supported in part by the University of Texas Applied Research Laboratories, Austin TX. This is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May23–28,2010. The author is with The Johns Hopkins University Applied Physics Laboratory, Laurel, MD 20723 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2086467
pattern produces an oscillation in the signal output whose frequency is directly proportional to the angular velocity of the object relative to the platform. The radiation detected by the sensor may be reflected or intrinsically emitted, such as thermal radiation. Utilizing a complex correlator, the direction of motion is determined by the sign of the frequency, similar to detecting a positive or negative Doppler shift in radial velocity detection. The correlation interferometer has been used in radio astronomy [3], [4] and for the remote sensing of the earth [5] in order to generate images at a finer angular resolution than can be practically achieved using a single filled aperture. Recently, it has been applied to the detection of human presence in highly cluttered outdoor environments [6], [7]. Detection at millimeter-wave frequencies has many benefits, including near all-weather capability [8] and minimal transmission loss through clothing materials [9]. Initial results of interferometric detection of the angular velocity of a moving human were presented in [10]. In this paper, the theory of detecting the angular velocity of a moving object is developed in detail and the signal response of a complex correlator, which can also determine the direction of movement, is derived. Section II derives the signal response and the fringe frequency. The signal is analyzed in the time-frequency domain for differing antenna beamwidths, which, when narrow, simplifies the equation for the fringe frequency. Simulations are shown in Section III for multiple objects and accelerating objects. Experimental results showing the detecting of a moving human are presented in Section IV demonstrating the validity of the angular velocity detection method. II. CORRELATION INTERFEROMETRY The concept of the detection method is illustrated in Fig. 1, showing a human walking tangentially past a correlation radiometer. The two-element correlation interferometer consists of two nominally identical receivers separated by a baseline , the outputs of which are multiplied and integrated. Fig. 2 shows the general structure of a two-element interferometer with a complex correlator. The signals from the receivers are split, and one channel is passed through a 90 phase shifter before the correlation process. The outputs of the two correlators thus form in-phase and quadrature signals. If the interferometer is viewing a monochromatic point source in free space, the normalized signals at the inputs to the in-phase multiplier can be given by
0018-9480/$26.00 © 2010 IEEE
(1)
NANZER: MILLIMETER-WAVE INTERFEROMETRIC ANGULAR VELOCITY DETECTION
4129
Fig. 3. Examples of the magnitude of the in-phase signal fringe pattern for multiple baselines for an interferometer assuming infinitesimal antenna sizes.
has been filtered where the higher frequency component at out by the time-averaging process. Similarly, the output of the quadrature correlator is given by
(4) The normalized complex output of the correlator can be found by combining the and signals to obtain Fig. 1. Conceptual diagram for human movement detection.
(5) The variation over in (2) produces an interference pattern in (5), called the fringe pattern. Fig. 3 shows examples of the magnitude of the in-phase fringe pattern for multiple baselines. As the baseline increases, the frequency of the oscillation increases. If the object emits broadband, noncoherent radiation, such as thermal radiation or otherwise, the effect of bandwidth on the output signal is simply the integration over the bandwidth . For an ideal square passband, the signal output (5) is intearound the RF center frequency grated over the bandwidth , giving
Fig. 2. Simplified diagram of a complex correlation interferometer.
(6) where
is the detected radiation frequency and
(2) is the geometrical time delay of radiation reception between the two antennas. The cosine terms of (1) represent the signal components and the terms represent noise components. The output of the in-phase multiplier is integrated with a low-pass filter, resulting in
The function in (6) is called the bandwidth pattern, or fringe washing function, and has the effect of angularly limiting the inalso terferometer response. Similarly, the antenna pattern affects the interferometer angular response and is included as a multiplicative factor in (6), resulting in (7) Substituting (2) gives (8)
(3)
is the wavelength of the detected radiation. Both the where bandwidth pattern and the antenna pattern angularly limit the response of the interferometer, and one may be neglected if it is significantly wider in angle. For the remainder of this paper,
4130
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
it is assumed that the bandwidth pattern is much wider than the antenna pattern and is neglected. However, bandwidth patterns resulting from wide bandwidths or long baselines may be made much narrower than the beamwidth a practical antenna can provide. Although the radiation has been assumed to be intrinsically generated (i.e., thermal) and radiating from the object itself, it should be noted that the development above and throughout this paper does not require this to be the case. Mathematically, all that is assumed is that radiation is coming from the object, and this radiation may be intrinsic or reflected from some source. For human presence detection, reflected environmental radiation in the millimeter-wave region of the electromagnetic spectrum is typically much lower in power than the intrinsic thermal radiation, and, thus, for human detection applications, it is the intrinsic thermal radiation that is detected. In other applications, it may be more beneficial to transmit a signal and detect the reflected energy from the moving object. The bandwidth pattern in (6) is then altered depending on the transmitted signal; for a monochromatic signal, the bandwidth pattern is a constant, and the response is given by (5) multiplied by the antenna pattern. For a transmitted signal, multipath interference may need to be considered. A. Detection of Angular Velocity The angular velocity angle over time as
of an object is given by its change in
(9) The angular velocity may also be given in terms of the tangential velocity of the object through its change in the tangential distance as (10) where is the object’s linear velocity tangential, or perpendicular, to the broadside direction of the sensor, and is the range, or distance between the object and the sensor. Note that (9) imin (8), thereby casting the plies that may be replaced by interferometer response as a function of time and angular velocity rather than angle. The angle 0 (broadside to the sensor) corresponds to a time of 0 s. Thus, the response can be given by (11) Casting the response in terms of the time and the angular velocity of the source is simply another way of representing the angle of the source; however, the time scale is defined such that the object is at broadside, and, therefore, . at B. Fringe Frequency The fringe frequency is the natural frequency of oscillation of the interferometer response and is the frequency of the phase , which results in term of (11), given by (12)
Fig. 4. (a) Fringe pattern of the in-phase correlation interferometer signal over 1.5 m 1 s , r 5 m, the field of view with the following parameters: v D 0.25 m, and 1.1 cm. The time axis is set to correspond to 6 in angle; the affect of the cosine function can be seen in the reduced frequency at the edges. (b) Resulting fringe frequency variation over the field of view. Due to the sinusoidal dependence on the argument, the fringe frequency goes to zero at 6 .
=
=
=
= = 90
= 90
Note that the sine function in the exponential of (11) makes the fringe frequency a function of time. Because the argument of the cosine is equivalent to the angle off broadside, (12) indicates that the fringe frequency detected by the sensor will decrease as the object moves away from broadside in either direction. This can be seen in Fig. 4(a), which shows (13) with the system parameters and 0.3 rad s . The frequency of the signal is shown in Fig. 4(b); it can be seen that the fringe frequency slows as the angle off broadside of the object increases. Fig. 5(a) shows the angular limiting effect of the antenna patterns (assumed equal on both antennas), for simulated anof 3.5 : the signal tennas with half-power beamwidth response (13) is reduced in length to the oscillations present only in the shortened time when the object passes through the narrow antenna beams. An expanded image of both the in-phase and quadrature signals is shown in Fig. 5(b). In the small region of the fringe pattern shown in Fig. 5(b), the oscillation frequency is approximately constant. This indicates that, since both the antenna pattern and the fringe washing function angularly limit the response of the interferometer, in
NANZER: MILLIMETER-WAVE INTERFEROMETRIC ANGULAR VELOCITY DETECTION
4131
is proportional to fringe frequency divided by the cosine of the angular velocity. The error in the measurement of the angular velocity is the difference between the two and is given by
(17) indicates the angular velocity calculated from the where narrow-beam approximation. Correction of the measurement error when (14) is invalid thus requires knowledge of the angle of the object or requires that the object pass through at some point so that the curve due to the cosine term may be determined. With the antenna beams angularly limiting the response, the worst case error in measuring the angular velocity is that present between the narrow-beam case (15) and the exact case (12) at the edge of the antenna beams and is given by (18)
Fig. 5. Fringe pattern of the correlation interferometer signal over the field of 1.1cm. view with parameters: v 1.5 m 1 s , r 5 m, D 0.25 m, t 0 s corresponds to . (a) In-phase signal including the affect of 3.5 beamwidth antennas. (b) Zoomed-in view of the in-phase and quadrature signal.
=
= =0
=
=
=
some cases the variation in fringe frequency due to angle may not be detected. For fixed nontracking antennas and when either the antenna pattern or the bandwidth pattern is sufficiently 1 rad, the narrow such that signals are only present when approximation may be made that (14) which is referred to as the narrow-beam approximation. The fringe frequency is then given by (15) where the hat indicates the narrow-beam approximation has been implemented. Determination of the target velocity is then greatly simplified, as the frequency response will be linear with angular velocity. The signal response is given by (16) In the application of intruder detection and human presence detection, the antennas typically remain fixed, either on a stationary or mobile platform [11], [12]; throughout the remainder of this paper, it will be assumed that the antennas are fixed, such that (14) remains valid. narrow, and angled to Using the narrow-beam approximation, the angular velocity of the object is proportional to the fringe frequency over all angles, whereas in the exact expression (12) the angular velocity
The angular velocity error introduced as the antenna beams widen creates a potential ambiguity in the measurement of the angular velocity; if the angle to the object is unknown, it cannot be determined where in the antenna beam the object is at a single point in time. If the object does not move through the center of the antenna beams, the frequency error cannot be unambiguously corrected for. The effect of narrowing the antenna pattern is shown through the time–frequency plots of (11) in Fig. 6 for antennas with of 45 , 22.5 , 10 , and 3.5 and neglecting the bandwidth pattern. Fig. 6 and subsequent plots show the short-time Fourier transform [13] of the data, which displays the response in the time-frequency domain to illustrate change in the response frequency to objects moving through the interferometer fringe pattern. The time axis has been set to coincide with the 0 s. It can object crossing the broadside direction at time be seen that the curved nature of the fringe frequency response over time is reduced as the beams narrow, and the frequency response becomes approximately linear. Additionally, because the signal becomes shorter in time, the frequency spread of the signal increases, reducing the frequency resolution and, therefore, also reducing the resolution of the angular frequency measurement. In terms of the angular velocity of the object and the time of the signal, reducing the antenna beamwidth reduces the time wherein the object is present within the beams. The same effect will be seen if the signal is sampled only over discrete times when the object is present in the beams, such as if a pulsed signal was reflected off the object. C. Object Direction The sign of the change in angle in (10) is dependent on the direction of change in the tangential distance and thus can be either positive or negative. If the change in position is positive, the object is moving in the clockwise direction and will produce a positive frequency; if negative, the object is moving in the counterclockwise direction and will produce a negative frequency. Thus, because the complex correlator response is phase-dependent (includes both and components), the direction of motion can be detected.
4132
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 7. Examples of the interferometer response to two moving objects with 1.1 cm. (a) Objects the following parameters: r 5 m, D 0.25 m, , moving with v and v 2 m 1 s . (b) Objects moving with 1.5 m 1 s 0.75 m s . Note that the object moving in the v 1.5 m 1 s and v opposite direction on the bottom example results in a negative frequency shift; the sign of the frequency indicates the direction of movement.
=
=
= =0
1
= =
=
where the exponential term is now a cosine. In this case, the frequency of the oscillation is unchanged and thus the angular velocity may still be determined, however, the direction is ambiguous since the output is now an even function ; movement in either direction will produce a positive frequency shift. The experiments conducted in this research used a simple correlator, thus the results shown later do not indicate the direction of motion, only the angular velocity. III. MULTIPLE OBJECTS AND ANGULAR ACCELERATION
Fig. 6. Examples of the interferometer response to different antenna 1.5 m 1 s , r 5 m, beamwidths with the following parameters: v D 0.25 m, and 1.1 cm.
=
=
=
=
If a noncomplex correlator is used, only the in-phase channel is detected, and the response of the interferometer is
(19)
Extension of the theory of interferometric angular velocity detection to multiple objects is done by a summation of signals received from multiple objects. Although the resolution limits of the theory are not investigated in this paper, multiple objects may be resolved as long as they are sufficiently separated in either angular velocity or space within the antenna beam. Fig. 7(a) shows a simulated plot of two point source objects . In this moving through an antenna beam with 0.3 rad s while the plot, one object is moving with second object has 0.4 rad s . It can be seen that the widened antenna beam results in the curving of the fringe frequency near the beam edges; this effect is more pronounced as the angular velocity increases. Fig. 7(b) shows a situation where two objects are moving in opposite directions: one object with 0.3 rad s and the other with 0.15 rad s . The
NANZER: MILLIMETER-WAVE INTERFEROMETRIC ANGULAR VELOCITY DETECTION
Fig. 8. Examples of the interferometer response to two accelerating objects 5 m, D 0.25 m, 1.1 cm. (a) with the following parameters: r Objects moving with a 0.05 m 1 s and a 0.1 m 1 s . (b), (c) Objects 0.05 m 1 s and a 0.2 m 1 s with (b) moving with a 10 and (c) = 22:5 . Overlaid on each are plots of the narrow-beam approximation, which are representative of the actual angular frequencies of the objects. The bottom plot demonstrates the increasing error between the actual response and the narrow-beam approximation of the fringe frequency due to . increasing
=
=
=
=
= =
4133
=
=
object moving in the counterclockwise direction produces a response with negative fringe frequency, thereby indicating the direction of movement. The signal was analyzed in the time-frequency domain using the short-time Fourier transform to demonstrate the changing frequency response over time and motivate experimental results
Fig. 9. Experimental setup (a) diagram and (b) photograph showing the person walking 5.75 m tangentially in front of the sensor. The antennas are shown in the foreground.
shown later in this paper. In the time-frequency domain, objects with acceleration can more easily be analyzed. Additionally, nonrigid objects with multiple radiating points moving at different velocities, such as a walking human, produce multiple frequencies with time which may be more easily analyzed with the short-time Fourier transform [14]. There are numerous situations where it is desirable to detect a moving object with time-varying angular velocity, in particular
4134
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 10. Block diagram of the correlation interferometer.
TABLE I INTERFEROMETER PARAMETERS
in the classification of human activity, which is currently accomplished using micro-Doppler signatures, which result from detection of torso movement, arm-swing velocity, and leg-swing velocity [12], [15], [16]. Fig. 8(a) shows the simulated response of two objects moving with constant accelerations of 0.05 and , and Fig. 8(b) 0.1 m s through antennas with shows two objects with ffaccelerations of 0.05 and 0.2 m s . Overlaid on these plots are the linear approximations of the calculated fringe frequencies for both objects, which result from the actual angular velocities of the objects, calculated using the narrow-beam approximation (15). The deviation from this line is due to the curving of the fringe frequency from the cosine term in (12), which was illustrated in Fig. 4(b). For the parameters simulated, the deviation in fringe frequency due to the narrowbeam approximation (14) is relatively small. Fig. 8(c) shows the . case of Fig. 8(b) with wider antenna beams of The narrow-beam approximation no longer holds for the fast moving object at times when the object is not near broadside. An error is thus introduced in the angular velocity measurement, due to the cosine term in the fringe frequency definition. To detect multiple arm-swings, it is likely necessary to have wide beams which may then complicate estimation of the angular frequencies. IV. EXPERIMENTAL EVALUATION
Fig. 11. Simulated theoretical data of a person walking tangentially past the interferometer at a velocity of 1.5 m 1 s at a range of 5.75 m at three different baselines. AWGN has been added to approximate the noise in the experimental system.
A. Measurement System To verify the detection method, a correlation interferometer was used to detect the angular velocity of a person walking tangentially to the sensor, as seen in the diagram and photograph of Fig. 9. The system is shown schematically in Fig. 10, and Table I gives a summary of the relevant system parameters of the correlation interferometer. The system used two 15.24-cm-diameter lens antennas with , and operated at an RF center frequency of 27.4 GHz. The LNAs provided 32 dB of gain with a noise figure of 3.2 dB, and the bandpass filters 500 MHz. The signal was set the system bandwidth of 1.5 GHz with a local oscillator (LO) downconverted to frequency 28.9 GHz. The IF bandpass filter had a band500 MHz to match the RF bandwidth, and the IF width LNAs provided 63 dB of gain. The analog correlator was realized with a mixer and low-pass filter, which acted as the integrator, with a cutoff frequency of
500 Hz. The corresponding integration time of an [3], which results in ideal low-pass filter is 1 ms for the experimental system. The system used a noncomplex correlator, providing only the in-phase signal. The response was thus of the form of (19). The analog signal was digitally converted using a TI MSP430 microprocessor and was postprocessed offline in MATLAB. Because the signal frequency is proportional to the angular velocity of the object through (15), the only required data processing is a simple analysis of the signal frequency over time. In this research, the signals were analyzed in the time-frequency domain using the short-time Fourier transform. B. Experiments Multiple tests were taken of the person walking past the in0.25 m. Three terferometer [see Fig. 9] at a range of 5.75
NANZER: MILLIMETER-WAVE INTERFEROMETRIC ANGULAR VELOCITY DETECTION
4135
TABLE II AVERAGE EXPERIMENTAL RESULTS FOR PERSON AT 5.75 m
Measured velocity calculated from measured angular velocity using the interferometer technique. Control measurements.
the narrow-beam case. The peaks seen in the time–frequency plots of Fig. 12 are the results of one pass through the interferometer beam pattern. The vertical axes on each plot show the resulting fringe frequency, the measured angular velocity, and the calculated velocity at a range of 5.75 m. Note that the angular velocity and velocity axes are constant on all three graphs but that the frequency axis changes. Table II summarizes the results of ten experiments taken at each of the three baselines. The fringe frequency of the person was determined from the frequency of the peaks in the response of the time–frequency signal and was averaged over all the peaks seen for each baseline, giving the average fringe frequency. The average fringe frequency increased as the baseline was increased, and the average measured angular velocity was consistently 0.26–0.27 rad s throughout the experiments. At a range of 5.75 m, the calculated velocity of the person is very close to the control value of 1.5 m s in each case, although due to the inability to measure the exact range to the walking person on each test, the calculated velocity measurements are accurate within approximately 0.06 m s . V. CONCLUSION
Fig. 12. Experimental data examples of a person walking tangentially past the interferometer at a velocity of approximately 1.5 m 1 s at a range of 5.75 m at three different baselines. The axes show the measured fringe frequency and angular velocity on the left and the velocity on the right, calculated using the range.
different antenna baselines of , , and were used, and the person walked with a velocity of 1.5 m s , which was measured by timing the person’s stride over a known distance. The range from the sensor to the person was not constant, since the person walked in a straight line rather than a circle around the sensor; however, because of the narrow-beam approximation, the angular distance that the person passes through is small, and the range within this angular window is approximately constant. In Fig. 11, the simulated response of the interferometer is shown for the three baselines, including additive white Gaussian noise (AWGN) approximating residual system noise. Fig. 12 shows examples of the experimental data, which are very similar to the simulated data, validating the theoretical development for
This paper has presented a new method of detecting the angular velocity of moving objects using correlation interferometry. The theory of the detection method was derived in general, and special cases were discussed for the application of human presence detection and classification. Simulated examples were presented to demonstrate the effect of narrowing the antenna beams, as well as the response to multiple objects and accelerating objects. The method was validated through experiments conducted with a person walking perpendicular to the sensor. Simulations were shown to match closely with experimental data, and measured angular velocity data were close to that predicted by theory. The primary application of the angular velocity detection method in this paper was human presence detection; however, there are numerous other applications where such a technique may prove useful, such as traffic control or tracking radar. Additionally, the potential exists for the detection of nonrigid body motion such as arm swing and leg swing, which would provide additional information for activity classification. ACKNOWLEDGMENT The author would like to thank Dr. R. L. Rogers, University of Texas Applied Research Laboratories, Austin, whose contributions to earlier work with the author on correlation radiometry
4136
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
helped motivate this research. Experiments were conducted at the University of Texas Applied Research Laboratories, Austin. REFERENCES [1] D. R. Wehner, High-Resolution Radar. Norwood, MA: Artech House, 1994. [2] H. R. Everett, Sensors for Mobile Robots: Theory and Application. Natick, MA: AK Peters, 1995. [3] J. D. Kraus, Radio Astronomy. New York: McGraw-Hill, 1966. [4] A. R. Thompson, J. M. Moran, and G. W. Swenson, Jr., Interferometry and Synthesis in Radio Astronomy. New York: Wiley, 2001. [5] C. S. Ruf et al., “Interferometric synthetic aperture microwave radiometry for the remote sensing of the Earth,” IEEE Trans. Geosci. Remote Sens., vol. 26, no. 5, pp. 597–611, Sep. 1988. [6] J. A. Nanzer and R. L. Rogers, “Human presence detection using millimeter-wave radiometry,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 12, pp. 2727–2733, Dec. 2007. [7] J. A. Nanzer and R. L. Rogers, “Applying millimeter-wave correlation radiometry to the detection of self-luminous objects at close range,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 9, pp. 2054–2061, Sep. 2008. [8] N. C. Currie and C. E. Brown, Principles and Applications of Millimeter-Wave Radar. Norwood, MA: Artech House, 1987, ch. 4. [9] J. E. Bjarnason et al., “Millimeter-wave, terahertz, and midinfrared transmission through common clothing,” Appl. Phys. Lett., vol. 85, pp. 519–521, 2004. [10] J. A. Nanzer, “Interferometric detection of the angular velocity of moving objects,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 1628–1631. -band correlation radiometer for [11] J. A. Nanzer and R. L. Rogers, “A human presence detection from a moving platform,” in IEEE MTT-S Int. Microw. Symp. Dig., 2007, pp. 385–388.
Ka
[12] M. G. Anderson and R. L. Rogers, “Micro-Doppler analysis of multiple frequency continuous wave radar signatures,” in Proc. Int. Soc. Opt. Eng., 2007, vol. 6547, Art. ID 65470A. [13] L. Cohen, Time Frequency Analysis: Theory and Applications. Englewood Cliffs, NJ: Prentice-Hall, 1994, ch. 7. [14] V. C. Chen and H. Ling, Time-Frequency Transforms for Radar Imaging and Signal Analysis. Norwood, MA: Artech House, 2002, ch. 8. [15] V. C. Chen, “Doppler signatures of radar backscattering from objects with micro-motions,” IET Signal Process., vol. 2, pp. 291–300, 2008. [16] K. Youngwook and L. Hao, “Human activity classification based on micro-doppler signatures using a support vector machine,” IEEE Trans. Geosci. Remote Sens., vol. 47, no. 5, pp. 1328–1337, May 2009. Jeffrey A. Nanzer (S’02–M’08) received the B.S. degree in electrical engineering and B.S. degree in computer engineering from Michigan State University, Lansing, in 2003, and the M.S.E. and Ph.D. degrees in electrical engineering from the University of Texas at Austin in 2005 and 2008, respectively. From 2002 to 2003, he was a Research Assistant with Michigan State University, Lansing, where he developed testing procedures for genetic-algorithmbased self-structuring antennas. From 2003 to 2008, he was a Graduate Research Assistant with the University of Texas at Austin Applied Research Laboratories, Austin, where he researched techniques of human presence detection using millimeter-wave radiometers and radars and where, from 2008 to 2009, he was a Post-Doctoral Fellow designing electrically small HF antennas and communications systems. In 2009, he joined The Johns Hopkins University Applied Physics Laboratory, Laurel, MD, where his research involves advanced radar technology. His research interests are in the areas of radar, active and passive human presence detection, radiometry, antennas, and remote sensing.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
4137
Analysis and Improvement of Direct-Conversion Transmitter Pulling Effects in Constant Envelope Modulation Systems Chieh-Hsun Hsiao, Student Member, IEEE, Chien-Jung Li, Member, IEEE, Fu-Kang Wang, Student Member, IEEE, Tzyy-Sheng Horng, Senior Member, IEEE, and Kang-Chun Peng, Member, IEEE
Abstract—Elucidating how local oscillator (LO) pulling affects a wireless direct-conversion transmitter that uses constant envelope modulation is of concern for global system for mobile communication (GSM). Therefore, this paper presents a phase dynamic model for a phase-locked loop (PLL) under directly modulated self-injection to evaluate the degraded phase noise performance of an LO pulled by a feedback modulation signal from the power amplifier output. Additionally, based on theoretical analysis, the proposed model can instruct system designers on how to optimize PLL parameters, as well as introduce an inner self-injection to minimize the impact of pulling effects. The improved performance is verified by implementing a Gaussian minimum-shift keying transmitter for GSM applications. Furthermore, the theoretical and experimental results correlate well with each other. Index Terms—Direct-conversion transmitter (DCT), injection pulling, local oscillator (LO) pulling, phase-locked loop (PLL), phase noise, self-injection locking, signal quality.
I. INTRODUCTION
A
DIRECT-CONVERSION transmitter (DCT) is usually adopted in system-on-chip for wireless communication systems because of its high integration and low power consumption. Fig. 1 illustrates a simple RF transmitter architecture, which contains a local oscillator (LO), quadrature modulator, and power amplifier (PA). The LO is normally based on a phase-locked loop (PLL) frequency synthesizer to provide a pure sinusoidal carrier. The modulator modulates baseband in-phase (I) and quadrature (Q) data on the RF carrier, followed by the PA to boost the transmitted modulation signal power. However, because of the zero offset between the transmit signal and LO frequency, the LO has become susceptible to the PA
Manuscript received July 03, 2010; revised October 05, 2010; accepted October 06, 2010. Date of publication November 09, 2010; date of current version December 10, 2010. This work was supported in part by the National Science Council, Taiwan, under Grant 97-2221-E-110-035-MY3, Grant 97-2628-E-110-041-MY3, Grant 98-2622-E-110-006-CC3, and Grant 99-2622-E-110-003-CC1, and by the Department of Industrial Technology, Taiwan, under Grant 98-EC-17-A-01-S1-104. This paper is an expanded paper from the IEEE MTT-S International Microwave Symposium, Anaheim, CA, May 23–28, 2010. C.-H. Hsiao, C.-J. Li, F.-K. Wang, and T.-S. Horng are with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung 80424, Taiwan (e-mail: [email protected]; [email protected]). K.-C. Peng is with the Department of Computer and Communication Engineering, National Kaohsiung First University of Science and Technology, Kaohsiung 811, Taiwan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2010.2087351
Fig. 1. Illustration of signal quality degradation owing to the LO pulling effects in a DCT.
output modulation interference, often causing the frequency pulling to corrupt the output spectrum and LO phase noise [1]–[4]. Despite various shielding and complex digital processing procedures [5]–[8] to increase the isolation between the LO and PA or to reduce the specific interfering signal as much as possible, no general solution is available thus far to eliminate the LO pulling effects in a DCT. Earlier, Adler [9] and others [10]–[20] studied the frequency pulling behavior of a free-running oscillator under injection of an independent sinusoidal signal. Many approaches have been developed recently to forecast the frequency pulling effects on various kinds of oscillators [16]–[20]. For instance, Razavi [14] considered a phase-locked oscillator (PLO) under an independent sinusoidal injection. Our previous work [20] provided theoretical and numerical approaches for accurately predicting the output spectra and phase noise variation of a PLO pulled by an independent modulation signal. Despite considerable effort to characterize the frequency pulling effects, however, a priority concern with respect to the LO pulling effects in a DCT is to develop an analytical system model for the LO pulled by an injected modulation signal with a carrier correlated with the LO output. While investigating the DCT pulling phenomenon in constant envelope modulation systems, this work presents a phase dynamic model for a PLL under directly modulated self-injection. Based on the analytical approaches, we can directly analyze the pulling effects on deteriorating transmission signals and develop the relative solutions. The preliminary publication of this work [21] theoretically analyzed how to estimate the phase noise and error vector magnitude (EVM) degradation under the pulling effects. This paper considerably expands upon the result of [21]
0018-9480/$26.00 © 2010 IEEE
4138
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
by including a detailed method of directly modulated self-injection analysis. In particular, this paper develops a PLL parameter optimization method to minimize the pulling effects. Moreover, an inner self-injection approach is proposed for the first time to improve the pulling effects based on a dual self-injection PLL model. The remainder of this paper is organized as follows. Section II describes how the pulling effects model is derived in the time and frequency domains. The proposed approach is analyzed in further detail for a DCT under injection pulling. Also, using the purposed model, the PLL parameters are characterized to achieve the optimal resistance to pulling effects. In Section III, we begin by introducing the inner self-injection approach. With the assistance of the frequency-domain dual self-injection PLL model, the operating principles of the proposed approach are also explicitly defined. Additionally, the theoretical calculation and experimental results regarding the phase noise and signal quality improvement are discussed. Section IV then demonstrates the improved results of the proposed approaches. Finally, Section V summarizes and concludes this paper. II. ANALYSIS APPROACH This section introduces the phase dynamic model for a directly modulated self-injection PLL, which is the initial step to theoretically analyze the LO pulling effects in a DCT. A constant envelope modulation communication system is considered when the proposed model is used to characterize the deterioration of transmitted signal quality. The experimental results are provided for model validation. III. LO PULLING EFFECTS MODEL Based on Adler’s analysis [9] and previous approach [20], the voltage-controlled oscillator (VCO) output signal can be regarded as a vector rotating clockwise with an instantaneous with respect to the injection frequency. beat frequency The instantaneous VCO output frequency related to the instantaneous injection frequency can be expressed as
Fig. 2. (a) Block diagram of an oscillator under injection. (b) Vector diagram of the signals as specified in Adler’s analysis.
can be rewritten as
(3) where defines the frequency separation between inherent oscillation and injection center frequency. As mentioned earlier, the PLL is disrupted by the PA output modulation signal, which has a center frequency equal to the synthesized frequency, via a parasitic coupling path. Therefore, should be zero for applying in this work. Consider that does not originate from a free-running oscillator, but rather from an LO controlled by a PLL. The PLL mechanism can dynami, cally correct the oscillation frequency via a tuning voltage of the and thus, the instantaneous frequency variation VCO can be replaced by , where is the tuning sensitivity of VCO. Assume that the VCO is finally phase locked with a weak injection. The assumptions can be mathematically and . restated as Therefore, the subsequent LO output frequency can be obtained and approximated as
(4)
(1) where where
(5) (2) is the well-known Adler’s equation. In (2), represents the inherent oscillation frequency determined by the tank circuit and with a quality factor in a VCO. Additionally, represent the injection signal and oscillation signal amplitude, respectively. Moreover, denotes the undisturbed beat frequency. Fig. 2 illustrates the simplified model of an oscillator under injection and the relative signal vector representation. In contrast with Adler’s analysis, we proceed with the derivation by initially assuming that the inherent oscillation and injecand tion frequency have an instantaneous variation , respectively. The undisturbed beat frequency in (2)
is interpreted as the locking range of a free-running oscillator is equivalent to and is regarded as an [5]. In (4), equivalent injection-induced source to cause frequency modulation in a PLL. Integrating (4) yields the resulting LO output phase as (6) where represents an initial oscillation phase. Notably, the above equation interprets the resulting LO output phase as a combination of the phase-locking mechanism and the injectionlocking process [20]. A different formulation for the coexistence of the phase and injection locking of oscillator can also
HSIAO et al.: ANALYSIS AND IMPROVEMENT OF DCT PULLING EFFECTS
4139
Fig. 3. Time-domain phase dynamics of a PLL under injection.
Fig. 4. Frequency-domain model for analyzing phase noise and modulation accuracy of a directly modulated self-injection PLL.
be found in [22], yielding a locking range expression different from (5) because of adding a feedback loop in the oscillator. In the following derivation, this work has an essential difference from [20] and [22] by considering a self-injection signal rather than an independent injection signal, as used in [20] and [22]. When the PLL enters the directly modulated self-injection locking state by inserting the LO output signal into the injection port of the VCO via a self-injection path, the instantaneous can be phase variation of the feedback interference signal considered as a delayed version of the instantaneous LO phase and DCT output phase modulation . With noise the assumptions of low LO phase noise and narrow modulation can be bandwidth, the equivalent injection-induced source approximated as
refer to the Laplace-domain representation of and , represents the resulting phase rerespectively, while sponse in the transmitter output. The dual-loop model shown in Fig. 4 is apparently a combination of the PLL and the self-incan be jection-locked loop, while the LO phase noise formulated as (8) with
(9)
(10) (7) where represents the time delay of the self-injection path. Based on the above derivations, the phase dynamics with all signals of interest in the PLL under injection can be modeled denotes the combined gain of the as shown in Fig. 3, where phase frequency detector (PFD) and the charge pump (CP), represents the impulse response of the loop filter; represents the divider modulus; and express the phase modis the ulation and phase of the reference, respectively; and output phase error of the PFD. Although such a phase dynamic model does not include the PA gain and self-injection path loss, , and they both will influence the injection signal amplitude . thus, the locking range This work analyzes the DCT pulling effects in a constant envelop modulation system. Therefore, in this work, the power-amplified output signal is treated as a phase-modulated of (PM) signal. The instantaneous phase modulation the PM signal can be extracted by using the vector signal analyzer (VSA). Therefore, we can develop a corresponding frequency-domain model for phase noise analysis of a directly modulated self-injection PLL by taking the Laplace transforms of (2), (6), and (7), as shown in Fig. 4. and represent In Fig. 4, the PLL forward- and feedback-path transfer function, respectively; denotes the self-injection path delay; and is an equivalent path gain for self-injection that is obtained from the Laplace transform of (6). , , denote the phase noise of the reference, oscillator, and and and LO output, respectively. Additionally,
Incorporating the preceding results into (8) leads to the overall LO phase noise as expressed in the following equations:
(11) where (12) (13) (14) Note that in (12)–(14), and are the self-injection-locked loop transfer functions and are given as (15) (16) where is the aforementioned equivalent path gain in the self-injection locked loop. Equation (11) indicates that the LO phase noise is broken down into its three major noise components, i.e., the reference, oscillator, and PM injection. The noise transfer functions (12)–(14) are obviously in an interaction of the PLL transfer , , and the self-injection-locked loop functions
4140
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
transfer functions and . Overall, , , and shows a property of low-pass, , high-pass, and bandpass filtering with respect to , and , respectively. With knowledge of the spectral power density for individual noise components, the overall LO phase noise power spectral density can be calculated by
(17) where , , and is the power spec, , and , respectively. tral density of Degradation of the modulation accuracy in a transmitter can be estimated by evaluating the distortion of the transmitter spectrum. It can be found from Fig. 4 output signal is equivalent to . Thus, that is the cause of the distortion. obviously Notably, the frequency-domain model presented in [20] can also predict the similar filtering characteristics of the noise transfer functions. However, the model in [20] is based on an independent modulated injection. In contrast, the model shown in Fig. 4 considers a modulated self-injection to include the dependence of system noise on the self-injection path delay . With such a consideration, the proposed model in this work can predict the DCT pulling effects more accurately. A. EVM Analysis As discussed earlier, the power-amplified interference is simplified as a PM self-injection signal. Therefore, the interference’s PM-to-PM influence dominates the deterioration of the transmitted signal quality. Based on the predicted phase noise using (17), degradation the power spectral density EVM can be estimated by referring to [23] and [24]. By inteover a specific frequency span from to grating . The root mean square (rms) phase error can be found as (18) represents the voltage noise in relation to the carwhere denotes the rier, which has a zero mean value. Additionally, standard deviation of the relative noise voltage. By definition, and EVM can thus be calculated as the rms phase error (19) (20) According to our analysis, the phase noise at the offset frequency close to the PM injection bandwidth more significantly impacts the EVM performance than at the other offset frequencies. Therefore, this observation inspires us to develop the following approaches. B. PLL Parameters Impact The experiment implements an -band direct conversion transmitter to verify the correctness of our theoretical analysis.
Fig. 5. Block diagram of the LO pulling test setup for a direct-conversion GMSK transmitter.
The proposed transmitter mainly includes an LO, IQ modulator, and PA. In the experiments, the LO is locked at 2.5 GHz, delivering an oscillation power of 0 dBm. This experiment is performed in Gaussian minimum-shift keying (GMSK) modulation with a 270.833-kb/s data rate, which is normally adopted in global system for mobile communication (GSM) systems. The baseband I and Q component signals are provided by an Agilent E4438C vector signal generator. Fig. 5 illustrates the experimental setup for testing the transmitter pulling effects, indicating that the power-amplified output GMSK modulation signal is fed back to the VCO via a splitter, delay line, attenuator, and circulator to act as a modulated outer self-injection signal into the LO. The transmitter output is connected to the spectrum and VSA with the assistance of a coupler for simultaneously measuring the output spectrum and EVM. It is noted that the attenuator attenuation is controllable to adjust the injection power level. Additionally the self-injection signal is isolated from the LO output signal by using the circulator. By referring to (11) and (14), the influence of PM injection noise on LO phase noise is dependent on the PLL transfer functions. Therefore, an attempt is made to change the PLL parameters for reducing the impact of PM injection noise. In strategy, the magcan nitude of the PM injection noise transfer function be diminished as much as possible to ensure the optimal transmitted signal quality. The following introduces the measured and calculated results of an LO influenced by various interference conditions and PLL parameters. Fig. 6(a) and (b) displays the magnitude response of noise , , and by transfer functions using (12)–(14) at a modulated outer self-injection power of 45 dBm and an outer self-injection path delay of 12.5 ns. In these figures, the dotted lines in blue (in online version), broken lines in red (in online version), and solid lines in black express the responses with PLL phase margin equal to 37 , 67 , and 85 , respectively. According to these figures, the magnitude of near symbol rate frethe dominant transfer function quency offset decreases with an increasing PLL phase margin. This finding implies that higher phase margin is more resistant to the pulling effects. Fig. 6(c)–(e) summarizes the measurement and calculation results of the LO phase noise and EVM degradation under various PLL phase margins. Comparing these results reveals an excellent correlation. Consider the stability performance of the overall system, the phase margin is often chosen between
HSIAO et al.: ANALYSIS AND IMPROVEMENT OF DCT PULLING EFFECTS
4141
Fig. 6. Analysis of LO pulling effects and validation under various PLL phase margins. (a) Calculated magnitude of the reference and oscillator noise transfer functions. (b) Calculated magnitude of the PM injection noise transfer function. (c) Calculated LO phase noise. (d) Measured LO phase noise (e) Calculated and measured transmitter EVMs.
Fig. 7. Analysis of LO pulling effects and validation under various PLL bandwidths. (a) Calculated magnitude of the reference and oscillator noise transfer functions. (b) Calculated magnitude of the PM injection noise transfer function. (c) Calculated LO phase noise. (d) Measured LO phase noise (e) Calculated and measured transmitter EVMs.
30 and 50 , while a higher PLL phase margin leads to more reduction of the peak phase noise response at the expense of increasing the locking time. However, if the LO suffers from pulling effects to cause significant deterioration in transmitted signal quality, the typically chosen PLL phase margins have to be increased to achieve the best resistance to the pulling effects. Fig. 7(a) and (b) shows the magnitude response of noise , , and as transfer functions the modulated outer self-injection power equals to 45 dBm and the outer self-injection path delay is 12.5 ns, while the dotted lines in blue (in online version), broken lines in red (in online version), and solid lines in black express the responses with PLL bandwidth equal to 50, 100, and 200 kHz, respectively. According to the above results, the magnitude
decreases with an of the dominant transfer function increasing PLL bandwidth at the frequency offset of concern, indicating that the impact of the PM injection noise becomes suppressed with a wider PLL bandwidth. Fig. 7(c)–(e) shows the measurement and calculation results of the LO phase noise and EVM degradation under different PLL bandwidths. An excellent correlation can be obtained from these figures. Consider the stability performance of the overall system, the PLL bandwidth is typically chosen to be 5%–10% of the reference signal frequency. Additionally, designers often select the PLL bandwidth at the intersection offset frequency of the reference and VCO phase noise curves to ensure the optimum performance of phase noise. However, if the LO is significantly disturbed by the PA interference, the typically chosen
4142
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 9. Frequency-domain model for analyzing phase noise and modulation accuracy of a dual self-injection PLL. Fig. 8. Block diagram of the pulling test setup for the direct-conversion GMSK transmitter with an inner self-injection locked loop.
where (22)
PLL bandwidths have to be increased to ensure the optimal pulling effects resistance.
(23)
IV. IMPROVEMENT APPROACH
(24)
A few studies [25]–[28] have recently developed a self-injection approach to stabilize and reduce the phase noise for microwave oscillators. For instance, Chang [25] explained the phase-noise reduction as an intuitive result of the oscillator synchronization to serve as a re-inject stabilized signal. In other words, the self-injection mechanism can provide a correction signal to readjust oscillating condition. Importantly, the oscillator phase fluctuation can be reduced as long as satisfying the stable constraints of self-injection-locking. Of particular interest here is the dependency of the oscillator phase noise reduction extent upon different self-injection conditions. This characteristic will be exploited in the proposed approach to achieve substantial reduction in pulling effects, including LO phase noise degradation, spectral regrowth, and EVM degradation. A. Inner Self-Injection Locked Loop Fig. 8 depicts the experimental setup, which is used to demonstrate the improvement in LO phase noise and transmitted signal quality performance by applying an inner self-injection locked loop. In this figure, the difference from Fig. 5 is only that part of LO signal disturbed by PA output modulation signal is feedback to the VCO as part of injection signal to establish the inner self-injection locked loop. In this loop, a delay line, phase shifter, and variable controllable amplifier (VGA) are used to adjust the delay time, injection angle, and injection power to ensure the maximum amount of the transmitted signal quality improvement. The dual self-injection PLL model for the experimental setup in Fig. 8 is shown in Fig. 9, while the inner self-injection locked loop is the proposed improved mechanism as the outer one is preserved to imitate the pulling phenomenon. In this figure, , , and represent the equivalent path gain, delay time, and injection angle, respectively, of the inner self-injection locked loop, while the other parameters are used to describe the PLL and the modulated outer self-injection locked can be rewritten as a loop. The overall phase noise combination of the PLL and two self-injection locked loops
(21)
Note that in (22)–(24), is the inner self-injectionlocked loop transfer function and is given as (25)
B. Applicable Parameters This section characterizes how to adjust the inner self-injection locked parameters to compensate for the LO pulling effects. With the assistance of the proposed dual self-injection PLL model, the forecasted phase noise and EVMs results are compared with measurement results to account for the improved performance of the inner self-injection approach. Fig. 10(a) and (b) plots the calculated magnitude response , , and of the noise transfer functions as the modulated outer self-injection power equals to 45 dBm. In these figures, the dotted lines in blue (in online version), broken lines in red (in online version), and solid lines in black express the responses with constant inner self-injection path delay of 20 ns and an injection angle of 180 . However, they are applied with various inner self-injection powers, which are equal to 20, 10, and 3, respectively. According to the above results, the magnitude of the dominant noise transfer within the PLL bandwidth goes lower as function the inner self-injection power increases, indicating that the impact of the PM injection noise is diminished with an increasing inner self-injection power. Fig. 10(c)–(e) summarizes the measurement and calculation results of LO phase noise and EVM degradation under different inner self-injection powers. According to these figures, theoretical and experimental results coincide with each other up to a modulated outer self-injection power level of 45 dBm. Under identical LO pulling conditions, higher inner self-injection power implies a greater improvement of transmitted signal quality. Notably, the applied GMSK signal is of narrow bandwidth in Adler’s analysis is rather so that the resulting angle small. After inspection, the small-signal condition shown as
HSIAO et al.: ANALYSIS AND IMPROVEMENT OF DCT PULLING EFFECTS
Fig. 10. Improvement of LO pulling effects and validation under various inner self-injection power levels. (a) Calculated magnitude of the reference and oscillator noise transfer functions. (b) Calculated magnitude of the PM injection noise transfer function. (c) Calculated LO phase noise. (d) Measured LO phase noise (e) Calculated and measured transmitter EVMs.
still holds when the injection power increases up to 3 dBm. Therefore, the nonlinear effects are ignored for this case. Fig. 11(a) and (b) plots the calculated magnitude response , , and of the noise transfer functions as the modulated outer self-injection power equals to 45 dBm. In these figures, the dotted lines in blue (in online version), broken lines in red (in online version), and solid lines in black express the responses with constant inner self-injection power of 3 dBm and path delay of 20 ns, but with different inner self-injection angles which are equal to 0 , 100 , and 180 , respectively. Fig. 11(c)–(e) illustrates the measurement and calculation results of LO phase noise and transmitted signal
4143
Fig. 11. Improvement of LO pulling effects and validation under various inner self-injection angles. (a) Calculated magnitude of the reference and oscillator noise transfer functions. (b) Calculated magnitude of the PM injection noise transfer function. (c) Calculated LO phase noise. (d) Measured LO phase noise (e) Calculated and measured transmitter EVMs.
EVM degradation under different inner self-injection angles. An excellent correlation can be obtained from these figures, revealing that the different angles correspond to different phase noise improved areas. A situation in which the inner self-injection angle is approximated from 90 to 180 helps to diminish in-band phase noise, but slightly deteriorates the out-band phase noise, and, vice versa, with the angle approximately less than 90 . This tradeoff depends on the specification requirement of a realistic communication system. The optimum value for can be found by solving for the derivative of the PM injection noise transfer function shown in (24) equal to zero. This yields for the minimum transfer function magnitude.
4144
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Fig. 13. Comparison of EVM performance by selecting different combinations of the PLL and inner self-injection parameters.
losses in actual delay lines, making it unlikely to achieve an arbitrary long delay without decaying injection power. It is noted that the inner self-injection approach on phase-noise reduction will not take into effects as the injection power decreases seriously. Notably, the analyses in [25] and [27] consider the carrier phase delay via the self-injection path and find that the phase noise improvement is not monotonically increasing with the path delay. With different treatment, this work includes the carrier phase delay as part of the self-injection angle and evaluates the dependence of LO phase noise and transmitter EVM , the optimum angle for minon the path delay at imizing the effect of PM injection noise. Under this optimum condition, this work theoretically and experimentally evidences that a longer path delay leads to a lower LO phase noise and transmitter EVM. C. Optimal Design Strategy
Fig. 12. Improvement of LO pulling effects and validation under various inner self-injection delays. (a) Calculated magnitude of the reference and oscillator noise transfer functions. (b) Calculated magnitude of the PM injection noise transfer function. (c) Calculated LO phase noise. (d) Measured LO phase noise (e) Calculated and measured transmitter EVMs.
Fig. 12(a) and (b) plots the calculated magnitude response of the noise transfer functions , , and as the injection pulling power equals to 45 dBm. In these figures, the dotted lines in blue (in online version), broken lines in red (in online version), and solid lines in black express the responses with constant inner self-injection power of 3 dBm and self-injection angle of 180 , but with different injection path delays, which are equivalent to 2.5, 10, and 20 ns, respectively. The measurement and calculation results of LO phase noise and transmitter EVM under different path delays are shown in Fig. 12(c)–(e). According to these figures, the LO phase noise and transmitter EVM are effectively improved with an increasing path delay. However, there are
The above theoretical analysis allows us to optimize the PLL parameters in order to alleviate the pulling effects. Moreover, an inner self-injection locked loop is introduced to enhance the transmitted signal quality. Based on the measurement results, we recommend that designers select a larger PLL bandwidth and phase margin together with applying the inner self-injection approach simultaneously for achieving the optimal pulling-effect resistance. Notably, the above hypothesis is made by assuming that altering these parameters does not affect the system stability. Fig. 13 compares the performance of EVM with different proposed improved method combinations. In this figure, the dotted line in blue (in online version), broken line in red (in online version), and solid line in black represent the improved results by only adjusting the PLL parameters, by only applying the inner self-injection approach, and by performing above two approaches simultaneously, respectively. Additionally, the solid line in green (in online version) expresses the EVM results without applying any improvement procedure, and the solid line in gray depicts the EVM minimum specification requirement for GSM [29]. The measurement results correlate well with the theoretical analyzing ones. Furthermore, the EVMs are
HSIAO et al.: ANALYSIS AND IMPROVEMENT OF DCT PULLING EFFECTS
4145
EVM of the transmitter was effectively limited to 3%–5% up to a modulation injection power level of 45 dBm. Consequently, the directly modulated self-injection PLL analysis provides an effective means of characterizing the DCT pulling phenomenon. Moreover, the pulling effects can be successfully suppressed by executing the suggested optimal design strategy. REFERENCES
Fig. 14. Measured DCT output spectra for comparing the LO pulling effects between with and without improvement. TABLE I COMPARISON OF ACPR RESULTS
significantly improved by a maximum value of around 30% as the modulated outer self-injection power equals to 45 dBm. D. ACPR Performance As mentioned in Section I, an LO is easily disturbed by the PA output modulation signal, subsequently inducing pulling effects to contaminate the spectral purity, and ultimately to degrade the transmitted signal quality. Fig. 14 displays how the pulling effects influence on transmitted output spectral regrowth at a modulated outer self-injection power of 45 dBm, while the dotted line in blue (in online version), broken line in red (in online version), and solid line in black represent the pulled, improved pulled, and unpulled spectrum, respectively. Comparison shows that severe spectral regrowth occurs in the pulled spectrum, but the phenomenon is significantly reduced by the proposed optimal design methodology, as can be seen in the improved pulled spectrum. Table I summarizes the comparison of the adjacent channel power ratio (ACPR) results. It shows that the proposed approach improves ACPR by 2.5 and 12 dB at the frequency offset of 100 and 200 kHz, respectively, but degrades the ACPR at a higher frequency offset. This is because the proposed approach mainly suppresses the in-band noise, but at a cost of slightly increasing the out-band noise. V. CONCLUSION By thoroughly elucidating how LO pulling affects a DCT that uses the GMSK modulation, this work presents a dual-loop phase dynamic model based on a directly modulated self-injection PLL to account for and predict the degradation of phase noise and signal quality due to the pulling effects. The calculation and measurement results correlate well with each other. A novel innovation is proposed to optimize the PLL parameters and introduce the inner self-injection locked loop to mitigate the pulling effects. With the assistance of both approaches, the
[1] B. Razavi, “Challenges in portable RF transceiver design,” IEEE Circuits Devices Mag., vol. 12, no. 5, pp. 12–25, Sep. 1996. [2] B. Razavi, “RF transmitter architectures and circuits,” in Proc. IEEE Custom Integr. Circuits Conf., San Diego, CA, 1999, pp. 197–204. [3] R. E. Best, Phase-Locked Loops: Theory, Design, and Applications, 2nd ed. New York: McGraw-Hill, 1993. [4] I. Krivokapic and M. Oskowsky, “GTEM cell method based comparative analysis of performance degradation in integer and fractional frequency synthesizer based direct conversion CDMA transmitters,” in Proc. Freq. Control Symp. and Expos., 2005, pp. 569–574. [5] J. Dominguez, A. Suarez, and S. Sancho, “Semi-analytical formulation for the analysis and reduction of injection-pulling in front-end oscillators,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 1589–1592. [6] R.-B. Staszewski, D. Leipold, and P.-T. Balsara, “Direct frequency modulation of an ADPLL for Bluetooth/GSM with injection pulling elimination,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 52, no. 6, pp. 339–343, Jun. 2005. [7] S. Mendel, C. Vogel, and N. D. Dalt, “A phase-domain all digital phaselocked architecture without reference clock retiming,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 11, pp. 860–864, Nov. 2009. [8] I. Bashir, R.-B. Staszewski, O. Eliezer, K. Waheed, V. Zoicas, N. Tal, J. Mehta, M.-C. Lee, P.-T. Balsara, and B. Banerjee, “An EDGE transmitter with mitigation of oscillator pulling,” in IEEE Radio Freq. Integr. Circuit Symp. Dig., May 2010, pp. 13–16. [9] R. Adler, “A study of locking phenomena in oscillators,” Proc. IRE, vol. 34, no. 6, pp. 351–357, Jun. 1946. [10] R. D. Huntoon and A. Weiss, “Synchronization of oscillators,” Proc. IRE, vol. 35, no. 12, pp. 1415–1423, Dec. 1947. [11] K. Kurokawa, “Noise in synchronized oscillators,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 4, pp. 234–240, Apr. 1968. [12] K. Kurokawa, “Injection locking of microwave solid-state oscillators,” Proc. IEEE, vol. 61, no. 10, pp. 1386–1410, Oct. 1973. [13] X. Lai and J. Roychowdhury, “Automated oscillator macromodelling techniques for capturing amplitude variations and injection locking,” in Proc. IEEE/ACM Int. Comput.-Aided Design Conf., San Jose, CA, Nov. 2004, pp. 687–694. [14] B. Razavi, “A study of injection locking and pulling in oscillators,” IEEE J. Solid-State Circuits, vol. 39, no. 9, pp. 1415–1424, Sep. 2004. [15] A. Mirzaei, M. E. Heidari, and A. A. Abidi, “Analysis of oscillators locked by large injection signals: Generalized adler’s equation and geometrical interpretation,” in Proc. IEEE Custom Integr. Circuits Conf., San Jose, CA, Sep. 2006, pp. 737–740. [16] M. E. Heidari and A. A. Abidi, “Behavioral models of frequency pulling in oscillators,” in Proc. IEEE Int. Behavioral Modeling Simulation Workshop, San Jose, CA, Sep. 2007, pp. 100–104. [17] P. Bhansali and J. Roychowdhury, “Gen-Adler: The generalized Adler’s equation for injection locking analysis in oscillators,” in IEEE Asia–Pacific Microwave Conf., 2009, pp. 522–527. [18] P. Maffezzoni and D. D’Amor, “Evaluating pulling effects in oscillators due to small-signal injection,” IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst., vol. 28, no. 1, pp. 22–31, Jun. 2009. [19] C.-J. Li, C.-H. Hsiao, F.-K. Wang, T.-S. Horng, and K.-C. Peng, “A rigorous analysis of a phased-locked oscillator under injection,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., 2009, pp. 409–412. [20] C.-J. Li, C.-H. Hsiao, F.-K. Wang, T.-S. Horng, and K.-C. Peng, “A rigorous analysis of a phased-locked oscillator under injection,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 5, pp. 1391–1400, May 2010. [21] C.-H. Hsiao, C.-J. Li, F.-K. Wang, T.-S. Horng, and K.-C. Peng, “Study of direct-conversion transmitter pulling effects in constant envelope modulation systems,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 1174–1177. [22] H.-C. Chang, A. Borgioli, P. Yeh, and R.-A. York, “Analysis of oscillators with external feedback loop for improved locking range and noise reduction,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 8, pp. 1535–1543, Aug. 1999.
4146
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
[23] L. Pan, Y. Bar-Ness, and J. Zhu, “Effects of phase noise at both transmitter and receiver on the performance of OFDM systems,” in Proc. 40th Annu. Inform. Sci. Syst. Conf., Mar. 2006, pp. 312–316. [24] D. Banerjee, PLL Performance, Simulation, and Design, 4th ed. Indianapolis, IN: Dog Ear Publishing, 2006. [25] H.-C. Chang, “Stability analysis of self-injection-locked oscillators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 1989–1993, Sep. 2003. [26] H.-C. Chang, “Phase noise in self-injection-locked oscillators-theory and experiment,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 9, pp. 199–1994, Sep. 2003. [27] A. Suarez and F. Ramirez, “Analysis of stabilization circuits for phasenoise reduction in microwave oscillators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2743–2751, Sep. 2005. [28] T.-P. Wang, Z.-M. Tsai, K.-J. Sun, and H. Wang, “Phase noise reduction of -band push–push oscillator with second-harmonic self-injection techniques,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 1, pp. 66–77, Jan. 2007. [29] “Understanding GSM/EDGE transmitter and receiver measurements for base transceiver stations and their components,” Agilent Technol., Santa Clara, CA, Appl. Note 1312, 2002.
X
Chieh-Hsun Hsiao (S’10) was born in Kaohsiung, Taiwan, on June 5, 1984. He received the B.S.E.E. and M.S.E.E. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2006 and 2008, respectively, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His doctoral research concerns phase- and injection-locked oscillators.
Chien-Jung Li (S’07–M’10) was born in Tainan, Taiwan, on October 26, 1979. He received the B.S.E.E. and Ph.D. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2002 and 2009, respectively. He is currently a Postdoctoral Fellow with the Department of Electrical Engineering, National Sun Yat-Sen University. His research interests include PA linearization techniques, frequency synthesizer designs, and LO pulling issues in direct-conversion transceivers.
Fu-Kang Wang (S’10) was born in Kaohsiung, Taiwan, on May 15, 1985. He received the B.S.E.E. and M.S.E.E. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2007 and 2009, respectively, and is currently working toward the Ph.D. degree in electrical engineering at National Sun Yat-Sen University. His doctoral research concerns RF sensing techniques.
Tzyy-Sheng Horng (S’88–M’92–SM’05) was born in Taichung, Taiwan, on December 7, 1963. He received the B.S.E.E. degree from National Taiwan University, Taipei, Taiwan, in 1985, and the M.S.E.E. and Ph.D. degrees from the University of California at Los Angeles (UCLA), in 1990 and 1992, respectively. Since August 1992, he has been with the Department of Electrical Engineering, National Sun Yat-Sen University, Kaohsiung, Taiwan, where he was the Director of the Telecommunication Research and Development Center (2003–2008) and Director of the Institute of Communications Engineering (2004–2007), and where he is currently a Professor. He has authored or coauthored over 100 technical publications published in refereed journals and conferences proceedings. He holds over ten patents. His research interests include RF and microwave integrated circuits and components, RF signal integrity for wireless system-in-package, and digitally assisted RF technologies. Dr. Horng has served on several Technical Program Committees of international conferences including the International Association of Science and Technology for Development (IASTED) International Conference on Wireless and Optical Communications, the IEEE Region 10 International Technical Conference, the IEEE International Workshop on Electrical Design of Advanced Packaging and Systems, the Asia–Pacific Microwave Conference, the IEEE Radio and Wireless Symposium, and the Electronic Components and Technology Conference. He was the recipient of the 1996 Young Scientist Award presented by the International Union of Radio Science, the 1998 Industry–Education Cooperation Award presented by the Ministry of Education, Taiwan, and the 2010 Distinguished Electrical Engineer Award presented by the Chinese Institute of Electrical Engineering, Taiwan.
Kang-Chun Peng (S’00–M’05) was born February 18, 1976, in Taipei, Taiwan. He received the B.S.E.E., M.S.E.E., and Ph.D. degrees from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 1998, 2000, and 2005, respectively. He is currently an Assistant Professor with the Department of Computer and Communication Engineering, National Kaohsiung First University of Science and Technology, Kaohsiung, Taiwan. His current research interests are in the area of delta–sigma modulation techniques, low-noise PLLs, low-power VCOs, and modulated frequency synthesizers.
Digital Object Identifier 10.1109/TMTT.2010.2096996
Digital Object Identifier 10.1109/TMTT.2010.2097011
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
4149
2010 Index IEEE Transactions on Microwave Theory and Techniques Vol. 58 This index covers all technical items — papers, correspondence, reviews, etc. — that appeared in this periodical during 2010, and items from previous years that were commented upon or corrected in 2010. Departments and other items may also be covered if they have been judged to have archival value. The Author Index contains the primary entry for each item, listed under the first author’s name. The primary entry includes the coauthors’ names, the title of the paper or other item, and its location, specified by the publication abbreviation, year, month, and inclusive pagination. The Subject Index contains entries describing the item under all appropriate subject headings, plus the first author’s name, the publication abbreviation, month, and year, and inclusive pages. Note that the item title is found only under the primary entry in the Author Index. AUTHOR INDEX
A Abbasi, Q. H., Sani, A., Alomainy, A., and Hao, Y., On-Body Radio Channel Characterization and System-Level Modeling for Multiband OFDM UltraWideband Body-Centric Wireless Network; TMTT Dec. 2010 3485-3492 Abbaspour-Tamijani, A., see Koochakzadeh, M., TMTT May 2010 1205-1212 Abbaspour-Tamijani, A., see Koochakzadeh, M., TMTT April 2010 949-955 Abhari, R., see Smith, N. A., TMTT Dec. 2010 3824-3831 Abhari, R., see Schwartz, J. D., TMTT July 2010 1858-1866 Abiri, H., see Fallahi, A., TMTT Aug. 2010 2175-2187 Abouchahine, M., Saleh, A., Neveux, G., Reveyrand, T., Teyssier, J.-P., Rousset, D., Barataud, D., and Nebus, J.-M., Broadband Time-Domain Measurement System for the Characterization of Nonlinear Microwave Devices With Memory; TMTT April 2010 1038-1045 Abunjaileh, A. I., and Hunter, I. C., Tunable Bandpass and Bandstop Filters Based on Dual-Band Combline Structures; TMTT Dec. 2010 3710-3719 Achenbach, S., see Klymyshyn, D. M., TMTT Nov. 2010 2976-2986 Adams, M., see Villegas, F. J., TMTT April 2010 1003-1011 Addamo, G., see Peverini, O. A., TMTT Feb. 2010 440-450 Aflaki, P., see Ghannouchi, F. M., TMTT Nov. 2010 2812-2819 Aflatouni, F., Bagheri, M., and Hashemi, H., Design Methodology and Architectures to Reduce the Semiconductor Laser Phase Noise Using Electrical Feedforward Schemes; TMTT Nov. 2010 3290-3303 Afsardoost, S., see Tehrani, A. S., TMTT June 2010 1510-1520 Afshari, E., see Tousi, Y. M., TMTT Oct. 2010 2549-2561 Afshari, E., see Lilis, G. N., TMTT July 2010 1713-1723 Agarwal, K., Pan, L., and Chen, X., Subspace-Based Optimization Method for Reconstruction of 2-D Complex Anisotropic Dielectric Objects; TMTT April 2010 1065-1074 Aghamoradi, F., see McGregor, I., TMTT Dec. 2010 3809-3814 Ahn, H.-R., and Itoh, T., Impedance-Transforming Symmetric and Asymmetric DC Blocks; TMTT Sept. 2010 2463-2474 Ahn, H.-R., and Itoh, T., New Isolation Circuits of Compact Impedance-Transforming 3-dB Baluns for Theoretically Perfect Isolation and Matching; TMTT Dec. 2010 3892-3902 Ahn, M., see Cha, J., TMTT Dec. 2010 3626-3633 Aikawa, M., see Kawasaki, K., TMTT July 2010 1696-1702 Akbarzadeh Jahromi, A., see Hatefi Ardakani, H., TMTT Dec. 2010 34093416 Akimoto, S., Kikuchi, S., Nagaoka, T., Saito, K., Watanabe, S., Takahashi, M., and Ito, K., Evaluation of Specific Absorption Rate for a Fetus by Portable Radio Terminal Close to the Abdomen of a Pregnant Woman; TMTT Dec. 2010 3859-3865 Akiyama, M., see Ueda, T., TMTT July 2010 1766-1773 Akleman, F., see Kilic, E., TMTT May 2010 1290-1296 Aksun, M. I., see Alparslan, A., TMTT March 2010 602-613 Al-Qedra, M., Aronsson, J., and Okhmatovski, V., A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation; TMTT Dec. 2010 3872-3881 Alderman, B. E. J., see Ederra, I., TMTT July 2010 1734-1741 Almgren, B., see Nemati, H. M., TMTT Nov. 2010 2820-2828
Alomainy, A., see Abbasi, Q. H., TMTT Dec. 2010 3485-3492 Alparslan, A., Aksun, M. I., and Michalski, K. A., Closed-Form Green’s Functions in Planar Layered Media for All Ranges and Materials; TMTT March 2010 602-613 am Weg, C., see Friederich, F., TMTT July 2010 2022-2026 am Weg, C., see von Spiegel, W., TMTT July 2010 2008-2013 Amann, A., see O’Brien, S., TMTT Nov. 2010 3083-3087 Amann, M.-C., see Zhu, N. H., TMTT May 2010 1283-1289 Amari, S., Seyfert, F., and Bekheit, M., Theory of Coupled Resonator Microwave Bandpass Filters of Arbitrary Bandwidth; TMTT Aug. 2010 21882203 Amer, A., see El-Nozahi, M., TMTT Nov. 2010 2717-2730 Amiot, N., see Llorente, R., TMTT Nov. 2010 3304-3311 An, S., see Lee, S. M., TMTT April 2010 747-756 Anandarajah, P. M., see Shams, H., TMTT Nov. 2010 3372-3380 Andersson, K., see Saad, P., TMTT July 2010 1677-1685 Ando, M., see Hirano, T., TMTT Oct. 2010 2663-2672 Ando, M., see Suga, R., TMTT Dec. 2010 3989-3995 Antonini, G., see Ferranti, F., TMTT Dec. 2010 3688-3696 Anttila, L., Handel, P., and Valkama, M., Joint Mitigation of Power Amplifier and I/Q Modulator Impairments in Broadband Direct-Conversion Transmitters; TMTT April 2010 730-739 Aoyagi, T., see Sakai, K., TMTT Nov. 2010 3154-3160 Aparin, V., see Kim, N., TMTT April 2010 820-830 Apollonio, F., see Paffi, A., TMTT Oct. 2010 2702-2714 Apollonio, F., see Merla, C., TMTT Dec. 2010 4079-4085 Apollonio, F., see Paffi, A., TMTT Dec. 2010 4086-4093 Apollonio, F., see Merla, C., TMTT March 2010 691-698 Armenta, R. B., and Sarris, C. D., A General Procedure for Introducing Structured Nonorthogonal Discretization Grids Into High-Order Finite-Difference Time-Domain Methods; TMTT July 2010 1818-1829 Arnaud-Cormos, D., see Merla, C., TMTT Dec. 2010 4079-4085 Aronsson, J., see Al-Qedra, M., TMTT Dec. 2010 3872-3881 Aronsson, J., Butt, K., Jeffrey, I., and Okhmatovski, V. I., The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media; TMTT May 2010 1175-1188 Asbeck, P. M., see Pornpromlikit, S., TMTT Jan. 2010 57-64 Asbeck, P. M., see Hong, Y.-P., TMTT June 2010 1565-1572 Ashrafi, R., Park, Y., and Azana, J., Fiber-Based Photonic Generation of HighFrequency Microwave Pulses With Reconfigurable Linear Chirp Control; TMTT Nov. 2010 3312-3319 Asuri, B., see Farazian, M., TMTT May 2010 1349-1358 Atarodi, M., see Meghdadi, M., TMTT Dec. 2010 3519-3526 Athukorala, L., and Budimir, D., Design of Compact Dual-Mode Microstrip Filters; TMTT Nov. 2010 2888-2895 Atikian, H. A., Ghamsari, B. G., and Majedi, A. H., Experimental Characterization of Optically Tunable High-Temperature Superconducting Microwave Resonators and Delay Lines; TMTT Nov. 2010 3320-3326 Avolio, G., see Raffo, A., TMTT April 2010 710-718 Azana, J., see Ashrafi, R., TMTT Nov. 2010 3312-3319 Azana, J., see Schwartz, J. D., TMTT July 2010 1858-1866 Azizi, M., see Meghdadi, M., TMTT Dec. 2010 3519-3526
B Babiel, S., see Stohr, A., TMTT Nov. 2010 3071-3082 Baccarelli, P., see Valerio, G., TMTT July 2010 1796-1806 Baccarelli, P., see Paulotto, S., TMTT July 2010 1807-1817 Bachelet, Y., see Bourdel, S., TMTT Jan. 2010 65-73 Bachiller, C., Esteban, H., Mata, H., Valdes, M.A., Boria, V. E., Belenguer, A., and Morro, J. V., Hybrid Mode Matching Method for the Efficient Analysis of Metal and Dielectric Rods in H Plane Rectangular Waveguide Devices; TMTT Dec. 2010 3634-3644 Bachiller, C., see Belenguer, A., TMTT March 2010 537-544 Badets, F., see Gonzalez, J. L., TMTT May 2010 1359-1366 Bagheri, M., see Aflatouni, F., TMTT Nov. 2010 3290-3303
4150
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Baillargeat, D., see Khalil, A. H., TMTT Dec. 2010 3925-3935 Baillargeat, D., see Ederra, I., TMTT July 2010 1734-1741 Banai, A., see Gheidi, H., TMTT Feb. 2010 468-477 Bandler, J. W., see Koziel, S., TMTT Aug. 2010 2166-2174 Bandler, J. W., see Cheng, Q. S., TMTT Jan. 2010 136-144 Barale, F., see Chuang, K., TMTT Dec. 2010 4060-4071 Barale, F., see Juntunen, E., TMTT Feb. 2010 348-355 Baranauskas, D., Zelenin, D., Bussmann, M., Elahmadi, S., Edwards, J., and Gill, C., A 1.6–3.2-GHz Sixth-Order 13.1-dBm OIP3 Linear Phase g -C Filter for Fiber-Optic EDC Receivers; TMTT May 2010 1314-1322 Barannik, A. A., Bunyaev, S. A., Cherpak, N. T., Prokopenko, Y. V., Kharchenko, A. A., and Vitusevich, S. A., Whispering Gallery Mode Hemisphere Dielectric Resonators With Impedance Plane; TMTT Oct. 2010 2682-2691 Barataud, D., see Abouchahine, M., TMTT April 2010 1038-1045 Barker, N. S., see Reck, T., TMTT Sept. 2010 2420-2425 Barras, D., see Wehrli, S., TMTT Feb. 2010 267-276 Barry, L. P., see Shams, H., TMTT Nov. 2010 3372-3380 Barthelemy, H., see Bourdel, S., TMTT Jan. 2010 65-73 Bastioli, S., Tomassoni, C., and Sorrentino, R., A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes; TMTT Dec. 2010 3909-3917 Bayat, K., Rafi, G. Z., Shaker, G. S. A., Ranjkesh, N., Chaudhuri, S. K., and Safavi-Naeini, S., Photonic-Crystal-Based Polarization Converter for Terahertz Integrated Circuit; TMTT July 2010 1976-1984 Beccue, S., see Takinami, K., TMTT June 2010 1465-1474 Bekheit, M., see Amari, S., TMTT Aug. 2010 2188-2203 Belenguer, A., Esteban, H., Boria, V. E., Bachiller, C., and Morro, J. V., Hybrid Mode Matching and Method of Moments Method for the Full-Wave Analysis of Arbitrarily Shaped Structures Fed Through Canonical Waveguides Using Only Electric Currents; TMTT March 2010 537-544 Belenguer, A., see Bachiller, C., TMTT Dec. 2010 3634-3644 Belostotski, L., and Haslett, J. W., Evaluation of Tuner-Based Noise-Parameter Extraction Methods for Very Low Noise Amplifiers; TMTT Jan. 2010 236-250 Belot, D., see Gonzalez, J. L., TMTT May 2010 1359-1366 Beltran, M., and Llorente, R., 60-GHz Ultra-Wideband Radio-Over-Fiber System Using a Novel Photonic Monocycle Generation; TMTT June 2010 1609-1620 Benech, P., see Quemerais, T., TMTT Sept. 2010 2426-2433 Benedikt, J., see Hashmi, M. S., TMTT March 2010 656-664 Benevent, E., Viala, B., and Michel, J.-P., Analytical Modeling of Multilayered Coplanar Waveguides Including Ferromagnetic Thin Films on Semiconductor Substrates; TMTT March 2010 645-650 Bengtsson, O., see Gruner, D., TMTT Dec. 2010 4022-4030 Bensmida, S., see Ghannouchi, F. M., TMTT Nov. 2010 2952-2959 Berceli, T., see Udvary, E., TMTT Nov. 2010 3161-3166 Berceli, T., and Herczfeld, P., Microwave Photonics—A Historical Perspective; TMTT Nov. 2010 2992-3000 Bergeault, E., see Ziade, F., TMTT June 2010 1592-1598 Bernal, J., Mesa, F., Jackson, D. R., Langston, W. L., and Williams, J. T., HighFrequency Pulse Distortion on a Lossy Microstrip Line With a Top Cover; TMTT July 2010 1774-1785 Bevilacqua, A., see Dal Toso, S., TMTT July 2010 1686-1695 Bhat, H. S., see Lilis, G. N., TMTT July 2010 1713-1723 Bidaux, L., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 Bigelow, T. S., see Kowalski, E. J., TMTT Nov. 2010 2772-2780 Bissonauth, N., see Warr, P. A., TMTT July 2010 1742-1747 Bitauld, D., see O’Brien, S., TMTT Nov. 2010 3083-3087 Bletsas, A., Dimitriou, A. G., and Sahalos, J. N., Improving Backscatter Radio Tag Efficiency; TMTT June 2010 1502-1509 Blondy, P., see Givernaud, J., TMTT Sept. 2010 2352-2361 Boeck, G., see Gruner, D., TMTT Dec. 2010 4022-4030 Bohm, G., see Zhu, N. H., TMTT May 2010 1283-1289 Bolivar, P. H., see Friederich, F., TMTT July 2010 2022-2026 Bonache, J., see Duran-Sindreu, M., TMTT Dec. 2010 3882-3891 Bonache, J., see Paredes, F., TMTT May 2010 1159-1166 Bongard, F., see Perruisseau-Carrier, J., TMTT June 2010 1621-1628 Boria, V. E., see Soto, P., TMTT Aug. 2010 2220-2230 Boria, V. E., see Bachiller, C., TMTT Dec. 2010 3634-3644 Boria, V. E., see Belenguer, A., TMTT March 2010 537-544 Boria, V. E., see Marini, S., TMTT March 2010 529-536 Borner, M., see Klymyshyn, D. M., TMTT Nov. 2010 2976-2986
+
+ Check author entry for coauthors
Boryssenko, A. O., Liao, J., Zeng, J., Deng, S., Joyner, V. M., and Huang, Z. R., Radio-Optical Dual-Mode Communication Modules Integrated With Planar Antennas; TMTT Feb. 2010 403-410 Bouhamri, Z., Le Guennec, Y., Duchamp, J.-M., Maury, G., Schimpf, A., Dobremez, V., Bidaux, L., and Cabon, B., Multistandard Transmission Over Plastic Optical Fiber; TMTT Nov. 2010 3109-3116 Bourdel, S., Bachelet, Y., Gaubert, J., Vauche, R., Fourquin, O., Dehaese, N., and Barthelemy, H., A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB Pulse Generator for the 3.1–10.6-GHz FCC Band; TMTT Jan. 2010 65-73 Bousquet, J.-F., see Magierowski, S., TMTT March 2010 518-528 Boutz, A. P., see Kuhn, W. B., TMTT April 2010 1046-1055 Bovington, J., see Chen, H.-W., TMTT Nov. 2010 3213-3219 Bowers, J. E., see Ramaswamy, A., TMTT Nov. 2010 3336-3343 Bowers, J. E., see Chen, H.-W., TMTT Nov. 2010 3213-3219 Bowers, J. E., see Li, Y., TMTT March 2010 665-673 Bowers, John E., see Todd, S. T., TMTT Dec. 2010 3790-3800 Boyd, T. A., see Morgan, M. A., TMTT Dec. 2010 3666-3676 Brandonisio, N., see O’Brien, S., TMTT Nov. 2010 3083-3087 Bray, J. R., Comments on “Uniform Ferrite-Loaded Open Waveguide Structure With CRLH Response and Its Application to a Novel Backfire-to-Endfire Leaky-Wave Antenna”; TMTT May 2010 1309 Bray, J. R., see Seewald, C. K., TMTT June 2010 1493-1501 Brenot, R., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Brinkhoff, J., Pham, D.-D., Kang, K., and Lin, F., A New Six-Port Transformer Modeling Methodology Applied to 10-dBm 60-GHz CMOS ASK Modulator Designs; TMTT Feb. 2010 297-309 Brown, T. X., see Romero, H. P., TMTT July 2010 1758-1765 Bryllert, T., see Llombart, N., TMTT July 2010 1999-2007 Buchanan, N. B., and Fusco, V., Bit Error Rate Performance Enhancement of a Retrodirective Array Over a Conventional Fixed Beam Array in a Dynamic Multipath Environment; TMTT April 2010 757-763 Buckwalter, J. F., and Kim, J., Cascaded Constructive Wave Amplification; TMTT March 2010 506-517 Budimir, D., see Athukorala, L., TMTT Nov. 2010 2888-2895 Bulgakov, A. A., and Shramkova, O. V., Waves in a Semiconductor Periodic Layered Resonator; TMTT Aug. 2010 2152-2157 Bulja, S., Mirshekar-Syahkal, D., James, R., Day, S. E., and Fernandez, F. A., Measurement of Dielectric Properties of Nematic Liquid Crystals at Millimeter Wavelength; TMTT Dec. 2010 3493-3501 Bulja, S., and Mirshekar-Syahkal, D., Novel Wideband Transition Between Coplanar Waveguide and Microstrip Line; TMTT July 2010 1851-1857 Bunyaev, S. A., see Barannik, A. A., TMTT Oct. 2010 2682-2691 Burghignoli, P., see Valerio, G., TMTT July 2010 1796-1806 Burghignoli, P., see Paulotto, S., TMTT July 2010 1807-1817 Buron, J. D., see Krozer, V., TMTT July 2010 2027-2039 Bussmann, M., see Baranauskas, D., TMTT May 2010 1314-1322 Butt, K., see Aronsson, J., TMTT May 2010 1175-1188 C Cabon, B., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 Caddemi, A., see Raffo, A., TMTT April 2010 710-718 Caldwell, C. P., see Cheung, S. K., TMTT March 2010 489-497 Caloz, C., see Yang, N., TMTT Oct. 2010 2619-2632 Caloz, C., see Gupta, S., TMTT Sept. 2010 2392-2407 Caloz, C., see Shahvarpour, A., TMTT Nov. 2010 2781-2793 Caloz, C., see Nguyen, H. V., TMTT July 2010 1641-1650 Caloz, C., see Kodera, T., TMTT May 2010 1310-1311 Caloz, C., see Yang, N., TMTT April 2010 1030-1037 Cannard, P. J., see Stohr, A., TMTT Nov. 2010 3071-3082 Cano, J. L., Wadefalk, N., and Gallego-Puyol, J. D., Ultra-Wideband Chip Attenuator for Precise Noise Measurements at Cryogenic Temperatures; TMTT Sept. 2010 2504-2510 Cao, H., see Nemati, H. M., TMTT Nov. 2010 2820-2828 Cao, H., see Saad, P., TMTT July 2010 1677-1685 Cao, H., see Tehrani, A. S., TMTT June 2010 1510-1520 Cao, H., Mashad Nemati, H., Soltani Tehrani, A., Eriksson, T., Grahn, J., and Fager, C., Linearization of Efficiency-Optimized Dynamic Load Modulation Transmitter Architectures; TMTT April 2010 873-881 Caplin, M., see Helszajn, J., TMTT June 2010 1485-1492 Capmany, J., Nirmalathas, A., and Novak, D., Guest Editorial; TMTT Nov. 2010 2989-2991
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Capmany, J., and Fernandez-Pousa, C. R., Analysis of Passive Optical Networks for Subcarrier Multiplexed Quantum Key Distribution; TMTT Nov. 2010 3220-3228 Caroopen, S., see Yang, B., TMTT Dec. 2010 3587-3597 Carro, P. L., and de Mingo, J., Analysis and Synthesis of Double-Sided Parallel-Strip Transitions; TMTT Feb. 2010 372-380 Cartledge, J. C., see Yanez, M., TMTT Aug. 2010 2284-2291 Casanueva, A., see Helszajn, J., TMTT June 2010 1485-1492 Catherinot, A., see Givernaud, J., TMTT Sept. 2010 2352-2361 Cauwe, M., and De Baets, J., Propagation Behavior of Multilayer Microstrips Applied to Interconnects Running Near Embedded Integrated Components; TMTT Dec. 2010 3842-3849 Cavallaro, M., Sapone, G., Giarrizzo, G., Italia, A., and Palmisano, G., A 3–5-GHz UWB Front-End for Low-Data Rate WPANs in 90-nm CMOS; TMTT April 2010 854-865 Cha, H.-K., Kwon, K., Choi, J., Kim, H.-T., and Lee, K., A CMOS Wideband RF Front-End With Mismatch Calibrated Harmonic Rejection Mixer for Terrestrial Digital TV Tuner Applications; TMTT Aug. 2010 2143-2151 Cha, J., Song, T., Cho, C., Ahn, M., Lee, C.-H., and Laskar, J., A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump; TMTT Dec. 2010 3626-3633 Chaillot, D., see Yang, X., TMTT Aug. 2010 2125-2133 Champeaux, C., see Givernaud, J., TMTT Sept. 2010 2352-2361 Chan, C. H., see Zhang, X. Y., TMTT June 2010 1557-1564 Chan, C. W. T., see Stevens, C. J., TMTT May 2010 1248-1256 Chan, E. H. W., and Minasian, R. A., Coherence-Free Equivalent Negative Tap Microwave Photonic Notch Filter Based on Delayed Self-Wavelength Conversion; TMTT Nov. 2010 3199-3205 Chang, C.-C., see Lin, C.-S., TMTT Aug. 2010 2299-2306 Chang, C.-C., see Chin, T.-Y., TMTT Dec. 2010 3538-3546 Chang, C.-Y., see Li, J.-Y., TMTT Dec. 2010 3720-3731 Chang, C.-Y., see Kuo, Y.-T., TMTT July 2010 1840-1850 Chang, E. Y., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 Chang, E. Y., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Chang, K., see Kim, C. H., TMTT Dec. 2010 3936-3944 Chang, M.-C. F., see Yu, A. H.-T., TMTT May 2010 1401-1409 Chang, P.-Y., and Hsu, S. S. H., A Compact 0.1–14-GHz Ultra-Wideband LowNoise Amplifier in 0.13-m CMOS; TMTT Oct. 2010 2575-2581 Chang, P.-Y., see Lin, Y.-S., TMTT Dec. 2010 3417-3426 Chang, S.-C., Chen, Y.-M., Chang, S.-F., Jeng, Y.-H., Wei, C.-L., Huang, C.-H., and Jeng, C.-P., Compact Millimeter-Wave CMOS Bandpass Filters Using Grounded Pedestal Stepped-Impedance Technique; TMTT Dec. 2010 3850-3858 Chang, S.-F., see Lin, C.-S., TMTT Aug. 2010 2299-2306 Chang, S.-F., see Chin, T.-Y., TMTT Dec. 2010 3538-3546 Chang, S.-F., see Chang, S.-C., TMTT Dec. 2010 3850-3858 Chang, T.-H., Li, C.-H., Wu, C.-N., and Yu, C.-F., Generating Pure Circular Modes Using Y-Type Power Dividers; TMTT June 2010 1543-1550 TE Chang-Chien, M. Y., see Huang, C. C., TMTT Sept. 2010 2497-2503 Chappell, W. J., see Naglich, E. J., TMTT Dec. 2010 3945-3953 Chappell, W. J., see Naglich, E. J., TMTT Dec. 2010 3770-3779 Chappell, W. J., see Chen, K., TMTT Dec. 2010 3971-3981 Chappell, W. J., see Moon, S., TMTT Dec. 2010 3815-3823 Chappell, W. J., see Henrie, J. J., TMTT May 2010 1230-1237 Charbonnier, B., see Stohr, A., TMTT Nov. 2010 3071-3082 Chattopadhyay, G., see Maestrini, A., TMTT July 2010 1925-1932 Chattopadhyay, G., see Llombart, N., TMTT July 2010 1999-2007 Chaturvedi, N., see Liero, A., TMTT April 2010 781-787 Chaudhuri, S. K., see Bayat, K., TMTT July 2010 1976-1984 Che, W., see Feng, W., TMTT Nov. 2010 2915-2923 Cheldavi, A., see Khodabakhshi, H., TMTT March 2010 680-690 Chen, B.-Y., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Chen, B.-Y., see Wang, S.-C., TMTT April 2010 740-746 Chen, C., see Jin, R., TMTT Dec. 2010 3996-4003 Chen, C.-C., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Chen, C.-C., see Lin, Y.-S., TMTT Feb. 2010 287-296 Chen, C.-J., and Chu, T.-H., Design of a 60-GHz Substrate Integrated Waveguide Butler Matrix—A Systematic Approach; TMTT July 2010 1724-1733 Chen, C.-L., see Hu, W.-Y., TMTT May 2010 1367-1374 Chen, C.-Z., see Lin, Y.-S., TMTT Feb. 2010 287-296 Chen, F.-C., and Chu, Q.-X., Novel Multistub Loaded Resonator and Its Application to High-Order Dual-Band Filters; TMTT June 2010 1551-1556
+ Check author entry for coauthors
4151
Chen, H.-K., Lin, Y.-S., and Lu, S.-S., Analysis and Design of a 1.6–28-GHz Compact Wideband LNA in 90-nm CMOS Using a -Match Input Network; TMTT Aug. 2010 2092-2104 Chen, H.-S., see Chien, C.-K., TMTT March 2010 498-505 Chen, H.-W., Fang, A. W., Peters, J. D., Wang, Z., Bovington, J., Liang, D., and Bowers, J. E., Integrated Microwave Photonic Filter on a Hybrid Silicon Platform; TMTT Nov. 2010 3213-3219 Chen, I.-S., see Chiou, H.-K., TMTT Dec. 2010 3598-3606 Chen, J.-H., Yang, H.-S., and Chen, Y.-J. E., A Technique for Implementing Wide Dynamic-Range Polar Transmitters; TMTT Sept. 2010 2368-2374 Chen, J.-H., Liu, P.-J., Hung, Y.-L., Yang, H.-S., and Chen, Y.-J. E., A SpurReduced Multimode Power-Level Tracking Power Amplifier Using a Frequency-Hopping DC–DC Converter; TMTT May 2010 1333-1338 Chen, K., Liu, X., Kovacs, A., Chappell, W. J., and Peroulis, D., Antibiased Electrostatic RF MEMS Varactors and Tunable Filters; TMTT Dec. 2010 3971-3981 Chen, K.-M., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Chen, K.-M., see Wang, S.-C., TMTT April 2010 740-746 Chen, L., see Park, P., TMTT May 2010 1456-1463 Chen, Q., see He, M., TMTT Oct. 2010 2589-2597 Chen, S.-J., see Hu, W.-Y., TMTT May 2010 1367-1374 Chen, S.-Y., see Hsu, H.-M., TMTT April 2010 814-819 Chen, S.-Y., see Ouedraogo, R. O., TMTT April 2010 894-902 Chen, W., see Zhu, N. H., TMTT May 2010 1283-1289 Chen, X., see He, F. F., TMTT Dec. 2010 3452-3458 Chen, X., see Agarwal, K., TMTT April 2010 1065-1074 Chen, Y.-J. E., see Chen, J.-H., TMTT Sept. 2010 2368-2374 Chen, Y.-J. E., see Jung, J., TMTT Dec. 2010 4102-4111 Chen, Y.-J. E., Lee, Y.-J., and Yu, Y.-H., Investigation of Polysilicon Thin-Film Transistor Technology for RF Applications; TMTT Dec. 2010 3444-3451 Chen, Y.-J. E., see Chen, J.-H., TMTT May 2010 1333-1338 Chen, Y.-M., see Chang, S.-C., TMTT Dec. 2010 3850-3858 Chen, Z. D., see Yu, Y., TMTT March 2010 578-586 Cheng, K.-K. M., and Ip, W.-C., A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure; TMTT Dec. 2010 39033908 Cheng, Q. S., see Koziel, S., TMTT Aug. 2010 2166-2174 Cheng, Q. S., Bandler, J. W., and Koziel, S., Space Mapping Design Framework Exploiting Tuning Elements; TMTT Jan. 2010 136-144 Cheng, Y. J., Hong, W., and Wu, K., Broadband Self-Compensating Phase Shifter Combining Delay Line and Equal-Length Unequal-Width Phaser; TMTT Jan. 2010 203-210 Cherpak, N. T., see Barannik, A. A., TMTT Oct. 2010 2682-2691 Cheung, S. K., Halloran, T. P., Weedon, W. H., and Caldwell, C. P., MMICBased Quadrature Hybrid Quasi-Circulators for Simultaneous Transmit and Receive; TMTT March 2010 489-497 Chi, C.-H., see Li, J.-Y., TMTT Dec. 2010 3720-3731 Chi, Y.-C., and Lin, G.-R., A Self-Started Laser Diode Pulsation Based Synthesizer-Free Optical Return-to-Zero On–Off-Keying Data Generator; TMTT Aug. 2010 2292-2298 Chia, M. Y.-W., see Zhou, Y., TMTT Feb. 2010 324-330 Chiang, P.-Y., Su, C.-W., Luo, S.-Y., Hu, R., and Jou, C. F., Wide-IF-Band CMOS Mixer Design; TMTT April 2010 831-840 Chiang, Y.-C., see Yang, C.-L., TMTT Jan. 2010 176-184 Chien, C.-K., Hsieh, H.-H., Chen, H.-S., and Lu, L.-H., A Transimpedance Amplifier With a Tunable Bandwidth in 0.18-m CMOS; TMTT March 2010 498-505 Chin, K.-S., Lin, K.-M., Wei, Y.-H., Tseng, T.-H., and Yang, Y.-J., Compact Dual-Band Branch-Line and Rat-Race Couplers With Stepped-ImpedanceStub Lines; TMTT May 2010 1213-1221 Chin, T.-Y., Wu, J.-C., Chang, S.-F., and Chang, C.-C., A V-Band 8 8 CMOS Butler Matrix MMIC; TMTT Dec. 2010 3538-3546 Chinea, A., see Triverio, P., TMTT March 2010 566-577 Chiou, H.-K., and Chen, I.-S., High-Efficiency Dual-Band On-Chip Rectenna for 35- and 94-GHz Wireless Power Transmission in 0.13-m CMOS Technology; TMTT Dec. 2010 3598-3606 Chiu, L., and Xue, Q., Investigation of a Wideband 90 Hybrid Coupler With an Arbitrary Coupling Level; TMTT April 2010 1022-1029 Chiu, T.-L., see Huang, M.-F., TMTT May 2010 1323-1332 Cho, C., see Cha, J., TMTT Dec. 2010 3626-3633 Cho, C., see Lee, S. M., TMTT April 2010 747-756 Cho, E., see Song, Y., TMTT Feb. 2010 310-317 Cho, Y., see Kang, D., TMTT Oct. 2010 2598-2608 Choi, C.-S., see Shoji, Y., TMTT Feb. 2010 340-347
2
4152
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Choi, H., Jeong, Y., Kim, C. D., and Kenney, J. S., Efficiency Enhancement of Feedforward Amplifiers by Employing a Negative Group-Delay Circuit; TMTT May 2010 1116-1125 Choi, J., see Kang, D., TMTT Aug. 2010 2134-2142 Choi, J., see Cha, H.-K., TMTT Aug. 2010 2143-2151 Choi, J., see Kang, D., TMTT Oct. 2010 2598-2608 Choi, J., see Kwon, K., TMTT Nov. 2010 2751-2763 Choi, J., see Kwon, K., TMTT Nov. 2010 2751-2763 Choi, K., Yoo, S., Kim, M., Kim, H., Ryu, S., Kang, S., Jung, S., and Yang, Y., CMOS DSB Transmitter With Low TX Noise for UHF RFID Reader System-on-Chip; TMTT Dec. 2010 3467-3474 Choi, S.-I., see Moon, H., TMTT May 2010 1447-1455 Choi, W., Park, K., Kim, Y., Kim, K., and Kwon, Y., A V -Band Switched Beam-Forming Antenna Module Using Absorptive Switch Integrated With 4 4 Butler Matrix in 0.13-m CMOS; TMTT Dec. 2010 4052-4059 Chow, C. W., see Xu, L., TMTT Nov. 2010 3136-3143 Chowdhury, D., see Degani, O., TMTT May 2010 1431-1437 Christianson, A. J., see Henrie, J. J., TMTT May 2010 1230-1237 Chu, Q.-X., see Chen, F.-C., TMTT June 2010 1551-1556 Chu, T.-H., see Kuo, R.-F., TMTT Feb. 2010 363-371 Chu, T.-H., see Chen, C.-J., TMTT July 2010 1724-1733 Chuang, K., Yeh, D., Barale, F., Melet, P., and Laskar, J., A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios; TMTT Dec. 2010 4060-4071 Chuang, M.-L., Dual-Band Impedance Transformer Using Two-Section Shunt Stubs; TMTT May 2010 1257-1263 Chujo, N., see Ohhata, K., TMTT May 2010 1107-1115 Chung, J.-Y., Sertel, K., and Volakis, J. L., Broadband Characterization of Bulk and Thin Magnetic Composites Using Stripline Structures; TMTT Nov. 2010 2960-2967 Chung, S.-J., see Ho, T.-H., TMTT Jan. 2010 1-8 Chung, S.-J., see Liang, C.-P., TMTT Feb. 2010 277-286 Cidronali, A., Maddio, S., Giorgetti, G., and Manes, G., Analysis and Performance of a Smart Antenna for 2.45-GHz Single-Anchor Indoor Positioning; TMTT Jan. 2010 21-31 Cignani, R., see Resca, D., TMTT April 2010 719-729 Clark , T. R., O’Connor, S. R., and Dennis, M. L., A Phase-Modulation I/Q-Demodulation Microwave-to-Digital Photonic Link; TMTT Nov. 2010 30393058 Clarke, A. L., see Hashmi, M. S., TMTT March 2010 656-664 Clemente-Fernandez, F. J., see Lozano-Guerrero, A. J., TMTT Jan. 2010 229-235 Cohen, E., Jakobson, G., Ravid, S., and Ritter, D., A Bidirectional TX/RX FourElement Phased Array at 60 GHz With RF-IF Conversion Block in 90-nm CMOS Process; TMTT May 2010 1438-1446 Cohen, E., see Degani, O., TMTT May 2010 1431-1437 Colladay, K., see Gliese, U., TMTT Nov. 2010 3359-3371 Collantes, J.-M., see Ramirez, F., TMTT April 2010 929-940 Cooper, K. B., see Llombart, N., TMTT July 2010 1999-2007 Correra, F. S., see Serrano, A. L. C., TMTT Dec. 2010 3918-3924 Cossoy, F., see Degani, O., TMTT May 2010 1431-1437 Couderc, V., see Merla, C., TMTT Dec. 2010 4079-4085 Coves, A., see Marini, S., TMTT March 2010 529-536 Cowan, G. E. R., see Shen, Y., TMTT Nov. 2010 3327-3335 Crunteanu, A., see Givernaud, J., TMTT Sept. 2010 2352-2361 Crupi, G., see Raffo, A., TMTT April 2010 710-718 Cryan, M. J., see Khawaja, B. A., TMTT Nov. 2010 3352-3358 Cui, X., see Wei, X.-C., TMTT Nov. 2010 2850-2855 Cunha, T. R., Lima, E. G., and Pedro, J. C., Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model; TMTT Dec. 2010 4012-4021 Curran, B., Ndip, I., Guttowski, S., and Reichl, H., A Methodology for Combined Modeling of Skin, Proximity, Edge, and Surface Roughness Effects; TMTT Sept. 2010 2448-2455 Curtice, W. R., see Jin, R., TMTT Dec. 2010 3996-4003
2
Dal Toso, S., Bevilacqua, A., Tiebout, M., Da Dalt, N., Gerosa, A., and Neviani, A., An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands S Through K ; TMTT July 2010 1686-1695 Dall, J., see Krozer, V., TMTT July 2010 2027-2039 Dawn, D., see Juntunen, E., TMTT Feb. 2010 348-355 Day, S. E., see Bulja, S., TMTT Dec. 2010 3493-3501 De Baets, J., see Cauwe, M., TMTT Dec. 2010 3842-3849 De Groote, F., see Yang, C. K., TMTT May 2010 1077-1088 de Maagt, P., see Thomas, B., TMTT July 2010 1917-1924 de Maagt, P., see Rolo, L. F., TMTT July 2010 2046-2063 de Maagt, P. M., see Ederra, I., TMTT July 2010 1734-1741 de Mingo, J., see Carro, P. L., TMTT Feb. 2010 372-380 de Paulis, F., Raimondo, L., and Orlandi, A., Impact of Shorting Vias Placement on Embedded Planar Electromagnetic BandGap Structures Within Multilayer Printed Circuit Boards; TMTT July 2010 1867-1876 de Valicourt, G., Violas, M. A., Wake, D., van Dijk, F., Ware, C., Enard, A., Make, D., Liu, Z., Lamponi, M., Duan, G.-H., and Brenot, R., Radio-OverFiber Access Network Architecture Based on New Optimized RSOA Devices With Large Modulation Bandwidth and High Linearity; TMTT Nov. 2010 3248-3258 De Zutter, D., see Demeester, T., TMTT Aug. 2010 2158-2165 De Zutter, D., see Demeester, T., TMTT Jan. 2010 116-127 Deal, W. R., see Radisic, V., TMTT July 2010 1903-1909 Degani, O., Cossoy, F., Shahaf, S., Cohen, E., Kravtsov, V., Sendik, O., Chowdhury, D., Hull, C. D., and Ravid, S., A 90-nm CMOS Power Amplifier for 802.16e (WiMAX) Applications; TMTT May 2010 1431-1437 Dehaese, N., see Bourdel, S., TMTT Jan. 2010 65-73 Delhote, N., see Khalil, A. H., TMTT Dec. 2010 3925-3935 Delhote, N., see Ederra, I., TMTT July 2010 1734-1741 Demeester, T., and De Zutter, D., Fields at a Finite Conducting Wedge and Applications in Interconnect Modeling; TMTT Aug. 2010 2158-2165 Demeester, T., and De Zutter, D., Construction of the Dirichlet to Neumann Boundary Operator for Triangles and Applications in the Analysis of Polygonal Conductors; TMTT Jan. 2010 116-127 Deng, S., see Boryssenko, A. O., TMTT Feb. 2010 403-410 Deng, X., see You, F., TMTT Jan. 2010 32-40 Dengler, R. J., see Llombart, N., TMTT July 2010 1999-2007 Deninger, A., see Friederich, F., TMTT July 2010 2022-2026 Dennis, M. L., see Clark , T. R., TMTT Nov. 2010 3039-3058 Deschrijver, D., and Dhaene, T., DC-Preserving Passivity Enforcement for S -Parameter Based Macromodels; TMTT April 2010 923-928 Dewitz, M., see Liero, A., TMTT April 2010 781-787 Dhaene, T., see Ferranti, F., TMTT Dec. 2010 3688-3696 Dhaene, T., see Deschrijver, D., TMTT April 2010 923-928 Diaz-Morcillo, A., see Lozano-Guerrero, A. J., TMTT Jan. 2010 229-235 Dienstfrey, A., see Lewandowski, A., TMTT July 2010 1877-1886 Dimitriou, A. G., see Bletsas, A., TMTT June 2010 1502-1509 Djerafi, T., Fonseca, N. J. G., and Wu, K., Planar Ku-Band 4 4 Nolen Matrix in SIW Technology; TMTT Feb. 2010 259-266 Djoumessi, E. E., Tatu, S. O., and Wu, K., Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems; TMTT Jan. 2010 87-94 Dobremez, V., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 Domingue, F., see Fouladi, S., TMTT April 2010 1056-1064 Dominguez, J., see Sancho, S., TMTT March 2010 587-601 Donnan, R. S., see Yang, B., TMTT Dec. 2010 3587-3597 Downey, J., see Zhou, C., TMTT Oct. 2010 2521-2528 Doyle, D., see Rolo, L. F., TMTT July 2010 2046-2063 Duan, G.-H., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Duchamp, J.-M., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 Duplicy, J., see Llorente, R., TMTT Nov. 2010 3304-3311 Duran-Sindreu, M., Siso, G., Bonache, J., and Martin, F., Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept; TMTT Dec. 2010 3882-3891
2
E D d’Inzeo, G., see Merla, C., TMTT March 2010 691-698 Da Dalt, N., see Dal Toso, S., TMTT July 2010 1686-1695 Daddato, R. J., see Rolo, L. F., TMTT July 2010 2046-2063 Dai, Y., and Yao, J., Nonuniformly Spaced Photonic Microwave Delay-Line Filters and Applications; TMTT Nov. 2010 3279-3289 + Check author entry for coauthors
Ederra, I., Khromova, I., Gonzalo, R., Delhote, N., Baillargeat, D., Murk, A., Alderman, B. E. J., and de Maagt, P. M., Electromagnetic-Bandgap Waveguide for the Millimeter Range; TMTT July 2010 1734-1741 Edwards, D. J., see Stevens, C. J., TMTT May 2010 1248-1256 Edwards, J., see Baranauskas, D., TMTT May 2010 1314-1322 Eggleton, B. J., see Pelusi, M. D., TMTT Nov. 2010 3059-3070
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Ehsan, N., Vanhille, K. J., Rondineau, S., and Popovic, Z., Micro-Coaxial Impedance Transformers; TMTT Nov. 2010 2908-2914 Eichhorn, F., see Krozer, V., TMTT July 2010 2027-2039 El Amari, S., see Merla, C., TMTT Dec. 2010 4079-4085 El Banna, B., see Shitvov, A. P., TMTT Feb. 2010 356-362 El-Hennawy, H., see Fouda, A. E., TMTT June 2010 1584-1591 El-Hennawy, H. M., see Elabyad, I. A., TMTT Oct. 2010 2609-2618 El-Nozahi, M., Amer, A., Sanchez-Sinencio, E., and Entesari, K., A MillimeterWave (24/31-GHz) Dual-Band Switchable Harmonic Receiver in 0.18-m SiGe Process; TMTT Nov. 2010 2717-2730 El-Nozahi, M., Sanchez-Sinencio, E., and Entesari, K., A 20–32-GHz Wideband Mixer With 12-GHz IF bandwidth in 0.18-m SiGe Process; TMTT Nov. 2010 2731-2740 El-Tanani, M. A., and Rebeiz, G. M., High-Performance 1.5–2.5-GHz RF-MEMS Tunable Filters for Wireless Applications; TMTT June 2010 1629-1637 El-Tanani, M. A., and Rebeiz, G. M., Corrugated Microstrip Coupled Lines for Constant Absolute Bandwidth Tunable Filters; TMTT April 2010 956-963 Elabyad, I. A., Eldessouki, M. S., and El-Hennawy, H. M., Space-Charge Plane-Wave Interaction at Semiconductor Substrate Boundary; TMTT Oct. 2010 2609-2618 Elahmadi, S., see Baranauskas, D., TMTT May 2010 1314-1322 Eldessouki, M. S., see Elabyad, I. A., TMTT Oct. 2010 2609-2618 Eleftheriades, G. V., see Markley, L., TMTT March 2010 551-558 Eleftheriades, G. V., see Zedler, M., TMTT June 2010 1521-1531 Elgaid, K., see McGregor, I., TMTT Dec. 2010 3809-3814 Elkhatib, T. A., Kachorovskii, V. Y., Stillman, W. J., Veksler, D. B., Salama, K. N., Zhang, X.-C., and Shur, M. S., Enhanced Plasma Wave Detection of Terahertz Radiation Using Multiple High Electron-Mobility Transistors Connected in Series; TMTT Feb. 2010 331-339 Ellinger, F., see Knochenhauer, C., TMTT Oct. 2010 2538-2548 Ellinger, F., see Wehrli, S., TMTT Feb. 2010 267-276 Enard, A., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Engin, A. E., Extraction of Dielectric Constant and Loss Tangent Using New Rapid Plane Solver and Analytical Debye Modeling for Printed Circuit Boards; TMTT Jan. 2010 211-219 Engstrom, C., see Kaufmann, T., TMTT Dec. 2010 3399-3408 Engstrom, C., On a Method to Reduce Uncertainties in Bulk Property Measurements of Two-Component Composites; TMTT Feb. 2010 434-439 Entesari, K., see Sekar, V., TMTT Sept. 2010 2475-2489 Entesari, K., see El-Nozahi, M., TMTT Nov. 2010 2717-2730 Entesari, K., see El-Nozahi, M., TMTT Nov. 2010 2731-2740 Eriksson, T., see Nemati, H. M., TMTT Nov. 2010 2820-2828 Eriksson, T., see Tehrani, A. S., TMTT June 2010 1510-1520 Eriksson, T., see Cao, H., TMTT April 2010 873-881 Ernst, C., see Oldoni, M., TMTT May 2010 1222-1229 Esen, B., see Kilic, E., TMTT May 2010 1290-1296 Estagerie, L., see Khalil, A. H., TMTT Dec. 2010 3925-3935 Esteban, H., see Bachiller, C., TMTT Dec. 2010 3634-3644 Esteban, H., see Belenguer, A., TMTT March 2010 537-544
F
Faccin, P., see Visani, D., TMTT Nov. 2010 3144-3153 Fager, C., see Nemati, H. M., TMTT Nov. 2010 2820-2828 Fager, C., see Saad, P., TMTT July 2010 1677-1685 Fager, C., see Tehrani, A. S., TMTT June 2010 1510-1520 Fager, C., see Cao, H., TMTT April 2010 873-881 Falco, S. D., see Raffo, A., TMTT Sept. 2010 2490-2496 Fallahi, A., Yahaghi, A., Abiri, H., Shahabadi, M., and Hafner, C., Large Overlapping Subdomain Method of Moments for the Analysis of Frequency Selective Surfaces; TMTT Aug. 2010 2175-2187 Fan, J., see Zhang, Y.-J., TMTT Aug. 2010 2251-2265 Fan, J., see Kim, J., TMTT Sept. 2010 2434-2447 Fan, J., see Zhang, Y.-J., TMTT Dec. 2010 3780-3789 Fang, A. W., see Chen, H.-W., TMTT Nov. 2010 3213-3219 Fang, D.-G., see Wang, H., TMTT Feb. 2010 253-258 Farazian, M., Asuri, B., and Larson, L. E., A Dual-Band CMOS CDMA Transmitter Without External SAW Filtering; TMTT May 2010 1349-1358 Fathy, A. E., see Kuhn, M. J., TMTT Dec. 2010 3527-3537 Fathy, A. E., see Zhang, C., TMTT Jan. 2010 9-20 Favennec, J.-F., see Potelon, B., TMTT March 2010 635-644 + Check author entry for coauthors
4153
Fear, E. C., see Salvador, S. M., TMTT Aug. 2010 2307-2313 Fedderwitz, S., see Stohr, A., TMTT Nov. 2010 3071-3082 Feng, G., see Zhang, Y.-J., TMTT Dec. 2010 3780-3789 Feng, L.-Y., see Zheng, H.-X., TMTT Jan. 2010 128-135 Feng, M., see Nguyen, G. D., TMTT Dec. 2010 3433-3443 Feng, W., Xue, Q., and Che, W., Compact Planar Magic-T Based on the DoubleSided Parallel-Strip Line and the Slotline Coupling; TMTT Nov. 2010 29152923 Fernandez, F. A., see Bulja, S., TMTT Dec. 2010 3493-3501 Fernandez-Pousa, C. R., see Capmany, J., TMTT Nov. 2010 3220-3228 Ferranti, F., Knockaert, L., Dhaene, T., and Antonini, G., Passivity-Preserving Parametric Macromodeling for Highly Dynamic Tabulated Data Based on Lur’e Equations; TMTT Dec. 2010 3688-3696 Ferrari, P., see Serrano, A. L. C., TMTT Dec. 2010 3918-3924 Filicori, F., see Resca, D., TMTT April 2010 719-729 Fisher, J. R., see Morgan, M. A., TMTT Dec. 2010 3666-3676 Flemish, J. R., and Haupt, R. L., Optimization of a Photonically Controlled Microwave Switch and Attenuator; TMTT Oct. 2010 2582-2588 Fonseca, N. J. G., see Djerafi, T., TMTT Feb. 2010 259-266 Fouda, A. E., Safwat, A. M. E., and El-Hennawy, H., On the Applications of the Coupled-Line Composite Right/Left-Handed Unit Cell; TMTT June 2010 1584-1591 Fouladi, S., and Mansour, R. R., Capacitive RF MEMS Switches Fabricated in Standard 0.35-m CMOS Technology; TMTT Feb. 2010 478-486 Fouladi, S., Domingue, F., Zahirovic, N., and Mansour, R. R., Distributed MEMS Tunable Impedance-Matching Network Based on Suspended Slow-Wave Structure Fabricated in a Standard CMOS Technology; TMTT April 2010 1056-1064 Fournier, J.-M., see Quemerais, T., TMTT Sept. 2010 2426-2433 Fourquin, O., see Bourdel, S., TMTT Jan. 2010 65-73 Friederich, F., Spickermann, G., Roggenbuck, A., Deninger, A., am Weg, C., von Spiegel, W., Lison, F., Bolivar, P. H., and Roskos, H. G., Hybrid Continuous-Wave Demodulating Multipixel Terahertz Imaging Systems; TMTT July 2010 2022-2026 Fu, C.-T., Kuo, C.-N., and Taylor, S. S., Low-Noise Amplifier Design With Dual Reactive Feedback for Broadband Simultaneous Noise and Impedance Matching; TMTT April 2010 795-806 Fu, S., see Sun, X., TMTT Nov. 2010 3206-3212 Fumeaux, C., see Kaufmann, T., TMTT Dec. 2010 3399-3408 Fung, A., see Radisic, V., TMTT July 2010 1903-1909 Fusco, V., see Buchanan, N. B., TMTT April 2010 757-763
G Gaier, T., see Radisic, V., TMTT July 2010 1903-1909 Gallagher, D. R., Gallagher, M. W., Saldanha, N., Pavlina, J. M., and Malocha, D. C., Spread Spectrum Orthogonal Frequency Coded SAW Tags and Sensors Using Harmonic Operation; TMTT March 2010 674-679 Gallagher, M. W., see Gallagher, D. R., TMTT March 2010 674-679 Gallego-Puyol, J. D., see Cano, J. L., TMTT Sept. 2010 2504-2510 Galli, A., see Valerio, G., TMTT July 2010 1786-1795 Galli, A., see Valerio, G., TMTT July 2010 1796-1806 Gao, S., Li, Y.-S., and Zhang, M.-S., An Efficient Algebraic Method for the Passivity Enforcement of Macromodels; TMTT July 2010 1830-1839 Gard, Kevin G., see Wilkerson, J. R., TMTT May 2010 1273-1282 Garmendia, N., and Portilla, J., Investigations of AM, PM Noise, and Noise Figure in an SiGe-HBT Amplifier Operating in Linear and Nonlinear Regimes; TMTT April 2010 807-813 Gasulla, I., see Sales, S., TMTT Nov. 2010 3022-3038 Gaubert, J., see Bourdel, S., TMTT Jan. 2010 65-73 Gavell, M., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 Gentili, G. G., see Oldoni, M., TMTT May 2010 1222-1229 Gerosa, A., see Dal Toso, S., TMTT July 2010 1686-1695 Gevorgian, S., see Norling, M., TMTT June 2010 1475-1484 Ghamsari, B. G., see Atikian, H. A., TMTT Nov. 2010 3320-3326 Ghannouchi, F. M., Hashmi, M. S., Bensmida, S., and Helaoui, M., Loop Enhanced Passive Source- and Load-Pull Technique for High Reflection Factor Synthesis; TMTT Nov. 2010 2952-2959 Ghannouchi, F. M., Hatami, S., Aflaki, P., Helaoui, M., and Negra, R., Accurate Power Efficiency Estimation of GHz Wireless Delta-Sigma Transmitters for Different Classes of Switching Mode Power Amplifiers; TMTT Nov. 2010 2812-2819 Ghannouchi, F. M., see Rawat, M., TMTT Jan. 2010 95-104
4154
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Gheidi, H., and Banai, A., Phase-Noise Measurement of Microwave Oscillators Using Phase-Shifterless Delay-Line Discriminator; TMTT Feb. 2010 468-477 Giacomozzi, F., see Llamas, M. A., TMTT May 2010 1264-1272 Giarrizzo, G., see Cavallaro, M., TMTT April 2010 854-865 Gierlich, R., see Wehrli, S., TMTT Feb. 2010 267-276 Gil, J., see Soto, P., TMTT Aug. 2010 2220-2230 Gill, C., see Baranauskas, D., TMTT May 2010 1314-1322 Gill, J., see Thomas, B., TMTT July 2010 1917-1924 Gill, J. J., see Maestrini, A., TMTT July 2010 1925-1932 Gillard, R., see Miry, C., TMTT Sept. 2010 2375-2382 Gimeno, B., see Soto, P., TMTT Aug. 2010 2220-2230 Gimeno, B., see Marini, S., TMTT March 2010 529-536 Giorgetti, G., see Cidronali, A., TMTT Jan. 2010 21-31 Girbau, D., see Llamas, M. A., TMTT May 2010 1264-1272 Givernaud, J., Crunteanu, A., Orlianges, J.-C., Pothier, A., Champeaux, C., Catherinot, A., and Blondy, P., Microwave Power Limiting Devices Based on the Semiconductor–Metal Transition in Vanadium–Dioxide Thin Films; TMTT Sept. 2010 2352-2361 Gliese, U., Colladay, K., Hastings, A. S., Tulchinsky, D. A., Urick, V. J., and Williams, K. J., RF Power Conversion Efficiency of Photodiodes Driven by Mach–Zehnder Modulators; TMTT Nov. 2010 3359-3371 Golubovic-Niciforovic, R., see Perruisseau-Carrier, J., TMTT June 2010 16211628 Gomes, N. J., see James, J., TMTT Nov. 2010 3189-3198 Gomez-Garcia, R., and Sanchez-Renedo, M., Microwave Dual-Band Bandpass Planar Filters Based on Generalized Branch-Line Hybrids; TMTT Dec. 2010 3760-3769 Gonzalez, G., see Paredes, F., TMTT May 2010 1159-1166 Gonzalez, J. L., Badets, F., Martineau, B., and Belot, D., A 56-GHz LC-Tank VCO With 17% Tuning Range in 65-nm Bulk CMOS for Wireless HDMI; TMTT May 2010 1359-1366 Gonzalo, R., see Ederra, I., TMTT July 2010 1734-1741 Goy, P., see Yang, B., TMTT Dec. 2010 3587-3597 Grahn, J., see Cao, H., TMTT April 2010 873-881 Grajal, J., see Siles, J. V., TMTT July 2010 1933-1942 Gray, B., Melville, B., and Kenney, J. S., Analytical Modeling of Microwave Parametric Upconverters; TMTT Aug. 2010 2118-2124 Grbic, A., see Imani, M. F., TMTT Dec. 2010 3982-3988 Greetis, B. J., see Ouedraogo, R. O., TMTT April 2010 894-902 Grichener, A., and Rebeiz, G. M., High-Reliability RF-MEMS Switched Capacitors With Digital and Analog Tuning Characteristics; TMTT Oct. 2010 2692-2701 Grivet-Talocia, S., see Triverio, P., TMTT March 2010 566-577 Grivet-Talocia, S., On Passivity Characterization of Symmetric Rational Macromodels; TMTT May 2010 1238-1247 Gruner, D., Sorge, R., Bengtsson, O., Tanany, A. A., and Boeck, G., Analysis, Design, and Evaluation of LDMOS FETs for RF Power Applications up to 6 GHz; TMTT Dec. 2010 4022-4030 Gruszczynski, S., see Wincza, K., TMTT Nov. 2010 2924-2931 Gu, D., see Rodriguez-Morales, F., TMTT May 2010 1140-1150 Guan, L., and Zhu, A., Low-Cost FPGA Implementation of Volterra Series-Based Digital Predistorter for RF Power Amplifiers; TMTT April 2010 866-872 Gupta, S., Parsa, A., Perret, E., Snyder, R. V., Wenzel, R. J., and Caloz, C., Group-Delay Engineered Noncommensurate Transmission Line All-Pass Network for Analog Signal Processing; TMTT Sept. 2010 2392-2407 Guttowski, S., see Curran, B., TMTT Sept. 2010 2448-2455 Gwarek, W., see Krupka, J., TMTT Dec. 2010 3511-3518
H Ha, D. S., see Zhai, H., TMTT Jan. 2010 74-86 Hafner, C., see Fallahi, A., TMTT Aug. 2010 2175-2187 Halder, S., see Jin, R., TMTT Dec. 2010 3996-4003 Hale, P. D., see Lewandowski, A., TMTT July 2010 1877-1886 Halloran, T. P., see Cheung, S. K., TMTT March 2010 489-497 Haluzan, D. T., see Klymyshyn, D. M., TMTT Nov. 2010 2976-2986 Ham, D., see Li, X., TMTT Aug. 2010 2105-2117 Hamidi, E., Leaird, D. E., and Weiner, A. M., Tunable Programmable Microwave Photonic Filters Based on an Optical Frequency Comb; TMTT Nov. 2010 3269-3278 + Check author entry for coauthors
Hampel, S. K., Schmitz, O., Tiebout, M., and Rolfes, I., Inductorless Low-Voltage and Low-Power Wideband Mixer for Multistandard Receivers; TMTT May 2010 1384-1390 Han, J., see Moon, H., TMTT May 2010 1447-1455 Han, L., see He, F. F., TMTT Dec. 2010 3452-3458 Han, L., Wu, K., and Zhang, X., Development of Packaged Ultra-Wideband Bandpass Filters; TMTT Jan. 2010 220-228 Handel, P., see Anttila, L., TMTT April 2010 730-739 Hangai, M., Hieda, M., Yunoue, N., Sasaki, Y., and Miyazaki, M., S - and C -Band Ultra-Compact Phase Shifters Based on All-Pass Networks; TMTT Jan. 2010 41-47 Hanson, G. W., see Paulotto, S., TMTT July 2010 1807-1817 Hant, W., see Yu, A. H.-T., TMTT May 2010 1401-1409 Hao, Y., see Abbasi, Q. H., TMTT Dec. 2010 3485-3492 Hao, Z.-C., and Hong, J.-S., UWB Bandpass Filter Using Cascaded Miniature High-Pass and Low-Pass Filters With Multilayer Liquid Crystal Polymer Technology; TMTT April 2010 941-948 Hartnett, J. G., and Nand, N. R., Ultra-Low Vibration Pulse-Tube Cryocooler Fractional Frequency Stabilized Cryogenic Sapphire Oscillator With 10 Stability; TMTT Dec. 2010 3580-3586 Hartnett, J. G., see Krupka, J., TMTT Dec. 2010 3511-3518 Hasar, U. C., Comments on “A Novel Vector Network Analyzer”; TMTT Sept. 2010 2517 Hasar, U. C., Accurate Complex Permittivity Inversion From Measurements of a Sample Partially Filling a Waveguide Aperture; TMTT Feb. 2010 451-457 Hasar, U. C., A Generalized Formulation for Permittivity Extraction of Low-toHigh-Loss Materials From Transmission Measurement; TMTT Feb. 2010 411-418 Hashemi, H., see Aflatouni, F., TMTT Nov. 2010 3290-3303 Hashemi, M. R. M., and Itoh, T., Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis; TMTT Dec. 2010 3645-3656 Hashiba, T., see Tsuchiya, M., TMTT Dec. 2010 4094-4101 Hashim, H. H., and Iezekiel, S., Intermodulation and Harmonic Distortion in Traveling-Wave Semiconductor Cascade Laser; TMTT Nov. 2010 31273135 Hashimoto, O., see Watanabe, S., TMTT May 2010 1196-1204 Hashmi, M. S., see Ghannouchi, F. M., TMTT Nov. 2010 2952-2959 Hashmi, M. S., Clarke, A. L., Woodington, S. P., Lees, J., Benedikt, J., and Tasker, P. J., An Accurate Calibrate-Able Multiharmonic Active Load–Pull System Based on the Envelope Load–Pull Concept; TMTT March 2010 656-664 Haslett, J. W., see Belostotski, L., TMTT Jan. 2010 236-250 Hastings, A. S., see Gliese, U., TMTT Nov. 2010 3359-3371 Hatami, S., see Ghannouchi, F. M., TMTT Nov. 2010 2812-2819 Hatefi Ardakani, H., Rashed-Mohassel, J., Akbarzadeh Jahromi, A., and Khalaj Amirhosseini, K., Propagation Characteristics of Pseudochiral Microstrip Lines; TMTT Dec. 2010 3409-3416 Haugen, H. K., see Tiedje, H. F., TMTT July 2010 2040-2045 Haupt, R. L., see Flemish, J. R., TMTT Oct. 2010 2582-2588 Hauptmann, S., see Knochenhauer, C., TMTT Oct. 2010 2538-2548 He, F. F., Wu, K. W., Hong, W., Han, L., and Chen, X., A Low Phase-Noise VCO Using an Electronically Tunable Substrate Integrated Waveguide Resonator; TMTT Dec. 2010 3452-3458 He, M., Wang, L., Chen, Q., Yuan, Q., and Sawaya, K., Theoretical and Experimental Investigation of the Modulated Scattering Antenna Array for Mobile Terminal Applications; TMTT Oct. 2010 2589-2597 He, Q., and Jiao, D., Fast Electromagnetics-Based Co-Simulation of Linear Network and Nonlinear Circuits for the Analysis of High-Speed Integrated Circuits; TMTT Dec. 2010 3677-3687 He, S., see You, F., TMTT Jan. 2010 32-40 He, S., see You, F., TMTT April 2010 788-794 Hedge, R., see Szabo, Z., TMTT Oct. 2010 2646-2653 Helaoui, M., see Ghannouchi, F. M., TMTT Nov. 2010 2952-2959 Helaoui, M., see Ghannouchi, F. M., TMTT Nov. 2010 2812-2819 Helszajn, J., Casanueva, A., Mediavilla Sanchez, A., Pereda, J. A., Caplin, M., and O’Donnell, B. C., A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch; TMTT June 2010 1485-1492 Henneberger, R., see von Spiegel, W., TMTT July 2010 2008-2013 Henrie, J. J., Christianson, A. J., and Chappell, W. J., Linear–Nonlinear Interaction and Passive Intermodulation Distortion; TMTT May 2010 1230-1237 Heo, D., see Jung, J., TMTT Dec. 2010 4102-4111 Herczfeld, P., see Berceli, T., TMTT Nov. 2010 2992-3000 Herczfeld, P. R., see Li, Y., TMTT March 2010 665-673
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Hieda, M., see Hangai, M., TMTT Jan. 2010 41-47 Hirachi, Y., see Hirano, T., TMTT Oct. 2010 2663-2672 Hirachi, Y., see Suga, R., TMTT Dec. 2010 3989-3995 Hirano, T., Nakano, H., Hirachi, Y., Hirokawa, J., and Ando, M., De-Embedding Method Using an Electromagnetic Simulator for Characterization of Transistors in the Millimeter-Wave Band; TMTT Oct. 2010 2663-2672 Hirano, Y., see Sakai, K., TMTT Nov. 2010 3154-3160 Hirata, A., see Takahashi, H., TMTT Dec. 2010 4072-4078 Hirokawa, J., see Hirano, T., TMTT Oct. 2010 2663-2672 Hirokawa, J., see Suga, R., TMTT Dec. 2010 3989-3995 Hirose, T., see Sato, M., TMTT July 2010 1910-1916 Ho, S. S. K., and Saavedra, C. E., A CMOS Broadband Low-Noise Mixer With Noise Cancellation; TMTT May 2010 1126-1132 Ho, T.-H., and Chung, S.-J., Design and Measurement of a Doppler Radar With New Quadrature Hybrid Mixer for Vehicle Applications; TMTT Jan. 2010 1-8 Hoffmann, K., and Skvor, Z., Authors’ Reply; TMTT Sept. 2010 2518 Hofmann, W., see Zhu, N. H., TMTT May 2010 1283-1289 Hoft, M., and Shimamura, T., Design of Symmetric Trisection Filters for Compact Low-Temperature Co-Fired Ceramic Realization; TMTT Jan. 2010 165-175 Hoft, M., see Tamura, M., TMTT Jan. 2010 157-164 Hong, J.-S., see Tang, W., TMTT Aug. 2010 2213-2219 Hong, J.-S., see Hao, Z.-C., TMTT April 2010 941-948 Hong, S., see Qi, Y., TMTT Dec. 2010 3657-3665 Hong, S., see Ku, B.-H., TMTT July 2010 1651-1663 Hong, W., see He, F. F., TMTT Dec. 2010 3452-3458 Hong, W., see Cheng, Y. J., TMTT Jan. 2010 203-210 Hong, X., see Sun, X., TMTT Nov. 2010 3206-3212 Hong, Y.-P., Kimball, D. F., Asbeck, P. M., Yook, J.-G., and Larson, L. E., Single-Ended and Differential Radial Power Combiners Implemented With a Compact Broadband Probe; TMTT June 2010 1565-1572 Honjo, K., see Kuroda, K., TMTT Nov. 2010 2741-2750 Horng, T.-S., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Horng, T.-S., see Hsiao, C.-H., TMTT Dec. 2010 4137-4146 Horng, T.-S., see Li, C.-J., TMTT May 2010 1391-1400 Hou, C., see Wang, Q., TMTT Dec. 2010 3502-3510 Hou, J.-A., and Wang, Y.-H., Design of Compact 90 and 180 Couplers With Harmonic Suppression Using Lumped-Element Bandstop Resonators; TMTT Nov. 2010 2932-2939 Hoyos, S., see Kim, J., TMTT Sept. 2010 2340-2351 Hraimel, B., see Shen, Y., TMTT Nov. 2010 3327-3335 Hsiao, C.-H., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Hsiao, C.-H., Li, C.-J., Wang, F.-K., Horng, T.-S., and Peng, K.-C., Analysis and Improvement of Direct-Conversion Transmitter Pulling Effects in Constant Envelope Modulation Systems; TMTT Dec. 2010 4137-4146 Hsiao, C.-H., see Li, C.-J., TMTT May 2010 1391-1400 Hsieh, H.-H., see Chien, C.-K., TMTT March 2010 498-505 Hsieh, Y.-H., see Hu, W.-Y., TMTT May 2010 1367-1374 Hsu, H.-C., see Tang, C.-T., TMTT March 2010 624-634 Hsu, H.-M., Huang, J.-S., Chen, S.-Y., and Lai, S.-H., Design of an On-Chip Balun With a Minimum Amplitude Imbalance Using a Symmetric Stack Layout; TMTT April 2010 814-819 Hsu, L.-H., Kuylenstierna, D., Kozhuharov, R., Gavell, M., Karnfelt, C., Lim, W.-C., Zirath, H., and Chang, E. Y., Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation; TMTT Sept. 2010 2408-2419 Hsu, L.-H., Wu, W.-C., Chang, E. Y., Zirath, H., Hu, Y.-C., Wang, C.-T., Wu, Y.-C., and Tsai, S.-P., Design of Flip-Chip Interconnect Using EpoxyBased Underfill Up to V -Band Frequencies With Excellent Reliability; TMTT Aug. 2010 2244-2250 Hsu, S. S. H., see Chang, P.-Y., TMTT Oct. 2010 2575-2581 Hsu, S. S. H., see Tsai, M.-H., TMTT Dec. 2010 4004-4011 Hsu, S.-K., Tsai, C.-H., and Wu, T.-L., A Novel Miniaturized Forward-Wave Directional Coupler With Periodical Mushroom-Shaped Ground Plane; TMTT Aug. 2010 2277-2283 Hsu, Y.-W., and Kuester, E. F., Direct Synthesis of Passband Impedance Matching With Nonuniform Transmission Lines; TMTT April 2010 1012-1021 Hsueh, F.-L., see Tsai, M.-H., TMTT Dec. 2010 4004-4011 Hu, B.-J., see Zhang, X. Y., TMTT June 2010 1557-1564 Hu, R., see Chiang, P.-Y., TMTT April 2010 831-840 Hu, S.-C., see Hu, W.-Y., TMTT May 2010 1367-1374
+ Check author entry for coauthors
4155
Hu, W.-Y., Lin, J.-W., Tien, K.-C., Hsieh, Y.-H., Chen, C.-L., Tso, H.-T., Shih, Y.-S., Hu, S.-C., and Chen, S.-J., A 0.18-m CMOS RF Transceiver With Self-Detection and Calibration Functions for Bluetooth V2.1 EDR Applications; TMTT May 2010 1367-1374 Hu, Y.-C., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Hua, Y., and Zhang, H., Qualitative and Quantitative Detection of Pesticides With Terahertz Time-Domain Spectroscopy; TMTT July 2010 2064-2070 Huang, C. C., Lin, Y. H., and Chang-Chien, M. Y., Accuracy Improvement for Line-Series-Shunt Calibration in Broadband Scattering-Parameter Measurements With Applications of On-Wafer Device Characterization; TMTT Sept. 2010 2497-2503 Huang, C.-H., see Chang, S.-C., TMTT Dec. 2010 3850-3858 Huang, G.-H., see Lin, Y.-S., TMTT Feb. 2010 287-296 Huang, G.-W., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Huang, G.-W., see Wang, S.-C., TMTT April 2010 740-746 Huang, J.-S., see Hsu, H.-M., TMTT April 2010 814-819 Huang, M.-F., and Chiu, T.-L., A Quadrature Charge-Domain Filter With Frequency Downconversion for RF Receivers; TMTT May 2010 1323-1332 Huang, P.-C., Tsai, Z.-M., Lin, K.-Y., and Wang, H., A High-Efficiency, Broadband CMOS Power Amplifier for Cognitive Radio Applications; TMTT Dec. 2010 3556-3565 Huang, R. F., see Li, Z. W., TMTT Nov. 2010 2794-2799 Huang, S.-Y., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Huang, S.-Y., see Wang, S.-C., TMTT April 2010 740-746 Huang, T. X. H., see Yi, X., TMTT Nov. 2010 3088-3093 Huang, T.-J., see Liang, C.-P., TMTT Feb. 2010 277-286 Huang, X. T., see Todd, S. T., TMTT Dec. 2010 3790-3800 Huang, Z. R., see Boryssenko, A. O., TMTT Feb. 2010 403-410 Hubing, T. H., see Ke, H., TMTT May 2010 1189-1195 Hull, C. D., see Degani, O., TMTT May 2010 1431-1437 Hum, S. V., see Lau, J. Y., TMTT Dec. 2010 3547-3555 Hung, C.-C., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Hung, C.-C., see Wang, S.-C., TMTT April 2010 740-746 Hung, Y.-L., see Chen, J.-H., TMTT May 2010 1333-1338 Hunter, I. C., see Abunjaileh, A. I., TMTT Dec. 2010 3710-3719 Huttner, J., see Wehrli, S., TMTT Feb. 2010 267-276 Huyart, B., see Ziade, F., TMTT June 2010 1592-1598 Hwang, J. C. M., see Jin, R., TMTT Dec. 2010 3996-4003 Hwang, Y., see Kwon, K., TMTT Nov. 2010 2751-2763
+
I Iezekiel, S., see Hashim, H. H., TMTT Nov. 2010 3127-3135 Ilchenko, V. S., see Savchenkov, A. A., TMTT Nov. 2010 3167-3174 Im, D., Nam, I., and Lee, K., A CMOS Active Feedback Balun-LNA With High IIP2 for Wideband Digital TV Receivers; TMTT Dec. 2010 3566-3579 Imamura, H., see Ohhata, K., TMTT May 2010 1107-1115 Imani, M. F., and Grbic, A., An Experimental Concentric Near-Field Plate; TMTT Dec. 2010 3982-3988 Ip, W.-C., see Cheng, K.-K. M., TMTT Dec. 2010 3903-3908 Isaksson, M., see Tehrani, A. S., TMTT June 2010 1510-1520 Ishikawa, R., see Kuroda, K., TMTT Nov. 2010 2741-2750 Ishimura, E., see Sakai, K., TMTT Nov. 2010 3154-3160 Ishizaki, T., see Tamura, M., TMTT Jan. 2010 157-164 Ismail, M., see Yang, X., TMTT Aug. 2010 2125-2133 Itakura, S., see Sakai, K., TMTT Nov. 2010 3154-3160 Italia, A., see Cavallaro, M., TMTT April 2010 854-865 Ito, K., see Akimoto, S., TMTT Dec. 2010 3859-3865 Itoh, T., see Ahn, H.-R., TMTT Sept. 2010 2463-2474 Itoh, T., see Yang, T., TMTT Nov. 2010 2896-2907 Itoh, T., see Ahn, H.-R., TMTT Dec. 2010 3892-3902 Itoh, T., see Hashemi, M. R. M., TMTT Dec. 2010 3645-3656 Itoh, T., see Yang, T., TMTT Feb. 2010 390-402 Itoh, T., see Ueda, T., TMTT July 2010 1766-1773 Itoh, T., see Yu, A. H.-T., TMTT May 2010 1401-1409 J Jackel, H., see Wehrli, S., TMTT Feb. 2010 267-276 Jackson, B. R., and Saavedra, C. E., A Dual-Band Self-Oscillating Mixer for C -Band and X -Band Applications; TMTT Feb. 2010 318-323
4156
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Jackson, C., see Villegas, F. J., TMTT April 2010 1003-1011 Jackson, D. R., see Valerio, G., TMTT July 2010 1786-1795 Jackson, D. R., see Bernal, J., TMTT July 2010 1774-1785 Jaeger, H., see Laemmle, B., TMTT May 2010 1375-1383 Jakobson, G., see Cohen, E., TMTT May 2010 1438-1446 James, J., Shen, P., Nkansah, A., Liang, X., and Gomes, N. J., Nonlinearity and Noise Effects in Multi-Level Signal Millimeter-Wave Over Fiber Transmission Using Single and Dual Wavelength Modulation; TMTT Nov. 2010 3189-3198 James, R., see Bulja, S., TMTT Dec. 2010 3493-3501 Jau, J.-K., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Jee, S., see Kim, I., TMTT Oct. 2010 2562-2574 Jeffrey, I., see Aronsson, J., TMTT May 2010 1175-1188 Jeng, C.-P., see Chang, S.-C., TMTT Dec. 2010 3850-3858 Jeng, Y.-H., see Chang, S.-C., TMTT Dec. 2010 3850-3858 Jensen, T., see Krozer, V., TMTT July 2010 2027-2039 Jeong, J., see Pornpromlikit, S., TMTT Jan. 2010 57-64 Jeong, Y., see Choi, H., TMTT May 2010 1116-1125 Jeong, Y. S., and Kim, T. W., Design and Analysis of Swapped Port Coupler and Its Application in a Miniaturized Butler Matrix; TMTT April 2010 764-770 Jepsen, P. U., see Krozer, V., TMTT July 2010 2027-2039 Ji, L., see Varadan, V. V., TMTT Oct. 2010 2673-2681 Jiao, D., see Zhu, J., TMTT Oct. 2010 2633-2645 Jiao, D., see He, Q., TMTT Dec. 2010 3677-3687 Jiao, D., see Liu, H., TMTT Dec. 2010 3697-3709 Jin, R., Chen, C., Halder, S., Curtice, W. R., and Hwang, J. C. M., Safe Operating Area of GaAs HBTs Based on Sub-Nanosecond Pulse Characteristics; TMTT Dec. 2010 3996-4003 Jin, T. H., and Kim, T. W., A 5.5-mW 9.4-dBm IIP3 1.8-dB NF CMOS LNA Employing Multiple Gated Transistors With Capacitance Desensitization; TMTT Oct. 2010 2529-2537 Johansson, L. A., see Li, Y., TMTT March 2010 665-673 Joines, W. T., see Keller, S. D., TMTT Sept. 2010 2362-2367 Jou, C. F., see Lin, C.-P., TMTT Sept. 2010 2511-2516 Jou, C. F., see Chiang, P.-Y., TMTT April 2010 831-840 Jou, C.-P., see Tsai, M.-H., TMTT Dec. 2010 4004-4011 Joyner, V. M., see Boryssenko, A. O., TMTT Feb. 2010 403-410 Jung, J., Zhu, S., Liu, P., Chen, Y.-J. E., and Heo, D., 22-pJ/bit Energy-Efficient 2.4-GHz Implantable OOK Transmitter for Wireless Biotelemetry Systems: In Vitro Experiments Using Rat Skin-Mimic; TMTT Dec. 2010 4102-4111 Jung, S., see Choi, K., TMTT Dec. 2010 3467-3474 Jung, S., see Zhai, H., TMTT Jan. 2010 74-86 Juntunen, E., Leung, M. C.-H., Barale, F., Rachamadugu, A., Yeh, D. A., Perumana, B. G., Sen, P., Dawn, D., Sarkar, S., Pinel, S., and Laskar, J., A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio; TMTT Feb. 2010 348-355
+
K Kabir, H., Wang, Y., Yu, M., and Zhang, Q.-J., High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling; TMTT Jan. 2010 145-156 Kachorovskii, V. Y., see Elkhatib, T. A., TMTT Feb. 2010 331-339 Kagata, H., see Tamura, M., TMTT Dec. 2010 3954-3960 Kan, E. C., see Yu, F., TMTT Dec. 2010 4121-4127 Kan, E. C., see Lyon, K. G., TMTT Dec. 2010 3617-3625 Kanai, H., see Ohhata, K., TMTT May 2010 1107-1115 Kang, D., Choi, J., Kim, D., and Kim, B., Design of Doherty Power Amplifiers for Handset Applications; TMTT Aug. 2010 2134-2142 Kang, D., Kim, D., Choi, J., Kim, J., Cho, Y., and Kim, B., A Multimode/ Multiband Power Amplifier With a Boosted Supply Modulator; TMTT Oct. 2010 2598-2608 Kang, D., Kim, D., Moon, J., and Kim, B., Broadband HBT Doherty Power Amplifiers for Handset Applications; TMTT Dec. 2010 4031-4039 Kang, D.-W., Koh, K.-J., and Rebeiz, G. M., A -Band Two-Antenna FourSimultaneous Beams SiGe BiCMOS Phased Array Receiver; TMTT April 2010 771-780 Kang, K., see Brinkhoff, J., TMTT Feb. 2010 297-309 Kang, S., see Choi, K., TMTT Dec. 2010 3467-3474 Kanno, A., see Tsuchiya, M., TMTT Nov. 2010 3011-3021
Ku
+ Check author entry for coauthors
Karakawa, M., see Watanabe, S., TMTT May 2010 1196-1204 Karnfelt, C., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 Katehi, L. P. B., see Wang, X., TMTT Aug. 2010 2231-2243 Katehi, L. P. B., see Lahiji, R. R., TMTT Jan. 2010 48-56 Kaufmann, T., Engstrom, C., Fumeaux, C., and Vahldieck, R., Eigenvalue Analysis and Longtime Stability of Resonant Structures for the Meshless Radial Point Interpolation Method in Time Domain; TMTT Dec. 2010 3399-3408 Kaunisto, R., see Rapinoja, T., TMTT May 2010 1339-1348 Kawasaki, K., Tanaka, T., and Aikawa, M., An Octa-Push Oscillator at -Band; TMTT July 2010 1696-1702 Kazemipour, A., see Ziade, F., TMTT June 2010 1592-1598 Kazimierczuk, M. K., see Miyahara, R., TMTT Dec. 2010 3607-3616 Ke, H., Hubing, T. H., and Maradei, F., Using the LU Recombination Method to Extend the Application of Circuit-Oriented Finite Element Methods to Arbitrarily Low Frequencies; TMTT May 2010 1189-1195 Keller, S. D., Palmer, W. D., and Joines, W. T., Digitally Driven Antenna for HF Transmission; TMTT Sept. 2010 2362-2367 Kelly, B., see O’Brien, S., TMTT Nov. 2010 3083-3087 Kenaan, M., see Merla, C., TMTT Dec. 2010 4079-4085 Kenney, J. S., see Gray, B., TMTT Aug. 2010 2118-2124 Kenney, J. S., see Choi, H., TMTT May 2010 1116-1125 Keum, D., see Moon, H., TMTT May 2010 1447-1455 Khalaj Amirhosseini, K., see Hatefi Ardakani, H., TMTT Dec. 2010 3409-3416 Khalil, A. H., Passerieux, D., Baillargeat, D., Delhote, N., Verdeyme, S., Estagerie, L., and Puech, J., Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz; TMTT Dec. 2010 3925-3935 Kharchenko, A. A., see Barannik, A. A., TMTT Oct. 2010 2682-2691 Khawaja, B. A., and Cryan, M. J., A Millimeter-Wave Self-Oscillating Mixer Using a Mode-Locked Laser; TMTT Nov. 2010 3352-3358 Khodabakhshi, H., and Cheldavi, A., Irradiation of a Six-Layered Spherical Model of Human Head in the Near Field of a Half-Wave Dipole Antenna; TMTT March 2010 680-690 Khromova, I., see Ederra, I., TMTT July 2010 1734-1741 Kiani, M., see Meghdadi, M., TMTT Dec. 2010 3519-3526 Kikuchi, S., see Akimoto, S., TMTT Dec. 2010 3859-3865 Kilic, E., Akleman, F., Esen, B., Ozaltin, D. M., Ozdemir, O., and Yapar, A., 3-D Imaging of Inhomogeneous Materials Loaded in a Rectangular Waveguide; TMTT May 2010 1290-1296 Kim, B., see Kang, D., TMTT Aug. 2010 2134-2142 Kim, B., see Kim, I., TMTT Oct. 2010 2562-2574 Kim, B., see Kang, D., TMTT Oct. 2010 2598-2608 Kim, B., see Kang, D., TMTT Dec. 2010 4031-4039 Kim, B., see Moon, J., TMTT Nov. 2010 2800-2811 Kim, C. D., see Choi, H., TMTT May 2010 1116-1125 Kim, C. H., and Chang, K., Ring Resonator Bandpass Filter With Switchable Bandwidth Using Stepped-Impedance Stubs; TMTT Dec. 2010 3936-3944 Kim, D., see Kang, D., TMTT Aug. 2010 2134-2142 Kim, D., see Kang, D., TMTT Oct. 2010 2598-2608 Kim, D., see Kang, D., TMTT Dec. 2010 4031-4039 Kim, H., see Choi, K., TMTT Dec. 2010 3467-3474 Kim, H., Lee, B., and Park, M.-J., Dual-Band Branch-Line Coupler With Port Extensions; TMTT March 2010 651-655 Kim, H.-J., and Song, J.-I., Full-Duplex WDM-Based RoF System Using AllOptical SSB Frequency Upconversion and Wavelength Re-Use Techniques; TMTT Nov. 2010 3175-3180 Kim, H.-T., see Cha, H.-K., TMTT Aug. 2010 2143-2151 Kim, I., Moon, J., Jee, S., and Kim, B., Optimized Design of a Highly Efficient Three-Stage Doherty PA Using Gate Adaptation; TMTT Oct. 2010 25622574 Kim, J., Hoyos, S., and Silva-Martinez, J., Wideband Common-Gate CMOS LNA Employing Dual Negative Feedback With Simultaneous Noise, Gain, and Bandwidth Optimization; TMTT Sept. 2010 2340-2351 Kim, J., Ren, L., and Fan, J., Physics-Based Inductance Extraction for Via Arrays in Parallel Planes for Power Distribution Network Design; TMTT Sept. 2010 2434-2447 Kim, J., see Kang, D., TMTT Oct. 2010 2598-2608 Kim, J., see Moon, J., TMTT Nov. 2010 2800-2811 Kim, J., see Buckwalter, J. F., TMTT March 2010 506-517 Kim, K., see Choi, W., TMTT Dec. 2010 4052-4059 Kim, M., see Choi, K., TMTT Dec. 2010 3467-3474
V
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Kim, N., Aparin, V., and Larson, L. E., A Resistively Degenerated Wideband Passive Mixer With Low Noise Figure and High IIP ; TMTT April 2010 820-830 Kim, S., and Larson, L. E., A 44-GHz SiGe BiCMOS Phase-Shifting SubHarmonic Up-Converter for Phased-Array Transmitters; TMTT May 2010 1089-1099 Kim, S.-N., see Park, J., TMTT Sept. 2010 2326-2333 Kim, T. W., see Jin, T. H., TMTT Oct. 2010 2529-2537 Kim, T. W., see Jeong, Y. S., TMTT April 2010 764-770 Kim, Y., see Choi, W., TMTT Dec. 2010 4052-4059 Kim, Y., see Yu, A. H.-T., TMTT May 2010 1401-1409 Kimball, D. F., see Hong, Y.-P., TMTT June 2010 1565-1572 Kitayama, K., see Vegas Olmos, J. J., TMTT Nov. 2010 3001-3010 Klamkin, J. S., see Li, Y., TMTT March 2010 665-673 Klymyshyn, D. M., Borner, M., Haluzan, D. T., Santosa, E. G., Schaffer, M., Achenbach, S., and Mohr, J., Vertical High- RF-MEMS Devices for Reactive Lumped-Element Circuits; TMTT Nov. 2010 2976-2986 Knapp, H., see Laemmle, B., TMTT May 2010 1375-1383 Knochenhauer, C., Hauptmann, S., Scheytt, J. C., and Ellinger, F., A Jitter-Optimized Differential 40-Gbit/s Transimpedance Amplifier in SiGe BiCMOS; TMTT Oct. 2010 2538-2548 Knockaert, L., see Ferranti, F., TMTT Dec. 2010 3688-3696 Ko, J., see Kwon, K., TMTT Nov. 2010 2751-2763 Kodera, T., see Shahvarpour, A., TMTT Nov. 2010 2781-2793 Kodera, T., and Caloz, C., Authors’ Reply; TMTT May 2010 1310-1311 Koh, K. S., see Xia, J., TMTT Dec. 2010 4040-4051 Koh, K.-J., see Kang, D.-W., TMTT April 2010 771-780 Kojima, T., see Shan, W., TMTT April 2010 841-848 Kong, L. B., see Li, Z. W., TMTT Nov. 2010 2794-2799 Koochakzadeh, M., and Abbaspour-Tamijani, A., Multiresolution Channel-Select Filter With Ultrawide Frequency Coverage; TMTT May 2010 12051212 Koochakzadeh, M., and Abbaspour-Tamijani, A., Miniaturized Transmission Lines Based on Hybrid Lattice-Ladder Topology; TMTT April 2010 949-955 Kosugi, T., see Takahashi, H., TMTT Dec. 2010 4072-4078 Kovacs, A., see Chen, K., TMTT Dec. 2010 3971-3981 Kowalski, E. J., Tax, D. S., Shapiro, M. A., Sirigiri, J. R., Temkin, R. J., Bigelow, T. S., and Rasmussen, D. A., Linearly Polarized Modes of a Corrugated Metallic Waveguide; TMTT Nov. 2010 2772-2780 Kozhuharov, R., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 Koziel, S., Bandler, J. W., and Cheng, Q. S., Robust Trust-Region Space-Mapping Algorithms for Microwave Design Optimization; TMTT Aug. 2010 2166-2174 Koziel, S., Shape-Preserving Response Prediction for Microwave Design Optimization; TMTT Nov. 2010 2829-2837 Koziel, S., see Cheng, Q. S., TMTT Jan. 2010 136-144 Kravtsov, V., see Degani, O., TMTT May 2010 1431-1437 Krozer, V., Loffler, T., Dall, J., Kusk, A., Eichhorn, F., Olsson, R. K., Buron, J. D., Jepsen, P. U., Zhurbenko, V., and Jensen, T., Terahertz Imaging Systems With Aperture Synthesis Techniques; TMTT July 2010 2027-2039 Krupka, J., Gwarek, W., Kwietniewski, N., and Hartnett, J. G., Measurements of Planar Metal–Dielectric Structures Using Split-Post Dielectric Resonators; TMTT Dec. 2010 3511-3518 Ku, B.-H., and Hong, S., 6-bit CMOS Digital Attenuators With Low Phase Variations for -Band Phased-Array Systems; TMTT July 2010 1651-1663 Kuester, E. F., see Hsu, Y.-W., TMTT April 2010 1012-1021 Kuhn, M. J., Mahfouz, M. R., Zhang, C., Merkl, B. C., and Fathy, A. E., A System-Level Simulation Framework for UWB Localization; TMTT Dec. 2010 3527-3537 Kuhn, M. J., see Zhang, C., TMTT Jan. 2010 9-20 kuhn, S., see Liero, A., TMTT April 2010 781-787 Kuhn, W. B., and Boutz, A. P., Measuring and Reporting High Quality Factors of Inductors Using Vector Network Analyzers; TMTT April 2010 10461055 Kukutsu, N., see Takahashi, H., TMTT Dec. 2010 4072-4078 Kuo, C.-N., see Fu, C.-T., TMTT April 2010 795-806 Kuo, R.-F., and Chu, T.-H., Unconditional Stability Boundaries of a Three-Port Network; TMTT Feb. 2010 363-371 Kuo, Y.-T., Lu, J.-C., Liao, C.-K., and Chang, C.-Y., New Multiband Coupling Matrix Synthesis Technique and Its Microstrip Implementation; TMTT July 2010 1840-1850 Kuri, T., see Vegas Olmos, J. J., TMTT Nov. 2010 3001-3010
Q
X
+ Check author entry for coauthors
4157
Kuroda, K., Ishikawa, R., and Honjo, K., Parasitic Compensation Design Technique for a C-Band GaN HEMT Class-F Amplifier; TMTT Nov. 2010 27412750 Kusk, A., see Krozer, V., TMTT July 2010 2027-2039 Kuylenstierna, D., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 Kuylenstierna, D., see Norling, M., TMTT June 2010 1475-1484 Kwietniewski, N., see Krupka, J., TMTT Dec. 2010 3511-3518 Kwon, K., see Cha, H.-K., TMTT Aug. 2010 2143-2151 Kwon, K., Choi, J., Choi, J., Hwang, Y., Lee, K., and Ko, J., A 5.8 GHz Integrated CMOS Dedicated Short Range Communication Transceiver for the Korea/Japan Electronic Toll Collection System; TMTT Nov. 2010 27512763 Kwon, Y., see Choi, W., TMTT Dec. 2010 4052-4059 L Laemmle, B., Wagner, C., Knapp, H., Jaeger, H., Maurer, L., and Weigel, R., A Differential Pair-Based Direct Digital Synthesizer MMIC With 16.8-GHz Clock and 488-mW Power Consumption; TMTT May 2010 1375-1383 Lahiji, R. R., Sharifi, H., Katehi, L. P. B., and Mohammadi, S., 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N; TMTT Jan. 2010 48-56 Lai, C.-H., see Wang, C.-C., TMTT Aug. 2010 2266-2276 Lai, R., see Radisic, V., TMTT July 2010 1903-1909 Lai, S.-H., see Hsu, H.-M., TMTT April 2010 814-819 Lamponi, M., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Langston, W. L., see Bernal, J., TMTT July 2010 1774-1785 Larson, L. E., see Kim, S., TMTT May 2010 1089-1099 Larson, L. E., see Hong, Y.-P., TMTT June 2010 1565-1572 Larson, L. E., see Kim, N., TMTT April 2010 820-830 Larson, L. E., see Farazian, M., TMTT May 2010 1349-1358 Laskar, J., see Chuang, K., TMTT Dec. 2010 4060-4071 Laskar, J., see Cha, J., TMTT Dec. 2010 3626-3633 Laskar, J., see Juntunen, E., TMTT Feb. 2010 348-355 Laskar, J., see Lee, S. M., TMTT April 2010 747-756 Lau, J. Y., and Hum, S. V., A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation; TMTT Dec. 2010 3547-3555 Law, C. L., see Xia, J., TMTT Dec. 2010 4040-4051 Lazaro, A., see Llamas, M. A., TMTT May 2010 1264-1272 Le Guennec, Y., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 Leaird, D. E., see Hamidi, E., TMTT Nov. 2010 3269-3278 Lee, B., see Kim, H., TMTT March 2010 651-655 Lee, C., see Maestrini, A., TMTT July 2010 1925-1932 Lee, C., see Thomas, B., TMTT July 2010 1917-1924 Lee, C.-H., see Cha, J., TMTT Dec. 2010 3626-3633 Lee, C.-M., see Li, C., TMTT May 2010 1410-1419 Lee, J., see Yang, X., TMTT Aug. 2010 2125-2133 Lee, J., see Naglich, E. J., TMTT Dec. 2010 3945-3953 Lee, J., see Naglich, E. J., TMTT Dec. 2010 3770-3779 Lee, J., see Song, Y., TMTT Feb. 2010 310-317 Lee, J., see Lee, S., TMTT July 2010 1748-1757 Lee, J.-H., see Lin, Y.-S., TMTT Feb. 2010 287-296 Lee, K., see Cha, H.-K., TMTT Aug. 2010 2143-2151 Lee, K., see Im, D., TMTT Dec. 2010 3566-3579 Lee, K., see Kwon, K., TMTT Nov. 2010 2751-2763 Lee, K.-Y., see Lee, S., TMTT July 2010 1748-1757 Lee, S., and Lee, Y., Generalized Miniaturization Method for Coupled-Line Bandpass Filters by Reactive Loading; TMTT Sept. 2010 2383-2391 Lee, S., see Zhai, H., TMTT Jan. 2010 74-86 Lee, S., see Song, Y., TMTT Feb. 2010 310-317 Lee, S., Lee, J., Park, H., Lee, K.-Y., and Nam, S., Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters; TMTT July 2010 1748-1757 Lee, S., and Lee, Y., A Design Method for Microstrip Directional Couplers Loaded With Shunt Inductors for Directivity Enhancement; TMTT April 2010 994-1002 Lee, S. M., Song, T., Park, J., Cho, C., An, S., Lim, K., and Laskar, J., A CMOS Integrated Analog Pulse Compressor for MIMO Radar Applications; TMTT April 2010 747-756 Lee, W., see Lilis, G. N., TMTT July 2010 1713-1723 Lee, Y., see Lee, S., TMTT Sept. 2010 2383-2391 Lee, Y., see Lee, S., TMTT April 2010 994-1002
4158
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Lee, Y.-J., see Chen, Y.-J. E., TMTT Dec. 2010 3444-3451 Lees, J., see Hashmi, M. S., TMTT March 2010 656-664 Leong, K. M. K. H., see Radisic, V., TMTT July 2010 1903-1909 Leong, M.-S., see Ooi, B. L., TMTT Aug. 2010 2314 Leung, M. C.-H., see Juntunen, E., TMTT Feb. 2010 348-355 Leveque, P., see Merla, C., TMTT Dec. 2010 4079-4085 Lewandowski, A., Williams, D. F., Hale, P. D., Wang, J. C. M., and Dienstfrey, A., Covariance-Based Vector-Network-Analyzer Uncertainty Analysis for Time- and Frequency-Domain Measurements; TMTT July 2010 1877-1886 Li, C., Yu, X., Lee, C.-M., Li, D., Ran, L., and Lin, J., High-Sensitivity Software-Configurable 5.8-GHz Radar Sensor Receiver Chip in 0.13-m CMOS for Noncontact Vital Sign Detection; TMTT May 2010 1410-1419 Li, C.-H., see Chang, T.-H., TMTT June 2010 1543-1550 Li, C.-J., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Li, C.-J., see Hsiao, C.-H., TMTT Dec. 2010 4137-4146 Li, C.-J., Hsiao, C.-H., Wang, F.-K., Horng, T.-S., and Peng, K.-C., A Rigorous Analysis of a Phase-Locked Oscillator Under Injection; TMTT May 2010 1391-1400 Li, D., see Li, C., TMTT May 2010 1410-1419 Li, E.-P., see Szabo, Z., TMTT Oct. 2010 2646-2653 Li, E.-P., see Wei, X.-C., TMTT Nov. 2010 2850-2855 Li, E.-P., see Li, J. L.-W., TMTT Dec. 2010 3398 Li, E.-P., see Wei, X.-C., TMTT March 2010 559-565 Li, G., see Lilis, G. N., TMTT July 2010 1713-1723 Li, J. L.-W., Li, E.-P., Zhu, L., and Lin, F., Guest Editorial; TMTT Dec. 2010 3398 Li, J.-Y., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Li, J.-Y., Chi, C.-H., and Chang, C.-Y., Synthesis and Design of Generalized Chebyshev Wideband Hybrid Ring Based Bandpass Filters With a Controllable Transmission Zero Pair; TMTT Dec. 2010 3720-3731 Li, M., Wang, C., Li, W., and Yao, J., An Unbalanced Temporal Pulse-Shaping System for Chirped Microwave Waveform Generation; TMTT Nov. 2010 2968-2975 Li, M., see Wang, H., TMTT Feb. 2010 253-258 Li, Q., see Zhou, S., TMTT April 2010 910-916 Li, S., see Wu, Y., TMTT Dec. 2010 3832-3841 Li, W., see Li, M., TMTT Nov. 2010 2968-2975 Li, W., and Yao, J., Investigation of Photonically Assisted Microwave Frequency Multiplication Based on External Modulation; TMTT Nov. 2010 3259-3268 Li, W., see Zhou, S., TMTT April 2010 910-916 Li, X., Yildirim, O. O., Zhu, W., and Ham, D., Phase Noise of Distributed Oscillators; TMTT Aug. 2010 2105-2117 Li, Y., Wang, R., Klamkin, J. S., Johansson, L. A., Herczfeld, P. R., and Bowers, J. E., Quadratic Electrooptic Effect for Frequency Down-Conversion; TMTT March 2010 665-673 Li, Y.-S., see Gao, S., TMTT July 2010 1830-1839 Li, Z. W., Yang, Z. H., Huang, R. F., and Kong, L. B., Greatly Enhanced Permeability and Expanded Bandwidth for Spinel Ferrite Composites With Flaky Fillers; TMTT Nov. 2010 2794-2799 Liang, C.-P., Rao, P.-Z., Huang, T.-J., and Chung, S.-J., Analysis and Design of Two Low-Power Ultra-Wideband CMOS Low-Noise Amplifiers With Out-Band Rejection; TMTT Feb. 2010 277-286 Liang, D., see Chen, H.-W., TMTT Nov. 2010 3213-3219 Liang, W., see Savchenkov, A. A., TMTT Nov. 2010 3167-3174 Liang, X., see James, J., TMTT Nov. 2010 3189-3198 Liao, C.-K., see Kuo, Y.-T., TMTT July 2010 1840-1850 Liao, H.-Y., see Syu, J.-S., TMTT July 2010 1703-1712 Liao, J., see Boryssenko, A. O., TMTT Feb. 2010 403-410 Liao, K.-H., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Liao, K.-H., see Wang, S.-C., TMTT April 2010 740-746 Liberti, M., see Paffi, A., TMTT Oct. 2010 2702-2714 Liberti, M., see Merla, C., TMTT Dec. 2010 4079-4085 Liberti, M., see Paffi, A., TMTT Dec. 2010 4086-4093 Liberti, M., see Merla, C., TMTT March 2010 691-698 Lichtenberger, A. W., see Liu, L., TMTT July 2010 1943-1951 Liero, A., Dewitz, M., kuhn, S., Chaturvedi, N., Xu, J., and Rudolph, M., On the Recovery Time of Highly Robust Low-Noise Amplifiers; TMTT April 2010 781-787 Lilis, G. N., Park, J., Lee, W., Li, G., Bhat, H. S., and Afshari, E., Harmonic Generation Using Nonlinear LC Lattices; TMTT July 2010 1713-1723 Lim, C., see Yang, Y., TMTT Nov. 2010 3181-3188 Lim, K., see Lee, S. M., TMTT April 2010 747-756 Lim, W.-C., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 + Check author entry for coauthors
Lima, E. G., see Cunha, T. R., TMTT Dec. 2010 4012-4021 Lin, C.-C., see Lin, C.-S., TMTT Aug. 2010 2299-2306 Lin, C.-P., and Jou, C. F., New CMOS-Compatible Micromachined Embedded Coplanar Waveguide; TMTT Sept. 2010 2511-2516 Lin, C.-S., Chang, S.-F., Chang, C.-C., and Lin, C.-C., Microwave Human Vocal Vibration Signal Detection Based on Doppler Radar Technology; TMTT Aug. 2010 2299-2306 Lin, F., see Li, J. L.-W., TMTT Dec. 2010 3398 Lin, F., see Brinkhoff, J., TMTT Feb. 2010 297-309 Lin, G.-R., see Chi, Y.-C., TMTT Aug. 2010 2292-2298 Lin, J., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Lin, J., see Sun, X., TMTT Nov. 2010 3206-3212 Lin, J., see Li, C., TMTT May 2010 1410-1419 Lin, J.-W., see Hu, W.-Y., TMTT May 2010 1367-1374 Lin, K.-M., see Chin, K.-S., TMTT May 2010 1213-1221 Lin, K.-Y., see Huang, P.-C., TMTT Dec. 2010 3556-3565 Lin, P.-C., see Weng, R.-M., TMTT Aug. 2010 2077-2083 Lin, R., see Thomas, B., TMTT July 2010 1917-1924 Lin, R. H., see Maestrini, A., TMTT July 2010 1925-1932 Lin, Y. H., see Huang, C. C., TMTT Sept. 2010 2497-2503 Lin, Y.-S., see Chen, H.-K., TMTT Aug. 2010 2092-2104 Lin, Y.-S., see Tsai, C.-L., TMTT Nov. 2010 2878-2887 Lin, Y.-S., Wang, P.-C., You, C.-W., and Chang, P.-Y., New Designs of Bandpass Diplexer and Switchplexer Based on Parallel-Coupled Bandpass Filters; TMTT Dec. 2010 3417-3426 Lin, Y.-S., Chen, C.-Z., Yang, H.-Y., Chen, C.-C., Lee, J.-H., Huang, G.-H., and Lu, S.-S., Analysis and Design of a CMOS UWB LNA With Dual-RLC -Branch Wideband Input Matching Network; TMTT Feb. 2010 287-296 Lindell, I. V., and Sihvola, A., Circular Waveguide With DB-Boundary Conditions; TMTT April 2010 903-909 Lindfors, S., see Rapinoja, T., TMTT May 2010 1339-1348 Liou, W.-R., see Yang, X., TMTT Aug. 2010 2125-2133 Lison, F., see Friederich, F., TMTT July 2010 2022-2026 Liu, C.-P., and Seeds, A. J., Transmission of Wireless MIMO-Type Signals Over a Single Optical Fiber Without WDM; TMTT Nov. 2010 3094-3102 Liu, C.-T., see Wu, L.-S., TMTT April 2010 882-893 Liu, C.-Y., see Weng, R.-M., TMTT Aug. 2010 2077-2083 Liu, G., see Tao, Y., TMTT May 2010 1167-1174 Liu, H., and Jiao, D., Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers; TMTT Dec. 2010 3697-3709 Liu, L., Xu, H., Lichtenberger, A. W., and Weikle II, R. M., Integrated 585-GHz Hot-Electron Mixer Focal-Plane Arrays Based on Annular Slot Antennas for Imaging Applications; TMTT July 2010 1943-1951 Liu, P., see Jung, J., TMTT Dec. 2010 4102-4111 Liu, P.-H., see Radisic, V., TMTT July 2010 1903-1909 Liu, P.-J., see Chen, J.-H., TMTT May 2010 1333-1338 Liu, T., see Shen, Y., TMTT Nov. 2010 3327-3335 Liu, X., see Chen, K., TMTT Dec. 2010 3971-3981 Liu, Y., see Wu, Y., TMTT Dec. 2010 3832-3841 Liu, Y., see Zhu, N. H., TMTT May 2010 1283-1289 Liu, Z., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Lizarraga, I., see Ramirez, F., TMTT April 2010 929-940 Llamas, M. A., Girbau, D., Ribo, M., Pradell, L., Lazaro, A., Giacomozzi, F., and Margesin, B., MEMS-Based 180 Phase Switch for Differential Radiometers; TMTT May 2010 1264-1272 Llombart, N., Cooper, K. B., Dengler, R. J., Bryllert, T., Chattopadhyay, G., and Siegel, P. H., Time-Delay Multiplexing of Two Beams in a Terahertz Imaging Radar; TMTT July 2010 1999-2007 Llorente, R., Morant, M., Puche, J. F., Romme, J., Amiot, N., Uguen, B., and Duplicy, J., Localization and Fingerprint of Radio Signals Employing a Multichannel Photonic Analog-to-Digital Converter; TMTT Nov. 2010 3304-3311 Llorente, R., see Beltran, M., TMTT June 2010 1609-1620 Lodato, R., see Paffi, A., TMTT Dec. 2010 4086-4093 Loffler, T., see Krozer, V., TMTT July 2010 2027-2039 Loffler, T., see Siegel, P. H., TMTT July 2010 1901-1902 Loison, R., see Miry, C., TMTT Sept. 2010 2375-2382 Lonac, J. A., see Resca, D., TMTT April 2010 719-729 Lopresto, V., see Paffi, A., TMTT Dec. 2010 4086-4093 Lovat, G., see Paulotto, S., TMTT July 2010 1807-1817 Lovisolo, G. A., see Paffi, A., TMTT Oct. 2010 2702-2714 Lovisolo, G. A., see Paffi, A., TMTT Dec. 2010 4086-4093
H
H
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Lozano-Guerrero, A. J., Clemente-Fernandez, F. J., Monzo-Cabrera, J., Pedreno-Molina, J. L., and Diaz-Morcillo, A., Precise Evaluation of Coaxial to Waveguide Transitions by Means of Inverse Techniques; TMTT Jan. 2010 229-235 Lu, J.-C., see Kuo, Y.-T., TMTT July 2010 1840-1850 Lu, L.-H., see Chien, C.-K., TMTT March 2010 498-505 Lu, M., see Zhai, H., TMTT Jan. 2010 74-86 Lu, S.-S., see Chen, H.-K., TMTT Aug. 2010 2092-2104 Lu, S.-S., see Lin, Y.-S., TMTT Feb. 2010 287-296 Lu, Y., see Wang, Q., TMTT Dec. 2010 3502-3510 Lu, Y., see Zhang, Q., TMTT Dec. 2010 3742-3748 Lui, K.-S., see Zhou, E., TMTT Nov. 2010 3344-3351 Luo, S., Zhu, L., and Sun, S., A Dual-Band Ring-Resonator Bandpass Filter Based on Two Pairs of Degenerate Modes; TMTT Dec. 2010 3427-3432 Luo, S.-Y., see Chiang, P.-Y., TMTT April 2010 831-840 Lyon, K. G., see Yu, F., TMTT Dec. 2010 4121-4127 Lyon, K. G., Yu, F., and Kan, E. C., A UWB-IR Transmitter Using Frequency Conversion in Nonlinear Transmission Lines With 16 pJ/pulse Energy Consumption; TMTT Dec. 2010 3617-3625 M Ma, T.-G., see Wang, C.-C., TMTT Aug. 2010 2266-2276 Macchiarella, G., and Tamiazzo, S., Synthesis of Star-Junction Multiplexers; TMTT Dec. 2010 3732-3741 Macchiarella, G., see Oldoni, M., TMTT May 2010 1222-1229 MacDonald, Noel C., see Todd, S. T., TMTT Dec. 2010 3790-3800 Maddio, S., see Cidronali, A., TMTT Jan. 2010 21-31 Maestrini, A., Ward, J. S., Gill, J. J., Lee, C., Thomas, B., Lin, R. H., Chattopadhyay, G., and Mehdi, I., A Frequency-Multiplied Source With More Than 1 mW of Power Across the 840–900-GHz Band; TMTT July 2010 1925-1932 Maestrini, A., see Thomas, B., TMTT July 2010 1917-1924 Magierowski, S., Bousquet, J.-F., Zhao, Z., and Zourntos, T., RF CMOS Parametric Downconverters; TMTT March 2010 518-528 Mahfouz, M. R., see Kuhn, M. J., TMTT Dec. 2010 3527-3537 Mahfouz, M. R., see Zhang, C., TMTT Jan. 2010 9-20 Majedi, A. H., see Atikian, H. A., TMTT Nov. 2010 3320-3326 Mak, P.-I., and Martins, R. P., A 2 V -Enabled Mobile-TV RF Front-End With TV-GSM Interoperability in 1-V 90-nm CMOS; TMTT July 2010 1664-1676 Make, D., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Maleki, L., see Savchenkov, A. A., TMTT Nov. 2010 3167-3174 Malocha, D. C., see Gallagher, D. R., TMTT March 2010 674-679 Manes, G., see Cidronali, A., TMTT Jan. 2010 21-31 Mansour, R. R., see Zahirovic, N., TMTT Dec. 2010 3961-3970 Mansour, R. R., see Zahirovic, N., TMTT Dec. 2010 3749-3759 Mansour, R. R., see Fouladi, S., TMTT Feb. 2010 478-486 Mansour, R. R., see Fouladi, S., TMTT April 2010 1056-1064 Mao, J.-F., see Wu, L.-S., TMTT Oct. 2010 2654-2662 Mao, J.-F., see Zhang, M.-S., TMTT Nov. 2010 2940-2951 Mao, J.-F., see Wu, L.-S., TMTT April 2010 882-893 Maradei, F., see Ke, H., TMTT May 2010 1189-1195 Marczewski, J., see Yashchyshyn, Y., TMTT May 2010 1100-1106 Margesin, B., see Llamas, M. A., TMTT May 2010 1264-1272 Marini, S., Coves, A., Boria, V. E., and Gimeno, B., Efficient Modal Analysis of Periodic Structures Loaded With Arbitrarily Shaped Waveguides; TMTT March 2010 529-536 Marino, C., see Paffi, A., TMTT Oct. 2010 2702-2714 Markley, L., and Eleftheriades, G. V., A Near-Field Probe for SubwavelengthFocused Imaging; TMTT March 2010 551-558 Marti, J., see Vidal, B., TMTT Nov. 2010 3103-3108 Martin, D. H., see Yang, B., TMTT Dec. 2010 3587-3597 Martin, F., see Duran-Sindreu, M., TMTT Dec. 2010 3882-3891 Martin, F., see Paredes, F., TMTT May 2010 1159-1166 Martineau, B., see Gonzalez, J. L., TMTT May 2010 1359-1366 Martins, R. P., see Mak, P.-I., TMTT July 2010 1664-1676 Mashad Nemati, H., see Cao, H., TMTT April 2010 873-881 Mason, R., see Soliman, Y., TMTT Dec. 2010 3475-3484 Mata, H., see Bachiller, C., TMTT Dec. 2010 3634-3644 Matsko, A. B., see Savchenkov, A. A., TMTT Nov. 2010 3167-3174 Matyas, J. R., see Salvador, S. M., TMTT Aug. 2010 2307-2313 Maurer, L., see Laemmle, B., TMTT May 2010 1375-1383
2
+ Check author entry for coauthors
4159
Maury, G., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 May, J. W., and Rebeiz, G. M., Design and Characterization of W -Band SiGe RFICs for Passive Millimeter-Wave Imaging; TMTT May 2010 1420-1430 McGregor, I., Aghamoradi, F., and Elgaid, K., An Approximate Analytical Model for the Quasi-Static Parameters of Elevated CPW Lines; TMTT Dec. 2010 3809-3814 Medi, A., see Meghdadi, M., TMTT Dec. 2010 3519-3526 Mediavilla Sanchez, A., see Helszajn, J., TMTT June 2010 1485-1492 Medina, F., Mesa, F., and Skigin, D. C., Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model; TMTT Jan. 2010 105-115 Medina, F., Mesa, F., Ruiz-Cruz, J. A., Rebollar, J. M., and Montejo-Garai, J. R., Study of Extraordinary Transmission in a Circular Waveguide System; TMTT June 2010 1532-1542 Meghdadi, M., Azizi, M., Kiani, M., Medi, A., and Atarodi, M., A 6-Bit CMOS Phase Shifter for S -Band; TMTT Dec. 2010 3519-3526 Mehdi, I., see Maestrini, A., TMTT July 2010 1925-1932 Mehdi, I., see Thomas, B., TMTT July 2010 1917-1924 Mei, X. B., see Radisic, V., TMTT July 2010 1903-1909 Melde, K. L., see Zhou, Z., TMTT Jan. 2010 185-194 Melet, P., see Chuang, K., TMTT Dec. 2010 4060-4071 Melville, B., see Gray, B., TMTT Aug. 2010 2118-2124 Mendis, R., and Mittleman, D. M., A 2-D Artificial Dielectric With 0 n < 1 for the Terahertz Region; TMTT July 2010 1993-1998 Meng, C.-C., see Syu, J.-S., TMTT July 2010 1703-1712 Mengual, T., see Vidal, B., TMTT Nov. 2010 3103-3108 Merkl, B. C., see Kuhn, M. J., TMTT Dec. 2010 3527-3537 Merkl, B. C., see Zhang, C., TMTT Jan. 2010 9-20 Merla, C., El Amari, S., Kenaan, M., Liberti, M., Apollonio, F., Arnaud-Cormos, D., Couderc, V., and Leveque, P., A 10- High-Voltage Nanosecond Pulse Generator; TMTT Dec. 2010 4079-4085 Merla, C., see Paffi, A., TMTT Dec. 2010 4086-4093 Merla, C., Liberti, M., Apollonio, F., Nervi, C., and d’Inzeo, G., A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis; TMTT March 2010 691-698 Mesa, F., see Bernal, J., TMTT July 2010 1774-1785 Mesa, F., see Medina, F., TMTT Jan. 2010 105-115 Mesa, F., see Medina, F., TMTT June 2010 1532-1542 Miao, J., see Qi, Y., TMTT Dec. 2010 3657-3665 Michalski, K. A., see Alparslan, A., TMTT March 2010 602-613 Michel, J.-P., see Benevent, E., TMTT March 2010 645-650 Michishita, N., see Ueda, T., TMTT July 2010 1766-1773 Min, K., see Zhai, H., TMTT Jan. 2010 74-86 Minasian, R. A., see Yi, X., TMTT Nov. 2010 3088-3093 Minasian, R. A., see Chan, E. H. W., TMTT Nov. 2010 3199-3205 Mirshekar-Syahkal, D., see Bulja, S., TMTT Dec. 2010 3493-3501 Mirshekar-Syahkal, D., see Bulja, S., TMTT July 2010 1851-1857 Miry, C., Loison, R., and Gillard, R., An Efficient Bilateral Dual-Grid-FDTD Approach Applied to On-Body Transmission Analysis and Specific Absorption Rate Computation; TMTT Sept. 2010 2375-2382 Mishra, U. K., see Yang, C. K., TMTT May 2010 1077-1088 Mittleman, D., see Siegel, P. H., TMTT July 2010 1901-1902 Mittleman, D. M., see Mendis, R., TMTT July 2010 1993-1998 Miyahara, R., Sekiya, H., and Kazimierczuk, M. K., Novel Design Procedure for Class-E Power Amplifiers; TMTT Dec. 2010 3607-3616 Miyazaki, M., see Hangai, M., TMTT Jan. 2010 41-47 Mizuno, K., see Siegel, P. H., TMTT July 2010 1901-1902 Mohammadi, S., see Lahiji, R. R., TMTT Jan. 2010 48-56 Mohr, J., see Klymyshyn, D. M., TMTT Nov. 2010 2976-2986 Molina-Fernandez, I., see Perez-Lara, P., TMTT April 2010 849-853 Monroy, I. T., see Yin, X., TMTT Nov. 2010 3229-3236 Montejo-Garai, J. R., see Medina, F., TMTT June 2010 1532-1542 Monzo-Cabrera, J., see Lozano-Guerrero, A. J., TMTT Jan. 2010 229-235 Moodie, D., see Stohr, A., TMTT Nov. 2010 3071-3082 Moon, H., Han, J., Choi, S.-I., Keum, D., and Park, B.-H., An Area-Efficient 0.13-m CMOS Multiband WCDMA/HSDPA Receiver; TMTT May 2010 1447-1455 Moon, J., see Kim, I., TMTT Oct. 2010 2562-2574 Moon, J., see Kang, D., TMTT Dec. 2010 4031-4039 Moon, J., Kim, J., and Kim, B., Investigation of a Class-J Power Amplifier With a Nonlinear C for Optimized Operation; TMTT Nov. 2010 2800-2811 Moon, S., and Chappell, W. J., Novel Three-Dimensional Packaging Approaches Using Magnetically Aligned Anisotropic Conductive Adhesive for Microwave Applications; TMTT Dec. 2010 3815-3823 Moquillon, L., see Quemerais, T., TMTT Sept. 2010 2426-2433
4160
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Morant, M., see Llorente, R., TMTT Nov. 2010 3304-3311 Morgan, M. A., Fisher, J. R., and Boyd, T. A., Compact Orthomode Transducers Using Digital Polarization Synthesis; TMTT Dec. 2010 3666-3676 Morini, A., and Venanzoni, G., Corrections to “Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters” [Sep 07 1905-1911]; TMTT Sept. 2010 2518 Mork, J., see Sales, S., TMTT Nov. 2010 3022-3038 Morro, J. V., see Bachiller, C., TMTT Dec. 2010 3634-3644 Morro, J. V., see Belenguer, A., TMTT March 2010 537-544 Mortazawi, A., and Williams, D., Editorial: Message From the Outgoing Editors; TMTT Sept. 2010 2317-2318 Mortazawi, A., see Nick, M., TMTT Nov. 2010 2764-2771 Mortazawi, A., see Nick, M., TMTT May 2010 1133-1139 Mosbacker, H. L., see Trichopoulos, G. C., TMTT July 2010 2014-2021 Mosig, J. R., see Perruisseau-Carrier, J., TMTT June 2010 1621-1628 Mouthaan, K., see Tang, X., TMTT Dec. 2010 3459-3466 Mouthaan, K., see Tang, X., TMTT June 2010 1573-1583 Mukherjee, T., see Zhou, C., TMTT Oct. 2010 2521-2528 Mumcu, G., see Trichopoulos, G. C., TMTT July 2010 2014-2021 Murata, K., see Yamanaka, S., TMTT Sept. 2010 2334-2339 Murata, K., see Takahashi, H., TMTT Dec. 2010 4072-4078 Murata, R., see Oshima, S., TMTT March 2010 614-623 Murk, A., see Ederra, I., TMTT July 2010 1734-1741 Myslinski, M., see Remley, K. A., TMTT Feb. 2010 458-467
N Nagaoka, T., see Akimoto, S., TMTT Dec. 2010 3859-3865 Nagel, M., see Tiedje, H. F., TMTT July 2010 2040-2045 Naglich, E. J., Lee, J., Peroulis, D., and Chappell, W. J., Bandpass–Bandstop Filter Cascade Performance Over Wide Frequency Tuning Ranges; TMTT Dec. 2010 3945-3953 Naglich, E. J., Lee, J., Peroulis, D., and Chappell, W. J., A Tunable Bandpass-to-Bandstop Reconfigurable Filter With Independent Bandwidths and Tunable Response Shape; TMTT Dec. 2010 3770-3779 Nakaji, M., see Sakai, K., TMTT Nov. 2010 3154-3160 Nakano, H., see Hirano, T., TMTT Oct. 2010 2663-2672 Nakano, H., see Suga, R., TMTT Dec. 2010 3989-3995 Nam, I., see Im, D., TMTT Dec. 2010 3566-3579 Nam, S., see Song, Y., TMTT Feb. 2010 310-317 Nam, S., see Lee, S., TMTT July 2010 1748-1757 Nand, N. R., see Hartnett, J. G., TMTT Dec. 2010 3580-3586 Nanzer, J. A., Millimeter-Wave Interferometric Angular Velocity Detection; TMTT Dec. 2010 4128-4136 Narayanan, T. V., and Swaminathan, M., Preconditioned Second-Order MultiPoint Passive Model Reduction for Electromagnetic Simulations; TMTT Nov. 2010 2856-2866 Ndip, I., see Curran, B., TMTT Sept. 2010 2448-2455 Nebus, J.-M., see Abouchahine, M., TMTT April 2010 1038-1045 Negra, R., see Ghannouchi, F. M., TMTT Nov. 2010 2812-2819 Nemati, H. M., Cao, H., Almgren, B., Eriksson, T., and Fager, C., Design of Highly Efficient Load Modulation Transmitter for Wideband Cellular Applications; TMTT Nov. 2010 2820-2828 Nervi, C., see Merla, C., TMTT March 2010 691-698 Neshat, M., Saeedkia, D., Rezaee, L., and Safavi-Naeini, S., A Global Approach for Modeling and Analysis of Edge-Coupled Traveling-Wave Terahertz Photoconductive Sources; TMTT July 2010 1952-1966 Neveux, G., see Abouchahine, M., TMTT April 2010 1038-1045 Neviani, A., see Dal Toso, S., TMTT July 2010 1686-1695 Nguyen, G. D., and Feng, M., Drifting-Dipole Noise (DDN) Model of MOSFETs for Microwave Circuit Design; TMTT Dec. 2010 3433-3443 Nguyen, H. V., Parsa, A., and Caloz, C., Power-Recycling Feedback System for Maximization of Leaky-Wave Antennas’ Radiation Efficiency; TMTT July 2010 1641-1650 Nick, M., and Mortazawi, A., Adaptive Input-Power Distribution in Doherty Power Amplifiers for Linearity and Efficiency Enhancement; TMTT Nov. 2010 2764-2771 Nick, M., and Mortazawi, A., Low Phase-Noise Planar Oscillators Based on Low-Noise Active Resonators; TMTT May 2010 1133-1139 Nippa, D. W., see Ridgway, R. W., TMTT Nov. 2010 3117-3126 Nirmalathas, A., see Capmany, J., TMTT Nov. 2010 2989-2991 Nirmalathas, A., see Yang, Y., TMTT Nov. 2010 3181-3188 Nkansah, A., see James, J., TMTT Nov. 2010 3189-3198 + Check author entry for coauthors
Noguchi, T., see Shan, W., TMTT April 2010 841-848 Norling, M., Kuylenstierna, D., Vorobiev, A., and Gevorgian, S., Layout Optimization of Small-Size Ferroelectric Parallel-Plate Varactors; TMTT June 2010 1475-1484 Novak, D., see Capmany, J., TMTT Nov. 2010 2989-2991 Nunoya, N., see Ramaswamy, A., TMTT Nov. 2010 3336-3343
O O’Brien, S., Osborne, S., Bitauld, D., Brandonisio, N., Amann, A., Phelan, R., Kelly, B., and O’Gorman, J., Optical Synthesis of Terahertz and MillimeterWave Frequencies With Discrete Mode Diode Lasers; TMTT Nov. 2010 3083-3087 O’Connor, S. R., see Clark , T. R., TMTT Nov. 2010 3039-3058 O’Donnell, B. C., see Helszajn, J., TMTT June 2010 1485-1492 O’Gorman, J., see O’Brien, S., TMTT Nov. 2010 3083-3087 Oh, H.-S., and Yeom, K.-W., A Full -Band Reduced-Height Waveguide-toMicrostrip Transition With a Short Transition Length; TMTT Sept. 2010 2456-2462 Ohhata, K., Imamura, H., Takeshita, Y., Yamashita, K., Kanai, H., and Chujo, N., Design of a 4 10 Gb/s VCSEL Driver Using Asymmetric Emphasis Technique in 90-nm CMOS for Optical Interconnection; TMTT May 2010 1107-1115 Ohta, H., see Shoji, Y., TMTT Nov. 2010 3237-3247 Ohta, H., see Shoji, Y., TMTT Feb. 2010 340-347 Okhmatovski, V., see Al-Qedra, M., TMTT Dec. 2010 3872-3881 Okhmatovski, V. I., see Aronsson, J., TMTT May 2010 1175-1188 Okoniewski, M., see Salvador, S. M., TMTT Aug. 2010 2307-2313 Oldoni, M., Macchiarella, G., Gentili, G. G., and Ernst, C., A New Approach to the Synthesis of Microwave Lossy Filters; TMTT May 2010 1222-1229 Olsson, R. K., see Krozer, V., TMTT July 2010 2027-2039 Olsson, T., see Shitvov, A. P., TMTT Feb. 2010 356-362 Ooi, B. L., Zhong, Z., and Leong, M.-S., Corrections to “Analytical Extraction of Extrinsic and Intrinsic FET Parameters” [Feb 09 254-261]; TMTT Aug. 2010 2314 Orlandi, A., see de Paulis, F., TMTT July 2010 1867-1876 Orlianges, J.-C., see Givernaud, J., TMTT Sept. 2010 2352-2361 Orta, R., see Peverini, O. A., TMTT Feb. 2010 440-450 Osborne, S., see O’Brien, S., TMTT Nov. 2010 3083-3087 Oshima, S., Wada, K., Murata, R., and Shimakata, Y., Multilayer Dual-Band Bandpass Filter in Low-Temperature Co-Fired Ceramic Substrate for UltraWideband Applications; TMTT March 2010 614-623 Osman, S., see Takinami, K., TMTT June 2010 1465-1474 Ouedraogo, R. O., Rothwell, E. J., Chen, S.-Y., and Greetis, B. J., An Automatically Tunable Cavity Resonator System; TMTT April 2010 894-902 Ozaltin, D. M., see Kilic, E., TMTT May 2010 1290-1296 Ozdemir, O., see Kilic, E., TMTT May 2010 1290-1296
Ku
2
P Paffi, A., Apollonio, F., Lovisolo, G. A., Marino, C., Pinto, R., Repacholi, M., and Liberti, M., Considerations for Developing an RF Exposure System: A Review for in vitro Biological Experiments; TMTT Oct. 2010 2702-2714 Paffi, A., Liberti, M., Lopresto, V., Merla, C., Lodato, R., Lovisolo, G. A., and Apollonio, F., A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies; TMTT Dec. 2010 4086-4093 Palmer, W. D., see Keller, S. D., TMTT Sept. 2010 2362-2367 Palmisano, G., see Cavallaro, M., TMTT April 2010 854-865 Pan, L., see Agarwal, K., TMTT April 2010 1065-1074 Pan, S., and Yao, J., Tunable Subterahertz Wave Generation Based on Photonic Frequency Sextupling Using a Polarization Modulator and a WavelengthFixed Notch Filter; TMTT July 2010 1967-1975 Papavassiliou, C., see Prodromakis, T., TMTT April 2010 985-993 Paquay, M. H., see Rolo, L. F., TMTT July 2010 2046-2063 Paredes, F., Gonzalez, G., Bonache, J., and Martin, F., Dual-Band ImpedanceMatching Networks Based on Split-Ring Resonators for Applications in RF Identification (RFID); TMTT May 2010 1159-1166 Parian, J. A., see Rolo, L. F., TMTT July 2010 2046-2063 Park, B.-H., see Moon, H., TMTT May 2010 1447-1455 Park, G.-H., see Szabo, Z., TMTT Oct. 2010 2646-2653 Park, H., see Lee, S., TMTT July 2010 1748-1757 Park, H.-D., see Yang, X., TMTT Aug. 2010 2125-2133
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Park, J., Kim, S.-N., Roh, Y.-S., and Yoo, C., A Direct-Conversion CMOS RF Receiver Reconfigurable From 2 to 6 GHz; TMTT Sept. 2010 2326-2333 Park, J., see Lee, S. M., TMTT April 2010 747-756 Park, J., see Lilis, G. N., TMTT July 2010 1713-1723 Park, K., see Choi, W., TMTT Dec. 2010 4052-4059 Park, M.-J., see Kim, H., TMTT March 2010 651-655 Park, P., Chen, L., Yu, H.-K., and Yue, C. P., A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing; TMTT May 2010 1456-1463 Park, S.-J., Reines, I., Patel, C., and Rebeiz, G. M., High- RF-MEMS 4–6-GHz Tunable Evanescent-Mode Cavity Filter; TMTT Feb. 2010 381-389 Park, S.-J., see Reines, I., TMTT July 2010 1887-1895 Park, Y., see Ashrafi, R., TMTT Nov. 2010 3312-3319 Parsa, A., see Gupta, S., TMTT Sept. 2010 2392-2407 Parsa, A., see Shahvarpour, A., TMTT Nov. 2010 2781-2793 Parsa, A., see Nguyen, H. V., TMTT July 2010 1641-1650 Parssinen, A., see Rapinoja, T., TMTT May 2010 1339-1348 Passerieux, D., see Khalil, A. H., TMTT Dec. 2010 3925-3935 Patel, C., see Park, S.-J., TMTT Feb. 2010 381-389 Paulotto, S., Baccarelli, P., Burghignoli, P., Lovat, G., Hanson, G. W., and Yakovlev, A. B., Homogenized Green’s Functions for an Aperiodic Line Source Over Planar Densely Periodic Artificial Impedance Surfaces; TMTT July 2010 1807-1817 Pauly, J. M., see Zanchi, M. G., TMTT May 2010 1297-1308 Pavlina, J. M., see Gallagher, D. R., TMTT March 2010 674-679 Pavlovic, L., see Stohr, A., TMTT Nov. 2010 3071-3082 Pedreno-Molina, J. L., see Lozano-Guerrero, A. J., TMTT Jan. 2010 229-235 Pedro, J. C., see Cunha, T. R., TMTT Dec. 2010 4012-4021 Pei, Y., see Yang, C. K., TMTT May 2010 1077-1088 Pelusi, M. D., Vo, T. D., and Eggleton, B. J., Accuracy of Waveform Spectrum Analysis for Ultrashort Optical Pulses; TMTT Nov. 2010 3059-3070 Peng, H.-L., see Wu, L.-S., TMTT April 2010 882-893 Peng, K.-C., see Wang, F.-K., TMTT Dec. 2010 4112-4120 Peng, K.-C., see Hsiao, C.-H., TMTT Dec. 2010 4137-4146 Peng, K.-C., see Li, C.-J., TMTT May 2010 1391-1400 Pereda, J. A., see Helszajn, J., TMTT June 2010 1485-1492 Perez-Lara, P., Molina-Fernandez, I., Wanguemert-Perez, J. G., and RuedaPerez, A., Broadband Five-Port Direct Receiver Based on Low-Pass and High-Pass Phase Shifters; TMTT April 2010 849-853 Peroulis, D., see Wang, X., TMTT Aug. 2010 2231-2243 Peroulis, D., see Naglich, E. J., TMTT Dec. 2010 3945-3953 Peroulis, D., see Naglich, E. J., TMTT Dec. 2010 3770-3779 Peroulis, D., see Chen, K., TMTT Dec. 2010 3971-3981 Perret, E., see Gupta, S., TMTT Sept. 2010 2392-2407 Perruisseau-Carrier, J., Bongard, F., Golubovic-Niciforovic, R., TorresSanchez, R., and Mosig, J. R., Contributions to the Modeling and Design of Reconfigurable Reflecting Cells Embedding Discrete Control Elements; TMTT June 2010 1621-1628 Perry, P., see Shams, H., TMTT Nov. 2010 3372-3380 Person, C., see Potelon, B., TMTT March 2010 635-644 Perumana, B. G., see Juntunen, E., TMTT Feb. 2010 348-355 Peters, J. D., see Chen, H.-W., TMTT Nov. 2010 3213-3219 Petersen, M. N., see Visani, D., TMTT Nov. 2010 3144-3153 Peverini, O. A., Addamo, G., Tascone, R., Virone, G., and Orta, R., Extended Through-Short-Delay Technique for the Calibration of Vector Network Analyzers With Nonmating Waveguide Ports; TMTT Feb. 2010 440-450 Pham, D.-D., see Brinkhoff, J., TMTT Feb. 2010 297-309 Phelan, R., see O’Brien, S., TMTT Nov. 2010 3083-3087 Piels, M., see Ramaswamy, A., TMTT Nov. 2010 3336-3343 Pijper, R. M. T., see Tiemeijer, L. F., TMTT Feb. 2010 419-433 Pijper, R. M. T., see Tiemeijer, L. F., TMTT June 2010 1599-1608 Pinel, S., see Juntunen, E., TMTT Feb. 2010 348-355 Pinto, R., see Paffi, A., TMTT Oct. 2010 2702-2714 Plant, D. V., see Schwartz, J. D., TMTT July 2010 1858-1866 Poblenz, C., see Yang, C. K., TMTT May 2010 1077-1088 Ponchak, G. E., Editorial; TMTT Dec. 2010 3391-3397 Ponchak, G. E., Editorial; TMTT Dec. 2010 3871 Ponnampalam, L., see Stohr, A., TMTT Nov. 2010 3071-3082 Popovic, Z., see Ehsan, N., TMTT Nov. 2010 2908-2914 Pornpromlikit, S., Jeong, J., Presti, C. D., Scuderi, A., and Asbeck, P. M., A Watt-Level Stacked-FET Linear Power Amplifier in Silicon-on-Insulator CMOS; TMTT Jan. 2010 57-64 Portilla, J., see Garmendia, N., TMTT April 2010 807-813
Q
+ Check author entry for coauthors
4161
Potelon, B., Quendo, C., Favennec, J.-F., Rius, E., Verdeyme, S., and Person, C., Design of Bandpass Filter Based on Hybrid Planar Waveguide Resonator; TMTT March 2010 635-644 Pothier, A., see Givernaud, J., TMTT Sept. 2010 2352-2361 Pradell, L., see Llamas, M. A., TMTT May 2010 1264-1272 Presti, C. D., see Pornpromlikit, S., TMTT Jan. 2010 57-64 Prodromakis, T., and Papavassiliou, C., An Experimental Technique for Characterizing Slow-Wave Characteristics of MIS-Like Transmission Lines Using Aqueous Dielectrics; TMTT April 2010 985-993 Prokopenko, Y. V., see Barannik, A. A., TMTT Oct. 2010 2682-2691 Puche, J. F., see Llorente, R., TMTT Nov. 2010 3304-3311 Puech, J., see Khalil, A. H., TMTT Dec. 2010 3925-3935 Q Qi, Y., Miao, J., Hong, S., and Tentzeris, M. M., Characterization of Extraordinary Transmission for a Single Subwavelength Slit: A Fabry-Pérot-Like Formula Model; TMTT Dec. 2010 3657-3665 Quach, T., Guest Editorial; TMTT May 2010 1313 Quemerais, T., Moquillon, L., Fournier, J.-M., and Benech, P., 65-, 45-, and 32-nm Aluminium and Copper Transmission-Line Model at MillimeterWave Frequencies; TMTT Sept. 2010 2426-2433 Quendo, C., see Potelon, B., TMTT March 2010 635-644 R Rachamadugu, A., see Juntunen, E., TMTT Feb. 2010 348-355 Radisic, V., Deal, W. R., Leong, K. M. K. H., Mei, X. B., Yoshida, W., Liu, P.-H., Uyeda, J., Fung, A., Samoska, L., Gaier, T., and Lai, R., A 10-mW Submillimeter-Wave Solid-State Power-Amplifier Module; TMTT July 2010 1903-1909 Raffo, A., Falco, S. D., Vadala, V., and Vannini, G., Characterization of GaN HEMT Low-Frequency Dispersion Through a Multiharmonic Measurement System; TMTT Sept. 2010 2490-2496 Raffo, A., see Resca, D., TMTT April 2010 719-729 Raffo, A., Vadala, V., Schreurs, D. M. M.-P., Crupi, G., Avolio, G., Caddemi, A., and Vannini, G., Nonlinear Dispersive Modeling of Electron Devices Oriented to GaN Power Amplifier Design; TMTT April 2010 710-718 Rafi, G. Z., see Bayat, K., TMTT July 2010 1976-1984 Raimondo, L., see de Paulis, F., TMTT July 2010 1867-1876 Rajan, S., see Yang, C. K., TMTT May 2010 1077-1088 Ramaswamy, A., Piels, M., Nunoya, N., Yin, T., and Bowers, J. E., High Power Silicon-Germanium Photodiodes for Microwave Photonic Applications; TMTT Nov. 2010 3336-3343 Ramirez, F., see Sancho, S., TMTT March 2010 587-601 Ramirez, F., Suarez, A., Lizarraga, I., and Collantes, J.-M., Stability Analysis of Nonlinear Circuits Driven With Modulated Signals; TMTT April 2010 929-940 Ran, L., see Li, C., TMTT May 2010 1410-1419 Ranjkesh, N., see Bayat, K., TMTT July 2010 1976-1984 Rao, P.-Z., see Liang, C.-P., TMTT Feb. 2010 277-286 Rapinoja, T., Stadius, K., Xu, L., Lindfors, S., Kaunisto, R., Parssinen, A., and Ryynanen, J., A Digital Frequency Synthesizer for Cognitive Radio Spectrum Sensing Applications; TMTT May 2010 1339-1348 Rashed-Mohassel, J., see Hatefi Ardakani, H., TMTT Dec. 2010 3409-3416 Rasmussen, D. A., see Kowalski, E. J., TMTT Nov. 2010 2772-2780 -Port T-Networks and Topologically Symmetric Circuit Rautio, J. C., Theory; TMTT April 2010 705-709 Ravid, S., see Cohen, E., TMTT May 2010 1438-1446 Ravid, S., see Degani, O., TMTT May 2010 1431-1437 Rawat, K., see Rawat, M., TMTT Jan. 2010 95-104 Rawat, M., Rawat, K., and Ghannouchi, F. M., Adaptive Digital Predistortion of Wireless Power Amplifiers/Transmitters Using Dynamic Real-Valued Focused Time-Delay Line Neural Networks; TMTT Jan. 2010 95-104 Rebeiz, G. M., see Grichener, A., TMTT Oct. 2010 2692-2701 Rebeiz, G. M., see Park, S.-J., TMTT Feb. 2010 381-389 Rebeiz, G. M., see Kang, D.-W., TMTT April 2010 771-780 Rebeiz, G. M., see El-Tanani, M. A., TMTT June 2010 1629-1637 Rebeiz, G. M., see May, J. W., TMTT May 2010 1420-1430 Rebeiz, G. M., see El-Tanani, M. A., TMTT April 2010 956-963 Rebeiz, G. M., see Reines, I., TMTT July 2010 1887-1895 Rebollar, J. M., see Medina, F., TMTT June 2010 1532-1542
N
4162
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Reck, T., Weikle, R. M., and Barker, N. S., A Waveguide to Unenclosed Coplanar Waveguide Transition; TMTT Sept. 2010 2420-2425 Reichl, H., see Curran, B., TMTT Sept. 2010 2448-2455 Reines, I., see Park, S.-J., TMTT Feb. 2010 381-389 Reines, I., Park, S.-J., and Rebeiz, G. M., Compact Low-Loss Tunable -Band Bandstop Filter With Miniature RF-MEMS Switches; TMTT July 2010 1887-1895 Remley, K. A., Williams, D. F., Schreurs, D., and Myslinski, M., Measurement Bandwidth Extension Using Multisine Signals: Propagation of Error; TMTT Feb. 2010 458-467 Remley, K. A., see Romero, H. P., TMTT July 2010 1758-1765 Ren, L., see Kim, J., TMTT Sept. 2010 2434-2447 Renaud, C. C., see Stohr, A., TMTT Nov. 2010 3071-3082 Repacholi, M., see Paffi, A., TMTT Oct. 2010 2702-2714 Resca, D., Lonac, J. A., Cignani, R., Raffo, A., Santarelli, A., Vannini, G., and Filicori, F., Accurate EM-Based Modeling of Cascode FETs; TMTT April 2010 719-729 Reveyrand, T., see Abouchahine, M., TMTT April 2010 1038-1045 Rezaee, L., see Neshat, M., TMTT July 2010 1952-1966 Ribo, M., see Llamas, M. A., TMTT May 2010 1264-1272 Ridgway, R. W., Nippa, D. W., and Yen, S., Data Transmission Using Differential Phase-Shift Keying on a 92 GHz Carrier; TMTT Nov. 2010 3117-3126 Ringel, S. A., see Yang, C. K., TMTT May 2010 1077-1088 Ritter, D., see Cohen, E., TMTT May 2010 1438-1446 Rius, E., see Potelon, B., TMTT March 2010 635-644 Robertson, I. D., see Zhang, Y., TMTT July 2010 1985-1992 Roblin, P., see Yang, X., TMTT Aug. 2010 2125-2133 Roblin, P., see Yang, C. K., TMTT May 2010 1077-1088 Rodriguez-Morales, F., Yngvesson, K. S., and Gu, D., Wideband IF-Integrated Terahertz HEB Mixers: Modeling and Characterization; TMTT May 2010 1140-1150 Rogers, D., see Stohr, A., TMTT Nov. 2010 3071-3082 Roggenbuck, A., see Friederich, F., TMTT July 2010 2022-2026 Roh, Y.-S., see Park, J., TMTT Sept. 2010 2326-2333 Rolfes, I., see Hampel, S. K., TMTT May 2010 1384-1390 Rolo, L. F., Paquay, M. H., Daddato, R. J., Parian, J. A., Doyle, D., and de Maagt, P., Terahertz Antenna Technology and Verification: Herschel and Planck - A Review; TMTT July 2010 2046-2063 Romero, H. P., Remley, K. A., Williams, D. F., Wang, C.-M., and Brown, T. X., Identifying RF Identification Cards From Measurements of Resonance and Carrier Harmonics; TMTT July 2010 1758-1765 Romme, J., see Llorente, R., TMTT Nov. 2010 3304-3311 Rondineau, S., see Ehsan, N., TMTT Nov. 2010 2908-2914 Roskos, H. G., see Friederich, F., TMTT July 2010 2022-2026 Roskos, H. G., see von Spiegel, W., TMTT July 2010 2008-2013 Rothwell, E. J., see Ouedraogo, R. O., TMTT April 2010 894-902 Rousset, D., see Abouchahine, M., TMTT April 2010 1038-1045 Rudolph, M., see Liero, A., TMTT April 2010 781-787 Rueda-Perez, A., see Perez-Lara, P., TMTT April 2010 849-853 Ruiz-Cruz, J. A., see Medina, F., TMTT June 2010 1532-1542 Rymanov, V., see Stohr, A., TMTT Nov. 2010 3071-3082 Ryu, S., see Choi, K., TMTT Dec. 2010 3467-3474 Ryynanen, J., see Rapinoja, T., TMTT May 2010 1339-1348
X
S Saad, P., Fager, C., Cao, H., Zirath, H., and Andersson, K., Design of a Highly Efficient 2–4-GHz Octave Bandwidth GaN-HEMT Power Amplifier; TMTT July 2010 1677-1685 Saavedra, C. E., see Jackson, B. R., TMTT Feb. 2010 318-323 Saavedra, C. E., see Ho, S. S. K., TMTT May 2010 1126-1132 Saeedkia, D., see Tiedje, H. F., TMTT July 2010 2040-2045 Saeedkia, D., see Neshat, M., TMTT July 2010 1952-1966 Safavi-Naeini, S., see Neshat, M., TMTT July 2010 1952-1966 Safavi-Naeini, S., see Bayat, K., TMTT July 2010 1976-1984 Safwat, A. M. E., see Fouda, A. E., TMTT June 2010 1584-1591 Sahalos, J. N., see Bletsas, A., TMTT June 2010 1502-1509 Saito, K., see Akimoto, S., TMTT Dec. 2010 3859-3865 Sakai, K., Ishimura, E., Nakaji, M., Itakura, S., Hirano, Y., and Aoyagi, T., High-Current Back-Illuminated Partially Depleted-Absorber p-i-n Photodiode With Depleted Nonabsorbing Region; TMTT Nov. 2010 3154-3160 Salama, K. N., see Elkhatib, T. A., TMTT Feb. 2010 331-339 Saldanha, N., see Gallagher, D. R., TMTT March 2010 674-679 + Check author entry for coauthors
Saleh, A., see Abouchahine, M., TMTT April 2010 1038-1045 Sales, S., Xue, W., Mork, J., and Gasulla, I., Slow and Fast Light Effects and Their Applications to Microwave Photonics Using Semiconductor Optical Amplifiers; TMTT Nov. 2010 3022-3038 Salvador, S. M., Fear, E. C., Okoniewski, M., and Matyas, J. R., Exploring Joint Tissues With Microwave Imaging; TMTT Aug. 2010 2307-2313 Samoska, L., see Radisic, V., TMTT July 2010 1903-1909 Sanchez-Renedo, M., see Gomez-Garcia, R., TMTT Dec. 2010 3760-3769 Sanchez-Sinencio, E., see El-Nozahi, M., TMTT Nov. 2010 2717-2730 Sancho, S., Suarez, A., Dominguez, J., and Ramirez, F., Analysis of Near-Carrier Phase-Noise Spectrum in Free-Running Oscillators in the Presence of White and Colored Noise Sources; TMTT March 2010 587-601 Sani, A., see Abbasi, Q. H., TMTT Dec. 2010 3485-3492 Sano, K., see Yamanaka, S., TMTT Sept. 2010 2334-2339 Santarelli, A., see Resca, D., TMTT April 2010 719-729 Santosa, E. G., see Klymyshyn, D. M., TMTT Nov. 2010 2976-2986 Sapone, G., see Cavallaro, M., TMTT April 2010 854-865 Sarkar, S., see Juntunen, E., TMTT Feb. 2010 348-355 Sarris, C. D., see Armenta, R. B., TMTT July 2010 1818-1829 Sasagawa, K., see Tsuchiya, M., TMTT Nov. 2010 3011-3021 Sasaki, Y., see Hangai, M., TMTT Jan. 2010 41-47 Sato, M., Takahashi, T., and Hirose, T., 68–110-GHz-Band Low-Noise Amplifier Using Current Reuse Topology; TMTT July 2010 1910-1916 Savchenkov, A. A., Matsko, A. B., Liang, W., Ilchenko, V. S., Seidel, D., and Maleki, L., Single-Sideband Electro-Optical Modulator and Tunable Microwave Photonic Receiver; TMTT Nov. 2010 3167-3174 Sawaya, K., see He, M., TMTT Oct. 2010 2589-2597 Schaffer, M., see Klymyshyn, D. M., TMTT Nov. 2010 2976-2986 Scheytt, J. C., see Knochenhauer, C., TMTT Oct. 2010 2538-2548 Schimpf, A., see Bouhamri, Z., TMTT Nov. 2010 3109-3116 Schmitz, O., see Hampel, S. K., TMTT May 2010 1384-1390 Schreurs, D., see Remley, K. A., TMTT Feb. 2010 458-467 Schreurs, D. M. M.-P., see Raffo, A., TMTT April 2010 710-718 Schuchinsky, A. G., see Shitvov, A. P., TMTT Feb. 2010 356-362 Schwartz, J. D., Abhari, R., Plant, D. V., and Azana, J., Design and Analysis of 1-D Uniform and Chirped Electromagnetic Bandgap Structures in Substrate-Integrated Waveguides; TMTT July 2010 1858-1866 Scott, G. C., see Zanchi, M. G., TMTT May 2010 1297-1308 Scott, I., Vukovic, A., and Sewell, P., Krylov Acceleration Techniques for TimeReversal Design Applications; TMTT April 2010 917-922 Scuderi, A., see Pornpromlikit, S., TMTT Jan. 2010 57-64 Seeds, A., see Stohr, A., TMTT Nov. 2010 3071-3082 Seeds, A. J., see Liu, C.-P., TMTT Nov. 2010 3094-3102 Seewald, C. K., and Bray, J. R., Ferrite-Filled Antisymmetrically Biased Rectangular Waveguide Isolator Using Magnetostatic Surface Wave Modes; TMTT June 2010 1493-1501 Seidel, D., see Savchenkov, A. A., TMTT Nov. 2010 3167-3174 Sekar, V., and Entesari, K., Pole-Perturbation Theory for Nonlinear Noise Analysis of All-Pole RF MEMS Tunable Filters; TMTT Sept. 2010 2475-2489 Sekiya, H., see Miyahara, R., TMTT Dec. 2010 3607-3616 Sen, P., see Juntunen, E., TMTT Feb. 2010 348-355 Sendik, O., see Degani, O., TMTT May 2010 1431-1437 Serrano, A. L. C., Correra, F. S., Vuong, T.-P., and Ferrari, P., Analysis of a Reconfigurable Bandpass Circular Patch Filter; TMTT Dec. 2010 39183924 Sertel, K., see Trichopoulos, G. C., TMTT July 2010 2014-2021 Sertel, K., see Chung, J.-Y., TMTT Nov. 2010 2960-2967 Sewell, P., see Scott, I., TMTT April 2010 917-922 Seyfert, F., see Amari, S., TMTT Aug. 2010 2188-2203 Sha, S., see Zhai, H., TMTT Jan. 2010 74-86 Shahabadi, M., see Fallahi, A., TMTT Aug. 2010 2175-2187 Shahaf, S., see Degani, O., TMTT May 2010 1431-1437 Shahvarpour, A., Kodera, T., Parsa, A., and Caloz, C., Arbitrary Electromagnetic Conductor Boundaries Using Faraday Rotation in a Grounded Ferrite Slab; TMTT Nov. 2010 2781-2793 Shaker, G. S. A., see Bayat, K., TMTT July 2010 1976-1984 Shams, H., Anandarajah, P. M., Perry, P., and Barry, L. P., Optical Generation of Modulated Millimeter Waves Based on a Gain-Switched Laser; TMTT Nov. 2010 3372-3380 Shan, W., Takeda, M., Kojima, T., Uzawa, Y., Shi, S., Noguchi, T., and Wang, Z., Low-Noise Waveguide-Type NbN/AlN/NbN SIS Mixers Approaching Terahertz Frequencies; TMTT April 2010 841-848 Shapiro, M. A., see Kowalski, E. J., TMTT Nov. 2010 2772-2780 Sharifi, H., see Lahiji, R. R., TMTT Jan. 2010 48-56
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Shen, P., see James, J., TMTT Nov. 2010 3189-3198 Shen, Y., Hraimel, B., Zhang, X., Cowan, G. E. R., Wu, K., and Liu, T., A Novel Analog Broadband RF Predistortion Circuit to Linearize ElectroAbsorption Modulators in Multiband OFDM Radio-Over-Fiber Systems; TMTT Nov. 2010 3327-3335 Shen, Z., see Tao, Y., TMTT May 2010 1167-1174 Shenoy, V. K., see Zhai, H., TMTT Jan. 2010 74-86 Shi, J., and Xue, Q., Dual-Band and Wide-Stopband Single-Band Balanced Bandpass Filters With High Selectivity and Common-Mode Suppression; TMTT Aug. 2010 2204-2212 Shi, J., and Xue, Q., Balanced Bandpass Filters Using Center-Loaded HalfWavelength Resonators; TMTT April 2010 970-977 Shi, S., see Shan, W., TMTT April 2010 841-848 Shih, Y.-S., see Hu, W.-Y., TMTT May 2010 1367-1374 Shimakata, Y., see Oshima, S., TMTT March 2010 614-623 Shimamura, T., see Hoft, M., TMTT Jan. 2010 165-175 Shiozawa, T., see Tsuchiya, M., TMTT Dec. 2010 4094-4101 Shiozawa, T., see Tsuchiya, M., TMTT Nov. 2010 3011-3021 Shitvov, A. P., Olsson, T., El Banna, B., Zelenchuk, D. E., and Schuchinsky, A. G., Effects of Geometrical Discontinuities on Distributed Passive Intermodulation in Printed Lines; TMTT Feb. 2010 356-362 Shoji, Y., Takayama, Y., Toyoshima, M., and Ohta, H., Demonstration of the Transportation of a Microwave Environment Over an Optical IP Network; TMTT Nov. 2010 3237-3247 Shoji, Y., Choi, C.-S., and Ohta, H., 60-GHz Repeater Link for an ISDB-T GapFiller System Based on Self-Heterodyne Technique Applying an Adaptive Distortion Suppression Technique; TMTT Feb. 2010 340-347 Shramkova, O. V., see Bulgakov, A. A., TMTT Aug. 2010 2152-2157 Shu, S.-Y., see Yang, C.-L., TMTT Jan. 2010 176-184 Shum, P., see Sun, X., TMTT Nov. 2010 3206-3212 Shur, M. S., see Elkhatib, T. A., TMTT Feb. 2010 331-339 Siegel, P. H., see Llombart, N., TMTT July 2010 1999-2007 Siegel, P. H., Loffler, T., Mittleman, D., Mizuno, K., and Zhang, X.-C., Guest Editorial—Terahertz Technology: Bridging the Microwave-to-Photonics Gap; TMTT July 2010 1901-1902 Sihvola, A., see Lindell, I. V., TMTT April 2010 903-909 Siles, J. V., and Grajal, J., Physics-Based Design and Optimization of Schottky Diode Frequency Multipliers for Terahertz Applications; TMTT July 2010 1933-1942 Silva-Martinez, J., see Kim, J., TMTT Sept. 2010 2340-2351 Simpkin, R., Derivation of Lichtenecker’s Logarithmic Mixture Formula From Maxwell’s Equations; TMTT March 2010 545-550 Sirigiri, J. R., see Kowalski, E. J., TMTT Nov. 2010 2772-2780 Siso, G., see Duran-Sindreu, M., TMTT Dec. 2010 3882-3891 Skigin, D. C., see Medina, F., TMTT Jan. 2010 105-115 Skvor, Z., see Hoffmann, K., TMTT Sept. 2010 2518 Smith, N. A., and Abhari, R., Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects; TMTT Dec. 2010 3824-3831 Smith, P., see Trichopoulos, G. C., TMTT July 2010 2014-2021 Snyder, R. V., see Gupta, S., TMTT Sept. 2010 2392-2407 Socher, E., see Yu, A. H.-T., TMTT May 2010 1401-1409 Soliman, Y., and Mason, R., Application of Subharmonic Injection Locking of LC Oscillators to LO-Based Phase-Shifting Phased-Array Architectures; TMTT Dec. 2010 3475-3484 Soltani Tehrani, A., see Cao, H., TMTT April 2010 873-881 Song, H., see Valcarce, A., TMTT Nov. 2010 2838-2849 Song, J.-I., see Kim, H.-J., TMTT Nov. 2010 3175-3180 Song, K., and Xue, Q., Inductance-Loaded Y-Shaped Resonators and Their Applications to Filters; TMTT April 2010 978-984 Song, T., see Cha, J., TMTT Dec. 2010 3626-3633 Song, T., see Lee, S. M., TMTT April 2010 747-756 Song, Y., Lee, S., Cho, E., Lee, J., and Nam, S., A CMOS Class-E Power Amplifier With Voltage Stress Relief and Enhanced Efficiency; TMTT Feb. 2010 310-317 Sorge, R., see Gruner, D., TMTT Dec. 2010 4022-4030 Sorrentino, R., see Bastioli, S., TMTT Dec. 2010 3909-3917 Soto, P., Tarin, E., Boria, V. E., Vicente, C., Gil, J., and Gimeno, B., Accurate Synthesis and Design of Wideband and Inhomogeneous Inductive Waveguide Filters; TMTT Aug. 2010 2220-2230 Speck, J., see Yang, C. K., TMTT May 2010 1077-1088 Spickermann, G., see Friederich, F., TMTT July 2010 2022-2026 Srisathit, K., Worapishet, A., and Surakampontorn, W., Design of Triple-Mode Ring Resonator for Wideband Microstrip Bandpass Filters; TMTT Nov. 2010 2867-2877 + Check author entry for coauthors
4163
Stadius, K., see Rapinoja, T., TMTT May 2010 1339-1348 Stamatis, K., see Stevens, C. J., TMTT May 2010 1248-1256 Stancil, D., see Zhou, C., TMTT Oct. 2010 2521-2528 Steer, M. B., see Wilkerson, J. R., TMTT May 2010 1273-1282 Steffan, A. G., see Stohr, A., TMTT Nov. 2010 3071-3082 Stevens, C. J., Chan, C. W. T., Stamatis, K., and Edwards, D. J., Magnetic Metamaterials as 1-D Data Transfer Channels: An Application for Magneto-Inductive Waves; TMTT May 2010 1248-1256 Stillman, W. J., see Elkhatib, T. A., TMTT Feb. 2010 331-339 Stohr, A., Babiel, S., Cannard, P. J., Charbonnier, B., van Dijk, F., Fedderwitz, S., Moodie, D., Pavlovic, L., Ponnampalam, L., Renaud, C. C., Rogers, D., Rymanov, V., Seeds, A., Steffan, A. G., Umbach, A., and Weiss, M., Millimeter-Wave Photonic Components for Broadband Wireless Systems; TMTT Nov. 2010 3071-3082 Strahler, J., see Yang, X., TMTT Aug. 2010 2125-2133 Su, C.-W., see Chiang, P.-Y., TMTT April 2010 831-840 Su, P., see Wang, S.-C., TMTT Sept. 2010 2319-2325 Su, P., see Wang, S.-C., TMTT April 2010 740-746 Suarez, A., see Sancho, S., TMTT March 2010 587-601 Suarez, A., see Ramirez, F., TMTT April 2010 929-940 Suga, R., Nakano, H., Hirachi, Y., Hirokawa, J., and Ando, M., Cost-Effective 60-GHz Antenna Package With End-Fire Radiation for Wireless FileTransfer System; TMTT Dec. 2010 3989-3995 Sun, S., see Luo, S., TMTT Dec. 2010 3427-3432 Sun, X., Fu, S., Xu, K., Zhou, J., Shum, P., Yin, J., Hong, X., Wu, J., and Lin, J., Photonic RF Phase Shifter Based on a Vector-Sum Technique Using Stimulated Brillouin Scattering in Dispersion Shifted Fiber; TMTT Nov. 2010 3206-3212 Surakampontorn, W., see Srisathit, K., TMTT Nov. 2010 2867-2877 Swaminathan, M., see Narayanan, T. V., TMTT Nov. 2010 2856-2866 Syu, J.-S., Meng, C.-C., Teng, Y.-H., and Liao, H.-Y., Large Improvement in Image Rejection of Double-Quadrature Dual-Conversion Low-IF Architectures; TMTT July 2010 1703-1712 Szabo, Z., Park, G.-H., Hedge, R., and Li, E.-P., A Unique Extraction of Metamaterial Parameters Based on Kramers–Kronig Relationship; TMTT Oct. 2010 2646-2653
T
Takahashi, H., Kosugi, T., Hirata, A., Murata, K., and Kukutsu, N., 10-Gbit/s Quadrature Phase-Shift-Keying Modulator and Demodulator for 120-GHzBand Wireless Links; TMTT Dec. 2010 4072-4078 Takahashi, M., see Akimoto, S., TMTT Dec. 2010 3859-3865 Takahashi, T., see Sato, M., TMTT July 2010 1910-1916 Takayama, Y., see Shoji, Y., TMTT Nov. 2010 3237-3247 Takeda, M., see Shan, W., TMTT April 2010 841-848 Takeshita, Y., see Ohhata, K., TMTT May 2010 1107-1115 Takinami, K., Walsworth, R., Osman, S., and Beccue, S., Phase-Noise Analysis in Rotary Traveling-Wave Oscillators Using Simple Physical Model; TMTT June 2010 1465-1474 Tamiazzo, S., see Macchiarella, G., TMTT Dec. 2010 3732-3741 Tamura, M., see Yang, T., TMTT Nov. 2010 2896-2907 Tamura, M., and Kagata, H., Analysis of Metal–Insulator–Metal Structure and Its Application to Sensor; TMTT Dec. 2010 3954-3960 Tamura, M., Ishizaki, T., and Hoft, M., Design and Analysis of Vertical Split Ring Resonator and Its Application to Unbalanced–Balanced Filter; TMTT Jan. 2010 157-164 Tamura, M., see Yang, T., TMTT Feb. 2010 390-402 Tanaka, T., see Kawasaki, K., TMTT July 2010 1696-1702 Tanany, A. A., see Gruner, D., TMTT Dec. 2010 4022-4030 Tang, C.-T., and Hsu, H.-C., Development of Multilayered Bandpass Filters With Multiple Transmission Zeros Using Open-Stub/Short-Stub/Serial Semilumped Resonators; TMTT March 2010 624-634 Tang, W., and Hong, J.-S., Varactor-Tuned Dual-Mode Bandpass Filters; TMTT Aug. 2010 2213-2219 Tang, X., and Mouthaan, K., Design Considerations for Octave-Band Phase Shifters Using Discrete Components; TMTT Dec. 2010 3459-3466 Tang, X., see You, F., TMTT Jan. 2010 32-40 Tang, X., see You, F., TMTT April 2010 788-794 Tang, X., and Mouthaan, K., Phase-Shifter Design Using Phase-Slope Alignment With Grounded Shunt =4 Stubs; TMTT June 2010 1573-1583
4164
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Tao, Y., Shen, Z., and Liu, G., Closed-Form Expressions for the Equivalent Circuit Model of Square-Waveguide T-Junctions and Its Application in Ortho-Mode Transducer Design; TMTT May 2010 1167-1174 Tarin, E., see Soto, P., TMTT Aug. 2010 2220-2230 Tarlazzi, L., see Visani, D., TMTT Nov. 2010 3144-3153 Tartarini, G., see Visani, D., TMTT Nov. 2010 3144-3153 Tascone, R., see Peverini, O. A., TMTT Feb. 2010 440-450 Tasker, P. J., see Hashmi, M. S., TMTT March 2010 656-664 Tatu, S. O., see Djoumessi, E. E., TMTT Jan. 2010 87-94 Tax, D. S., see Kowalski, E. J., TMTT Nov. 2010 2772-2780 Taylor, S. S., see Fu, C.-T., TMTT April 2010 795-806 Tehrani, A. S., Cao, H., Afsardoost, S., Eriksson, T., Isaksson, M., and Fager, C., A Comparative Analysis of the Complexity/Accuracy Tradeoff in Power Amplifier Behavioral Models; TMTT June 2010 1510-1520 Temkin, R. J., see Kowalski, E. J., TMTT Nov. 2010 2772-2780 Teng, Y.-H., see Syu, J.-S., TMTT July 2010 1703-1712 Tentzeris, M. M., see Qi, Y., TMTT Dec. 2010 3657-3665 Teyssier, J. P., see Yang, C. K., TMTT May 2010 1077-1088 Teyssier, J.-P., see Abouchahine, M., TMTT April 2010 1038-1045 Thomas, B., see Maestrini, A., TMTT July 2010 1925-1932 Thomas, B., Maestrini, A., Gill, J., Lee, C., Lin, R., Mehdi, I., and de Maagt, P., A Broadband 835–900-GHz Fundamental Balanced Mixer Based on Monolithic GaAs Membrane Schottky Diodes; TMTT July 2010 1917-1924 Thompson, P., see Villegas, F. J., TMTT April 2010 1003-1011 Tiebout, M., see Hampel, S. K., TMTT May 2010 1384-1390 Tiebout, M., see Dal Toso, S., TMTT July 2010 1686-1695 Tiedje, H. F., Saeedkia, D., Nagel, M., and Haugen, H. K., Optical Scanning Techniques for Characterization of Terahertz Photoconductive Antenna Arrays; TMTT July 2010 2040-2045 Tiemeijer, L. F., Pijper, R. M. T., van Steenwijk, J. A., and van der Heijden, E., A New 12-Term Open–Short–Load De-Embedding Method for Accurate On-Wafer Characterization of RF MOSFET Structures; TMTT Feb. 2010 419-433 Tiemeijer, L. F., Pijper, R. M. T., and van der Heijden, E., Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers; TMTT June 2010 1599-1608 Tien, K.-C., see Hu, W.-Y., TMTT May 2010 1367-1374 Todd, S. T., Huang, X. T., Bowers, John E., and MacDonald, Noel C., Fabrication, Modeling, and Characterization of High-Aspect-Ratio Coplanar Waveguide; TMTT Dec. 2010 3790-3800 Tomassoni, C., see Bastioli, S., TMTT Dec. 2010 3909-3917 Tomaszewski, D., see Yashchyshyn, Y., TMTT May 2010 1100-1106 Torres-Sanchez, R., see Perruisseau-Carrier, J., TMTT June 2010 1621-1628 Tousi, Y. M., and Afshari, E., 2-D Electrical Interferometer: A Novel HighSpeed Quantizer; TMTT Oct. 2010 2549-2561 Toyoshima, M., see Shoji, Y., TMTT Nov. 2010 3237-3247 Trichopoulos, G. C., Mumcu, G., Sertel, K., Mosbacker, H. L., and Smith, P., A Novel Approach for Improving Off-Axis Pixel Performance of Terahertz Focal Plane Arrays; TMTT July 2010 2014-2021 Triverio, P., Grivet-Talocia, S., and Chinea, A., Identification of Highly Efficient Delay-Rational Macromodels of Long Interconnects From Tabulated Frequency Data; TMTT March 2010 566-577 Tsai, C.-H., see Hsu, S.-K., TMTT Aug. 2010 2277-2283 Tsai, C.-H., and Wu, T.-L., A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials; TMTT Jan. 2010 195-202 Tsai, C.-L., and Lin, Y.-S., Analysis and Design of Single-to-Balanced Combline Bandpass Filters With Two Independently Controllable Transmission Zeros in LTCC Technology; TMTT Nov. 2010 2878-2887 Tsai, M.-H., Hsu, S. S. H., Hsueh, F.-L., and Jou, C.-P., A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS; TMTT Dec. 2010 4004-4011 Tsai, S.-P., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Tsai, Z.-M., see Huang, P.-C., TMTT Dec. 2010 3556-3565 Tsang, H. K., see Xu, L., TMTT Nov. 2010 3136-3143 Tseng, T.-H., see Chin, K.-S., TMTT May 2010 1213-1221 Tso, H.-T., see Hu, W.-Y., TMTT May 2010 1367-1374 Tsuchiya, M., Hashiba, T., and Shiozawa, T., Visual Observations of Characteristic Behaviors of RF Waves in CRLH-TLs and Their Applications to Dispersion Characterizations; TMTT Dec. 2010 4094-4101 Tsuchiya, M., Sasagawa, K., Kanno, A., and Shiozawa, T., Live Electrooptic Imaging of -Band Waves; TMTT Nov. 2010 3011-3021 Tulchinsky, D. A., see Gliese, U., TMTT Nov. 2010 3359-3371 Tzuang, C.-K. C., see Wu, H.-S., TMTT Aug. 2010 2084-2091
W
+ Check author entry for coauthors
U
Udvary, E., and Berceli, T., Improvements in the Linearity of Semiconductor Optical Amplifiers as External Modulators; TMTT Nov. 2010 3161-3166 Ueda, T., Michishita, N., Akiyama, M., and Itoh, T., Anisotropic 3-D Composite Right/Left-Handed Metamaterial Structures Using Dielectric Resonators and Conductive Mesh Plates; TMTT July 2010 1766-1773 Uguen, B., see Llorente, R., TMTT Nov. 2010 3304-3311 Umbach, A., see Stohr, A., TMTT Nov. 2010 3071-3082 Urick, V. J., see Gliese, U., TMTT Nov. 2010 3359-3371 Uyeda, J., see Radisic, V., TMTT July 2010 1903-1909 Uzawa, Y., see Shan, W., TMTT April 2010 841-848
V
Vadala, V., see Raffo, A., TMTT Sept. 2010 2490-2496 Vadala, V., see Raffo, A., TMTT April 2010 710-718 Vahldieck, R., see Kaufmann, T., TMTT Dec. 2010 3399-3408 Valcarce, A., Song, H., and Zhang, J., On the Design of Pulsed Sources and Spread Compensation in Finite-Difference Time-Domain Electromagnetic Simulations; TMTT Nov. 2010 2838-2849 Valdes, M.A., see Bachiller, C., TMTT Dec. 2010 3634-3644 Valerio, G., Jackson, D. R., and Galli, A., Formulas for the Number of Surface Waves on Layered Structures; TMTT July 2010 1786-1795 Valerio, G., Burghignoli, P., Baccarelli, P., and Galli, A., Input Impedance of Nonperiodic Sources Exciting 1-D Periodic Shielded Microstrip Structures; TMTT July 2010 1796-1806 Valkama, M., see Anttila, L., TMTT April 2010 730-739 van der Heijden, E., see Tiemeijer, L. F., TMTT Feb. 2010 419-433 van der Heijden, E., see Tiemeijer, L. F., TMTT June 2010 1599-1608 van Dijk, F., see de Valicourt, G., TMTT Nov. 2010 3248-3258 van Dijk, F., see Stohr, A., TMTT Nov. 2010 3071-3082 van Steenwijk, J. A., see Tiemeijer, L. F., TMTT Feb. 2010 419-433 Vanhille, K. J., see Ehsan, N., TMTT Nov. 2010 2908-2914 Vannini, G., see Raffo, A., TMTT Sept. 2010 2490-2496 Vannini, G., see Resca, D., TMTT April 2010 719-729 Vannini, G., see Raffo, A., TMTT April 2010 710-718 Varadan, V. V., and Ji, L., Temperature Dependence of Resonances in Metamaterials; TMTT Oct. 2010 2673-2681 Vauche, R., see Bourdel, S., TMTT Jan. 2010 65-73 Vegas Olmos, J. J., Kuri, T., and Kitayama, K., Reconfigurable Radio-OverFiber Networks: Multiple-Access Functionality Directly Over the Optical Layer; TMTT Nov. 2010 3001-3010 Veksler, D. B., see Elkhatib, T. A., TMTT Feb. 2010 331-339 Venanzoni, G., see Morini, A., TMTT Sept. 2010 2518 Verdeyme, S., see Khalil, A. H., TMTT Dec. 2010 3925-3935 Verdeyme, S., see Potelon, B., TMTT March 2010 635-644 Viala, B., see Benevent, E., TMTT March 2010 645-650 Vicente, C., see Soto, P., TMTT Aug. 2010 2220-2230 Vidal, B., Mengual, T., and Marti, J., Photonic Technique for the Measurement of Frequency and Power of Multiple Microwave Signals; TMTT Nov. 2010 3103-3108 Villegas, F. J., Adams, M., Thompson, P., and Jackson, C., A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables; TMTT April 2010 1003-1011 Violas, M. A., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Virone, G., see Peverini, O. A., TMTT Feb. 2010 440-450 Visani, D., Tartarini, G., Petersen, M. N., Tarlazzi, L., and Faccin, P., Link Design Rules for Cost-Effective Short-Range Radio Over Multimode Fiber Systems; TMTT Nov. 2010 3144-3153 Vitusevich, S. A., see Barannik, A. A., TMTT Oct. 2010 2682-2691 Vo, T. D., see Pelusi, M. D., TMTT Nov. 2010 3059-3070 Volakis, J. L., see Chung, J.-Y., TMTT Nov. 2010 2960-2967 von Spiegel, W., see Friederich, F., TMTT July 2010 2022-2026 von Spiegel, W., am Weg, C., Henneberger, R., Zimmermann, R., and Roskos, H. G., Illumination Aspects in Active Terahertz Imaging; TMTT July 2010 2008-2013 Vorobiev, A., see Norling, M., TMTT June 2010 1475-1484 Vukovic, A., see Scott, I., TMTT April 2010 917-922 Vuong, T.-P., see Serrano, A. L. C., TMTT Dec. 2010 3918-3924
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
W
Wada, K., see Oshima, S., TMTT March 2010 614-623 Wadefalk, N., see Cano, J. L., TMTT Sept. 2010 2504-2510 Wagner, C., see Laemmle, B., TMTT May 2010 1375-1383 Wake, D., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Walsworth, R., see Takinami, K., TMTT June 2010 1465-1474 Wang, C., see Li, M., TMTT Nov. 2010 2968-2975 Wang, C.-C., Lai, C.-H., and Ma, T.-G., Miniaturized Coupled-Line Couplers Using Uniplanar Synthesized Coplanar Waveguides; TMTT Aug. 2010 2266-2276 Wang, C.-M., see Romero, H. P., TMTT July 2010 1758-1765 Wang, C.-T., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Wang, C.-W., see Wu, H.-S., TMTT Aug. 2010 2084-2091 Wang, F.-K., Li, C.-J., Hsiao, C.-H., Horng, T.-S., Lin, J., Peng, K.-C., Jau, J.-K., Li, J.-Y., and Chen, C.-C., A Novel Vital-Sign Sensor Based on a Self-Injection-Locked Oscillator; TMTT Dec. 2010 4112-4120 Wang, F.-K., see Hsiao, C.-H., TMTT Dec. 2010 4137-4146 Wang, F.-K., see Li, C.-J., TMTT May 2010 1391-1400 Wang, H., see Huang, P.-C., TMTT Dec. 2010 3556-3565 Wang, H., Fang, D.-G., and Li, M., A Single-Channel Microstrip Electronic Tracking Antenna Array With Time Sequence Phase Weighting on SubArray; TMTT Feb. 2010 253-258 Wang, J. C. M., see Lewandowski, A., TMTT July 2010 1877-1886 Wang, L., see He, M., TMTT Oct. 2010 2589-2597 Wang, P.-C., see Lin, Y.-S., TMTT Dec. 2010 3417-3426 Wang, Q., Hou, C., and Lu, Y., An Experimental Study of WiMAX-Based Passive Radar; TMTT Dec. 2010 3502-3510 Wang, R., see Li, Y., TMTT March 2010 665-673 Wang, S.-C., Su, P., Chen, K.-M., Liao, K.-H., Chen, B.-Y., Huang, S.-Y., Hung, C.-C., and Huang, G.-W., Temperature-Dependent RF Small-Signal and Noise Characteristics of SOI Dynamic Threshold Voltage MOSFETs; TMTT Sept. 2010 2319-2325 Wang, S.-C., Su, P., Chen, K.-M., Liao, K.-H., Chen, B.-Y., Huang, S.-Y., Hung, C.-C., and Huang, G.-W., Comprehensive Noise Characterization and Modeling for 65-nm MOSFETs for Millimeter-Wave Applications; TMTT April 2010 740-746 Wang, X., Katehi, L. P. B., and Peroulis, D., Analysis and Measurement of a Time-Varying Matching Scheme for Pulse-Based Receivers With HighSources; TMTT Aug. 2010 2231-2243 Wang, X., see Zhu, N. H., TMTT May 2010 1283-1289 Wang, Y., see Kabir, H., TMTT Jan. 2010 145-156 Wang, Y.-H., see Hou, J.-A., TMTT Nov. 2010 2932-2939 Wang, Z., see Chen, H.-W., TMTT Nov. 2010 3213-3219 Wang, Z., see Shan, W., TMTT April 2010 841-848 Wanguemert-Perez, J. G., see Perez-Lara, P., TMTT April 2010 849-853 Ward, J. S., see Maestrini, A., TMTT July 2010 1925-1932 Ware, C., see de Valicourt, G., TMTT Nov. 2010 3248-3258 Warr, P. A., and Bissonauth, N., Amplitude Offset Estimation by Phase Comparison in Suppression Loops; TMTT July 2010 1742-1747 Watanabe, S., see Akimoto, S., TMTT Dec. 2010 3859-3865 Watanabe, S., Karakawa, M., and Hashimoto, O., Computer Simulation of Temperature Distribution of Frozen Material Heated in a Microwave Oven; TMTT May 2010 1196-1204 Weedon, W. H., see Cheung, S. K., TMTT March 2010 489-497 Wehrli, S., Gierlich, R., Huttner, J., Barras, D., Ellinger, F., and Jackel, H., Integrated Active Pulsed Reflector for an Indoor Local Positioning System; TMTT Feb. 2010 267-276 Wei, C.-L., see Chang, S.-C., TMTT Dec. 2010 3850-3858 Wei, X.-C., Zou, G.-P., Li, E.-P., and Cui, X., Extraction of Equivalent Network of Arbitrarily Shaped Power-Ground Planes With Narrow Slots Using a Novel Integral Equation Method; TMTT Nov. 2010 2850-2855 Wei, X.-C., and Li, E.-P., Integral-Equation Equivalent-Circuit Method for Modeling of Noise Coupling in Multilayered Power Distribution Networks; TMTT March 2010 559-565 Wei, Y.-H., see Chin, K.-S., TMTT May 2010 1213-1221 Weigel, R., see Laemmle, B., TMTT May 2010 1375-1383 Weikle II, R. M., see Liu, L., TMTT July 2010 1943-1951 Weikle, R. M., see Reck, T., TMTT Sept. 2010 2420-2425 Weiner, A. M., see Hamidi, E., TMTT Nov. 2010 3269-3278 Weiss, M., see Stohr, A., TMTT Nov. 2010 3071-3082 Weng, R.-M., Liu, C.-Y., and Lin, P.-C., A Low-Power Full-Band Low-Noise Amplifier for Ultra-Wideband Receivers; TMTT Aug. 2010 2077-2083
Q
+ Check author entry for coauthors
4165
Wenzel, R. J., see Gupta, S., TMTT Sept. 2010 2392-2407 Wilkerson, J. R., Gard, Kevin G., and Steer, M. B., Automated Broadband High-Dynamic-Range Nonlinear Distortion Measurement System; TMTT May 2010 1273-1282 Williams, D., see Mortazawi, A., TMTT Sept. 2010 2317-2318 Williams, D. F., see Lewandowski, A., TMTT July 2010 1877-1886 Williams, D. F., see Remley, K. A., TMTT Feb. 2010 458-467 Williams, D. F., see Romero, H. P., TMTT July 2010 1758-1765 Williams, J. T., see Bernal, J., TMTT July 2010 1774-1785 Williams, K. J., see Gliese, U., TMTT Nov. 2010 3359-3371 Wincza, K., and Gruszczynski, S., Miniaturized Quasi-Lumped Coupled-Line Single-Section and Multisection Directional Couplers; TMTT Nov. 2010 2924-2931 Wong, K. K.-Y., see Zhou, E., TMTT Nov. 2010 3344-3351 Wong, K. K.-Y., see Yang, S., TMTT Nov. 2010 3381-3386 Woodington, S. P., see Hashmi, M. S., TMTT March 2010 656-664 Worapishet, A., see Srisathit, K., TMTT Nov. 2010 2867-2877 Wu, C.-N., see Chang, T.-H., TMTT June 2010 1543-1550 Wu, H.-S., Wang, C.-W., and Tzuang, C.-K. C., CMOS Active Quasi-Circulator With Dual Transmission Gains Incorporating Feedforward Technique at -Band; TMTT Aug. 2010 2084-2091 Wu, J., see Sun, X., TMTT Nov. 2010 3206-3212 Wu, J.-C., see Chin, T.-Y., TMTT Dec. 2010 3538-3546 Wu, K., see Yang, N., TMTT Oct. 2010 2619-2632 Wu, K., see Shen, Y., TMTT Nov. 2010 3327-3335 Wu, K., see Djoumessi, E. E., TMTT Jan. 2010 87-94 Wu, K., see Cheng, Y. J., TMTT Jan. 2010 203-210 Wu, K., see Han, L., TMTT Jan. 2010 220-228 Wu, K., see Djerafi, T., TMTT Feb. 2010 259-266 Wu, K., see Zhang, Z.-Y., TMTT May 2010 1151-1158 Wu, K., see Yang, N., TMTT April 2010 1030-1037 Wu, K. W., see He, F. F., TMTT Dec. 2010 3452-3458 Wu, L.-S., Zhou, X.-L., Yin, W.-Y., Zhou, L., and Mao, J.-F., A SubstrateIntegrated Evanescent-Mode Waveguide Filter With Nonresonating Node in Low-Temperature Co-Fired Ceramic; TMTT Oct. 2010 2654-2662 Wu, L.-S., Zhou, X.-L., Yin, W.-Y., Liu, C.-T., Zhou, L., Mao, J.-F., and Peng, H.-L., A New Type of Periodically Loaded Half-Mode Substrate Integrated Waveguide and Its Applications; TMTT April 2010 882-893 Wu, Q. S., see Zheng, H.-X., TMTT Jan. 2010 128-135 Wu, T.-L., see Hsu, S.-K., TMTT Aug. 2010 2277-2283 Wu, T.-L., see Tsai, C.-H., TMTT Jan. 2010 195-202 Wu, W.-C., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Wu, Y., Liu, Y., Xue, Q., Li, S., and Yu, C., Analytical Design Method of Multiway Dual-Band Planar Power Dividers With Arbitrary Power Division; TMTT Dec. 2010 3832-3841 Wu, Y.-C., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Wylde, R. J., see Yang, B., TMTT Dec. 2010 3587-3597
K
X
Xia, J., Law, C. L., Zhou, Y., and Koh, K. S., 3–5 GHz UWB Impulse Radio Transmitter and Receiver MMIC Optimized for Long Range Precision Wireless Sensor Networks; TMTT Dec. 2010 4040-4051 Xie, L., see Zhu, N. H., TMTT May 2010 1283-1289 Xu, G. Z., see Zhu, N. H., TMTT May 2010 1283-1289 Xu, H., see Liu, L., TMTT July 2010 1943-1951 Xu, J., see Liero, A., TMTT April 2010 781-787 Xu, K., see Sun, X., TMTT Nov. 2010 3206-3212 Xu, L., Chow, C. W., and Tsang, H. K., Long-Reach Multicast High Split-Ratio Wired and Wireless WDM-PON Using SOA for Remote Upconversion; TMTT Nov. 2010 3136-3143 Xu, L., see Rapinoja, T., TMTT May 2010 1339-1348 Xu, X., see Zhou, E., TMTT Nov. 2010 3344-3351 Xue, Q., see Shi, J., TMTT Aug. 2010 2204-2212 Xue, Q., see Feng, W., TMTT Nov. 2010 2915-2923 Xue, Q., see Wu, Y., TMTT Dec. 2010 3832-3841 Xue, Q., see Shi, J., TMTT April 2010 970-977 Xue, Q., see Zhang, X. Y., TMTT June 2010 1557-1564 Xue, Q., see Song, K., TMTT April 2010 978-984 Xue, Q., see Chiu, L., TMTT April 2010 1022-1029 Xue, Q., see Zhang, X. Y., TMTT April 2010 964-969 Xue, W., see Sales, S., TMTT Nov. 2010 3022-3038
4166
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Y Yanez, M., and Cartledge, J. C., Extraction of Intrinsic and Extrinsic Parameters in Electroabsorption Modulators; TMTT Aug. 2010 2284-2291 Yahaghi, A., see Fallahi, A., TMTT Aug. 2010 2175-2187 Yakovlev, A. B., see Paulotto, S., TMTT July 2010 1807-1817 Yamanaka, S., Sano, K., and Murata, K., A 20-Gs/s Track-and-Hold Amplifier in InP HBT Technology; TMTT Sept. 2010 2334-2339 Yamashita, K., see Ohhata, K., TMTT May 2010 1107-1115 Yang, B., Wylde, R. J., Martin, D. H., Goy, P., Donnan, R. S., and Caroopen, S., Determination of the Gyrotropic Characteristics of Hexaferrite Ceramics From 75 to 600 GHz; TMTT Dec. 2010 3587-3597 Yang, C. K., Roblin, P., De Groote, F., Ringel, S. A., Rajan, S., Teyssier, J. P., Poblenz, C., Pei, Y., Speck, J., and Mishra, U. K., Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer; TMTT May 2010 1077-1088 Yang, C.-L., Shu, S.-Y., and Chiang, Y.-C., Analysis and Design of a Chip Filter With Low Insertion Loss and Two Adjustable Transmission Zeros Using 0.18-m CMOS Technology; TMTT Jan. 2010 176-184 Yang, H.-S., see Chen, J.-H., TMTT Sept. 2010 2368-2374 Yang, H.-S., see Chen, J.-H., TMTT May 2010 1333-1338 Yang, H.-Y., see Lin, Y.-S., TMTT Feb. 2010 287-296 Yang, N., Caloz, C., and Wu, K., Full-Space Scanning Periodic Phase-Reversal Leaky-Wave Antenna; TMTT Oct. 2010 2619-2632 Yang, N., see Zhang, Z.-Y., TMTT May 2010 1151-1158 Yang, N., Caloz, C., and Wu, K., Broadband Compact 180 Hybrid Derived From the Wilkinson Divider; TMTT April 2010 1030-1037 Yang, S., Zhang, C., Zhou, Y., and Wong, K. K.-Y., Two-Wavelength SquareWaveform Generation Based on Fiber Optical Parametric Oscillator; TMTT Nov. 2010 3381-3386 Yang, T., Tamura, M., and Itoh, T., Super Compact Low-Temperature Co-Fired Ceramic Bandpass Filters Using the Hybrid Resonator; TMTT Nov. 2010 2896-2907 Yang, T., Tamura, M., and Itoh, T., Compact Hybrid Resonator With Series and Shunt Resonances Used in Miniaturized Filters and Balun Filters; TMTT Feb. 2010 390-402 Yang, X., Chaillot, D., Roblin, P., Liou, W.-R., Lee, J., Park, H.-D., Strahler, J., and Ismail, M., Poly-Harmonic Modeling and Predistortion Linearization for Software-Defined Radio Upconverters; TMTT Aug. 2010 2125-2133 Yang, Y., Lim, C., and Nirmalathas, A., Multichannel Digitized RF-Over-Fiber Transmission Based on Bandpass Sampling and FPGA; TMTT Nov. 2010 3181-3188 Yang, Y., see Choi, K., TMTT Dec. 2010 3467-3474 Yang, Y.-J., see Chin, K.-S., TMTT May 2010 1213-1221 Yang, Z. H., see Li, Z. W., TMTT Nov. 2010 2794-2799 Yao, J., see Pan, S., TMTT July 2010 1967-1975 Yao, J., see Li, M., TMTT Nov. 2010 2968-2975 Yao, J., see Dai, Y., TMTT Nov. 2010 3279-3289 Yao, J., see Li, W., TMTT Nov. 2010 3259-3268 Yao, J. J., Nonstandard Hybrid and Crossover Design With Branch-Line Structures; TMTT Dec. 2010 3801-3808 Yapar, A., see Kilic, E., TMTT May 2010 1290-1296 Yashchyshyn, Y., Marczewski, J., and Tomaszewski, D., Investigation of the S-PIN Diodes for Silicon Monolithic Antennas With Reconfigurable Aperture; TMTT May 2010 1100-1106 Yeh, D., see Chuang, K., TMTT Dec. 2010 4060-4071 Yeh, D. A., see Juntunen, E., TMTT Feb. 2010 348-355 Yen, S., see Ridgway, R. W., TMTT Nov. 2010 3117-3126 Yeom, K.-W., see Oh, H.-S., TMTT Sept. 2010 2456-2462 Yi, X., Huang, T. X. H., and Minasian, R. A., Tunable and Reconfigurable Photonic Signal Processor With Programmable All-Optical Complex Coefficients; TMTT Nov. 2010 3088-3093 Yildirim, O. O., see Li, X., TMTT Aug. 2010 2105-2117 Yin, J., see Sun, X., TMTT Nov. 2010 3206-3212 Yin, T., see Ramaswamy, A., TMTT Nov. 2010 3336-3343 Yin, W.-Y., see Wu, L.-S., TMTT Oct. 2010 2654-2662 Yin, W.-Y., see Wu, L.-S., TMTT April 2010 882-893 Yin, X., Yu, X., and Monroy, I. T., Bit-Error-Rate Performance Analysis of SelfHeterodyne Detected Radio-Over-Fiber Links Using Phase and Intensity Modulation; TMTT Nov. 2010 3229-3236 Yngvesson, K. S., see Rodriguez-Morales, F., TMTT May 2010 1140-1150 Yoo, C., see Park, J., TMTT Sept. 2010 2326-2333 Yoo, S., see Choi, K., TMTT Dec. 2010 3467-3474 Yook, J.-G., see Hong, Y.-P., TMTT June 2010 1565-1572 + Check author entry for coauthors
Yoshida, W., see Radisic, V., TMTT July 2010 1903-1909 You, C.-W., see Lin, Y.-S., TMTT Dec. 2010 3417-3426 You, F., He, S., Tang, X., and Deng, X., High-Efficiency Single-Ended Class-E/F Power Amplifier With Finite DC Feed Inductor; TMTT Jan. 2010 32-40 You, F., He, S., and Tang, X., Efficiency Enhancement of Class-E Power Amplifiers at Low Drain Voltage; TMTT April 2010 788-794 Yu, A. H.-T., Tam, S.-W., Kim, Y., Socher, E., Hant, W., Chang, M.-C. F., and Itoh, T., A Dual-Band Millimeter-Wave CMOS Oscillator With LeftHanded Resonator; TMTT May 2010 1401-1409 Yu, C., see Wu, Y., TMTT Dec. 2010 3832-3841 Yu, C.-F., see Chang, T.-H., TMTT June 2010 1543-1550 Yu, F., Lyon, K. G., and Kan, E. C., A Novel Passive RFID Transponder Using Harmonic Generation of Nonlinear Transmission Lines; TMTT Dec. 2010 4121-4127 Yu, F., see Lyon, K. G., TMTT Dec. 2010 3617-3625 Yu, H.-K., see Park, P., TMTT May 2010 1456-1463 Yu, M., see Zahirovic, N., TMTT Dec. 2010 3961-3970 Yu, M., see Zahirovic, N., TMTT Dec. 2010 3749-3759 Yu, M., see Kabir, H., TMTT Jan. 2010 145-156 Yu, X., see Yin, X., TMTT Nov. 2010 3229-3236 Yu, X., see Li, C., TMTT May 2010 1410-1419 Yu, Y., and Chen, Z. D., Towards the Development of an Unconditionally Stable Time-Domain Meshless Method; TMTT March 2010 578-586 Yu, Y.-H., see Chen, Y.-J. E., TMTT Dec. 2010 3444-3451 Yuan, Q., see He, M., TMTT Oct. 2010 2589-2597 Yue, C. P., see Park, P., TMTT May 2010 1456-1463 Yunoue, N., see Hangai, M., TMTT Jan. 2010 41-47 Z Zahirovic, N., Mansour, R. R., and Yu, M., Piezoresistive Position Sensing for the Detection of Hysteresis and Dielectric Charging in CMOS-MEMS Variable Capacitors; TMTT Dec. 2010 3961-3970 Zahirovic, N., Mansour, R. R., and Yu, M., Scalar Measurement-Based Algorithm for Automated Filter Tuning of Integrated Chebyshev Tunable Filters; TMTT Dec. 2010 3749-3759 Zahirovic, N., see Fouladi, S., TMTT April 2010 1056-1064 Zanchi, M. G., Pauly, J. M., and Scott, G. C., Frequency-Offset Cartesian Feedback Based on Polyphase Difference Amplifiers; TMTT May 2010 12971308 Zedler, M., and Eleftheriades, G. V., Spatial Harmonics and Homogenization of Negative-Refractive-Index Transmission-Line Structures; TMTT June 2010 1521-1531 Zelenchuk, D. E., see Shitvov, A. P., TMTT Feb. 2010 356-362 Zelenin, D., see Baranauskas, D., TMTT May 2010 1314-1322 Zeng, J., see Boryssenko, A. O., TMTT Feb. 2010 403-410 Zhai, H., Sha, S., Shenoy, V. K., Jung, S., Lu, M., Min, K., Lee, S., and Ha, D. S., An Electronic Circuit System for Time-Reversal of Ultra-Wideband Short Impulses Based on Frequency-Domain Approach; TMTT Jan. 2010 74-86 Zhang, C., see Kuhn, M. J., TMTT Dec. 2010 3527-3537 Zhang, C., see Yang, S., TMTT Nov. 2010 3381-3386 Zhang, C., Kuhn, M. J., Merkl, B. C., Fathy, A. E., and Mahfouz, M. R., RealTime Noncoherent UWB Positioning Radar With Millimeter Range Accuracy: Theory and Experiment; TMTT Jan. 2010 9-20 Zhang, H., see Hua, Y., TMTT July 2010 2064-2070 Zhang, J., see Valcarce, A., TMTT Nov. 2010 2838-2849 Zhang, M.-S., and Mao, J.-F., A New Systematic Method for the Modeling, Analysis, and Design of High-Speed Power-Delivery Networks by Using Distributed Port; TMTT Nov. 2010 2940-2951 Zhang, M.-S., see Gao, S., TMTT July 2010 1830-1839 Zhang, Q., and Lu, Y., Dimensional Synthesis of Symmetric Wideband Waveguide Cross-Coupled Filters Without Global Full-Wave Optimization; TMTT Dec. 2010 3742-3748 Zhang, Q.-J., see Kabir, H., TMTT Jan. 2010 145-156 Zhang, X., see Shen, Y., TMTT Nov. 2010 3327-3335 Zhang, X., see Han, L., TMTT Jan. 2010 220-228 Zhang, X. Y., Xue, Q., Chan, C. H., and Hu, B.-J., Low-Loss Frequency-Agile Bandpass Filters With Controllable Bandwidth and Suppressed Second Harmonic; TMTT June 2010 1557-1564 Zhang, X. Y., and Xue, Q., High-Selectivity Tunable Bandpass Filters With Harmonic Suppression; TMTT April 2010 964-969
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Zhang, X.-C., see Elkhatib, T. A., TMTT Feb. 2010 331-339 Zhang, X.-C., see Siegel, P. H., TMTT July 2010 1901-1902 Zhang, Y., and Robertson, I. D., Single-Mode Terahertz Bragg Fiber Design Using a Modal Filtering Approach; TMTT July 2010 1985-1992 Zhang, Y.-J., and Fan, J., An Intrinsic Circuit Model for Multiple Vias in an Irregular Plate Pair Through Rigorous Electromagnetic Analysis; TMTT Aug. 2010 2251-2265 Zhang, Y.-J., Feng, G., and Fan, J., A Novel Impedance Definition of a Parallel Plate Pair for an Intrinsic Via Circuit Model; TMTT Dec. 2010 3780-3789 Zhang, Z.-Y., Wu, K., and Yang, N., A Millimeter-Wave Sub-Harmonic Self-Oscillating Mixer Using Dual-Mode Substrate Integrated Waveguide Cavity; TMTT May 2010 1151-1158 Zhao, Z., see Magierowski, S., TMTT March 2010 518-528 Zheng, H.-X., Feng, L.-Y., and Wu, Q. S., Three-Dimensionally Nonorthogonal Alternating-Direction Implicit Finite-Difference Time-Domain Algorithm for the Full-Wave Analysis of Microwave Monolithic Circuit Devices; TMTT Jan. 2010 128-135 Zhong, Z., see Ooi, B. L., TMTT Aug. 2010 2314 Zhou, C., Downey, J., Stancil, D., and Mukherjee, T., A Low-Power Shoe-Embedded Radar for Aiding Pedestrian Inertial Navigation; TMTT Oct. 2010 2521-2528 Zhou, E., Xu, X., Lui, K.-S., and Wong, K. K.-Y., High-Speed Photonic PowerEfficient Ultra-Wideband Transceiver Based on Multiple PM-IM Conversions; TMTT Nov. 2010 3344-3351 Zhou, J., see Sun, X., TMTT Nov. 2010 3206-3212 Zhou, L., see Wu, L.-S., TMTT Oct. 2010 2654-2662 Zhou, L., see Wu, L.-S., TMTT April 2010 882-893 Zhou, S., Li, W., and Li, Q., Design of 3-D Periodic Metamaterials for Electromagnetic Properties; TMTT April 2010 910-916 Zhou, X.-L., see Wu, L.-S., TMTT Oct. 2010 2654-2662 Zhou, X.-L., see Wu, L.-S., TMTT April 2010 882-893 Zhou, Y., see Yang, S., TMTT Nov. 2010 3381-3386 Zhou, Y., see Xia, J., TMTT Dec. 2010 4040-4051 Zhou, Y., and Chia, M. Y.-W., A Novel Alternating and Outphasing Modulator for Wireless Transmitter; TMTT Feb. 2010 324-330 Zhou, Z., and Melde, K. L., A Comprehensive Technique to Determine the Broadband Physically Consistent Material Characteristics of Microstrip Lines; TMTT Jan. 2010 185-194 Zhu, A., see Guan, L., TMTT April 2010 866-872 Zhu, J., and Jiao, D., Eliminating the Low-Frequency Breakdown Problem in 3-D Full-Wave Finite-Element-Based Analysis of Integrated Circuits; TMTT Oct. 2010 2633-2645 Zhu, L., see Luo, S., TMTT Dec. 2010 3427-3432 Zhu, L., see Li, J. L.-W., TMTT Dec. 2010 3398 Zhu, N. H., Xu, G. Z., Hofmann, W., Chen, W., Bohm, G., Liu, Y., Wang, X., Xie, L., and Amann, M.-C., Small-Signal Equivalent-Circuit Model and Characterization of 1.55-m Buried Tunnel Junction Vertical-Cavity Surface-Emitting Lasers; TMTT May 2010 1283-1289 Zhu, S., see Jung, J., TMTT Dec. 2010 4102-4111 Zhu, W., see Li, X., TMTT Aug. 2010 2105-2117 Zhurbenko, V., see Krozer, V., TMTT July 2010 2027-2039 Ziade, F., Bergeault, E., Huyart, B., and Kazemipour, A., Realization of a Calculable RF Power Standard in Coplanar Technology on Alumina Substrate; TMTT June 2010 1592-1598 Zimmermann, R., see von Spiegel, W., TMTT July 2010 2008-2013 Zirath, H., see Hsu, L.-H., TMTT Sept. 2010 2408-2419 Zirath, H., see Hsu, L.-H., TMTT Aug. 2010 2244-2250 Zirath, H., see Saad, P., TMTT July 2010 1677-1685 Zou, G.-P., see Wei, X.-C., TMTT Nov. 2010 2850-2855 Zourntos, T., see Magierowski, S., TMTT March 2010 518-528 SUBJECT INDEX
A
Accuracy 3–5 GHz UWB Impulse Radio Transmitter and Receiver MMIC Optimized for Long Range Precision Wireless Sensor Networks. Xia, J., +, TMTT Dec. 2010 4040-4051 + Check author entry for coauthors
4167
Eigenvalue Analysis and Longtime Stability of Resonant Structures for the Meshless Radial Point Interpolation Method in Time Domain. Kaufmann, T., +, TMTT Dec. 2010 3399-3408 Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers. Liu, H., +, TMTT Dec. 2010 3697-3709 Hybrid Mode Matching Method for the Efficient Analysis of Metal and Dielectric Rods in H Plane Rectangular Waveguide Devices. Bachiller, C., +, TMTT Dec. 2010 3634-3644 Acoustic signal detection Microwave Human Vocal Vibration Signal Detection Based on Doppler Radar Technology. Lin, C.-S., +, TMTT Aug. 2010 2299-2306 Active filters A 1.6–3.2-GHz Sixth-Order 13.1-dBm OIP3 Linear Phase g -C Filter for Fiber-Optic EDC Receivers. Baranauskas, D., +, TMTT May 2010 13141322 A Direct-Conversion CMOS RF Receiver Reconfigurable From 2 to 6 GHz. Park, J., +, TMTT Sept. 2010 2326-2333 Low Phase-Noise Planar Oscillators Based on Low-Noise Active Resonators. Nick, M., +, TMTT May 2010 1133-1139 Adaptive antenna arrays Analysis and Performance of a Smart Antenna for 2.45-GHz Single-Anchor Indoor Positioning. Cidronali, A., +, TMTT Jan. 2010 21-31 Investigation of the S-PIN Diodes for Silicon Monolithic Antennas With Reconfigurable Aperture. Yashchyshyn, Y., +, TMTT May 2010 1100-1106 Adaptive optics A System-Level Simulation Framework for UWB Localization. Kuhn, M. J., +, TMTT Dec. 2010 3527-3537 Adjacent channel interference Automated Broadband High-Dynamic-Range Nonlinear Distortion Measurement System. Wilkerson, J. R., +, TMTT May 2010 1273-1282 Air gaps An Approximate Analytical Model for the Quasi-Static Parameters of Elevated CPW Lines. McGregor, I., +, TMTT Dec. 2010 3809-3814 Alumina Realization of a Calculable RF Power Standard in Coplanar Technology on Alumina Substrate. Ziade, F., +, TMTT June 2010 1592-1598 Aluminium 65-, 45-, and 32-nm Aluminium and Copper Transmission-Line Model at Millimeter-Wave Frequencies. Quemerais, T., +, TMTT Sept. 2010 24262433 Aluminium compounds Parasitic Compensation Design Technique for a C-Band GaN HEMT Class-F Amplifier. Kuroda, K., +, TMTT Nov. 2010 2741-2750 Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer. Yang, C. K., +, TMTT May 2010 1077-1088 Amplification A 0.18-m CMOS RF Transceiver With Self-Detection and Calibration Functions for Bluetooth V2.1 EDR Applications. Hu, W.-Y., +, TMTT May 2010 1367-1374 Localization and Fingerprint of Radio Signals Employing a Multichannel Photonic Analog-to-Digital Converter. Llorente, R., +, TMTT Nov. 2010 3304-3311 Amplifiers A Phase-Modulation I/Q-Demodulation Microwave-to-Digital Photonic Link. Clark , T. R., +, TMTT Nov. 2010 3039-3058 Accurate EM-Based Modeling of Cascode FETs. Resca, D., +, TMTT April 2010 719-729 Investigations of AM, PM Noise, and Noise Figure in an SiGe-HBT Amplifier Operating in Linear and Nonlinear Regimes. Garmendia, N., +, TMTT April 2010 807-813 Amplitude estimation Amplitude Offset Estimation by Phase Comparison in Suppression Loops. Warr, P. A., +, TMTT July 2010 1742-1747 Amplitude modulation Investigations of AM, PM Noise, and Noise Figure in an SiGe-HBT Amplifier Operating in Linear and Nonlinear Regimes. Garmendia, N., +, TMTT April 2010 807-813 Two-Wavelength Square-Waveform Generation Based on Fiber Optical Parametric Oscillator. Yang, S., +, TMTT Nov. 2010 3381-3386 Amplitude shift keying A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio. Juntunen, E., +, TMTT Feb. 2010 348-355
H
H
+
+
4168
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB Pulse Generator for the 3.1–10.6-GHz FCC Band. Bourdel, S., +, TMTT Jan. 2010 65-73 A New Six-Port Transformer Modeling Methodology Applied to 10-dBm 60-GHz CMOS ASK Modulator Designs. Brinkhoff, J., +, TMTT Feb. 2010 297-309 Analysis and Measurement of a Time-Varying Matching Scheme for PulseBased Receivers With High- Sources. Wang, X., +, TMTT Aug. 2010 2231-2243 Analog processing circuits Group-Delay Engineered Noncommensurate Transmission Line All-Pass Network for Analog Signal Processing. Gupta, S., +, TMTT Sept. 2010 2392-2407 Analog-digital conversion 2-D Electrical Interferometer: A Novel High-Speed Quantizer. Tousi, Y. M., +, TMTT Oct. 2010 2549-2561 A CMOS Integrated Analog Pulse Compressor for MIMO Radar Applications. Lee, S. M., +, TMTT April 2010 747-756 Localization and Fingerprint of Radio Signals Employing a Multichannel Photonic Analog-to-Digital Converter. Llorente, R., +, TMTT Nov. 2010 3304-3311 Multichannel Digitized RF-Over-Fiber Transmission Based on Bandpass Sampling and FPGA. Yang, Y., +, TMTT Nov. 2010 3181-3188 Analytical models On-Body Radio Channel Characterization and System-Level Modeling for Multiband OFDM Ultra-Wideband Body-Centric Wireless Network. Abbasi, Q. H., +, TMTT Dec. 2010 3485-3492 Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model. Cunha, T. R., +, TMTT Dec. 2010 4012-4021 Angular velocity Millimeter-Wave Interferometric Angular Velocity Detection. Nanzer, J. A., +, TMTT Dec. 2010 4128-4136 Anisotropic media Subspace-Based Optimization Method for Reconstruction of 2-D Complex Anisotropic Dielectric Objects. Agarwal, K., +, TMTT April 2010 10651074 Antenna arrays An Experimental Concentric Near-Field Plate. Imani, M. F., +, TMTT Dec. 2010 3982-3988 Bit Error Rate Performance Enhancement of a Retrodirective Array Over a Conventional Fixed Beam Array in a Dynamic Multipath Environment. Buchanan, N. B., +, TMTT April 2010 757-763 Design of a 60-GHz Substrate Integrated Waveguide Butler Matrix—A Systematic Approach. Chen, C.-J., +, TMTT July 2010 1724-1733 Optical Scanning Techniques for Characterization of Terahertz Photoconductive Antenna Arrays. Tiedje, H. F., +, TMTT July 2010 2040-2045 -Band 4 4 Nolen Matrix in SIW Technology. Djerafi, T., +, Planar TMTT Feb. 2010 259-266 Theoretical and Experimental Investigation of the Modulated Scattering Antenna Array for Mobile Terminal Applications. He, M., +, TMTT Oct. 2010 2589-2597 Antenna feeds A Near-Field Probe for Subwavelength-Focused Imaging. Markley, L., +, TMTT March 2010 551-558 Antenna measurements Cost-Effective 60-GHz Antenna Package With End-Fire Radiation for Wireless File-Transfer System. Suga, R., +, TMTT Dec. 2010 3989-3995 High-Efficiency Dual-Band On-Chip Rectenna for 35- and 94-GHz Wireless Power Transmission in 0.13- m CMOS Technology. Chiou, H.-K., +, TMTT Dec. 2010 3598-3606 On-Body Radio Channel Characterization and System-Level Modeling for Multiband OFDM Ultra-Wideband Body-Centric Wireless Network. Abbasi, Q. H., +, TMTT Dec. 2010 3485-3492 Antenna phased arrays 6-bit CMOS Digital Attenuators With Low Phase Variations for -Band Phased-Array Systems. Ku, B.-H., +, TMTT July 2010 1651-1663 -Band Two-Antenna Four-Simultaneous Beams SiGe BiCMOS A Phased Array Receiver. Kang, D.-W., +, TMTT April 2010 771-780 A Bidirectional TX/RX Four-Element Phased Array at 60 GHz With RF-IF Conversion Block in 90-nm CMOS Process. Cohen, E., +, TMTT May 2010 1438-1446 A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables. Villegas, F. J., +, TMTT April 2010 1003-1011
Q
Ku
2
X
Ku
+ Check author entry for coauthors
MMIC-Based Quadrature Hybrid Quasi-Circulators for Simultaneous Transmit and Receive. Cheung, S. K., +, TMTT March 2010 489-497 Antenna radiation patterns A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing. Park, P., +, TMTT May 2010 1456-1463 A Single-Channel Microstrip Electronic Tracking Antenna Array With Time Sequence Phase Weighting on Sub-Array. Wang, H., +, TMTT Feb. 2010 253-258 Bit Error Rate Performance Enhancement of a Retrodirective Array Over a Conventional Fixed Beam Array in a Dynamic Multipath Environment. Buchanan, N. B., +, TMTT April 2010 757-763 Cost-Effective 60-GHz Antenna Package With End-Fire Radiation for Wireless File-Transfer System. Suga, R., +, TMTT Dec. 2010 3989-3995 Full-Space Scanning Periodic Phase-Reversal Leaky-Wave Antenna. Yang, N., +, TMTT Oct. 2010 2619-2632 Irradiation of a Six-Layered Spherical Model of Human Head in the Near Field of a Half-Wave Dipole Antenna. Khodabakhshi, H., +, TMTT March 2010 680-690 Power-Recycling Feedback System for Maximization of Leaky-Wave Antennas’ Radiation Efficiency. Nguyen, H. V., +, TMTT July 2010 1641-1650 Antenna testing A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing. Park, P., +, TMTT May 2010 1456-1463 Antenna theory Improving Backscatter Radio Tag Efficiency. Bletsas, A., +, TMTT June 2010 1502-1509 Theoretical and Experimental Investigation of the Modulated Scattering Antenna Array for Mobile Terminal Applications. He, M., +, TMTT Oct. 2010 2589-2597 Millimeter-Wave Interferometric Angular Velocity Detection. Nanzer, J. A., +, TMTT Dec. 2010 4128-4136 Aperture antennas Investigation of the S-PIN Diodes for Silicon Monolithic Antennas With Reconfigurable Aperture. Yashchyshyn, Y., +, TMTT May 2010 1100-1106 An Experimental Concentric Near-Field Plate. Imani, M. F., +, TMTT Dec. 2010 3982-3988 Nonstandard Hybrid and Crossover Design With Branch-Line Structures. Yao, J. J., +, TMTT Dec. 2010 3801-3808 Approximation methods A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation. Al-Qedra, M., +, TMTT Dec. 2010 3872-3881 Direct Synthesis of Passband Impedance Matching With Nonuniform Transmission Lines. Hsu, Y.-W., +, TMTT April 2010 1012-1021 Robust Trust-Region Space-Mapping Algorithms for Microwave Design Optimization. Koziel, S., +, TMTT Aug. 2010 2166-2174 Array signal processing A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation. Lau, J. Y., +, TMTT Dec. 2010 3547-3555 Planar -Band 4 4 Nolen Matrix in SIW Technology. Djerafi, T., +, TMTT Feb. 2010 259-266 Arrayed waveguide gratings Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model. Medina, F., +, TMTT Jan. 2010 105-115 Full-Duplex WDM-Based RoF System Using All-Optical SSB Frequency Upconversion and Wavelength Re-Use Techniques. Kim, H.-J., +, TMTT Nov. 2010 3175-3180 Arrays A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation. Lau, J. Y., +, TMTT Dec. 2010 3547-3555 A V-Band 8 8 CMOS Butler Matrix MMIC. Chin, T.-Y., +, TMTT Dec. 2010 3538-3546 Atmospheric modeling Analysis of Metal–Insulator–Metal Structure and Its Application to Sensor. Tamura, M., +, TMTT Dec. 2010 3954-3960 Attenuators 6-bit CMOS Digital Attenuators With Low Phase Variations for -Band Phased-Array Systems. Ku, B.-H., +, TMTT July 2010 1651-1663 Optimization of a Photonically Controlled Microwave Switch and Attenuator. Flemish, J. R., +, TMTT Oct. 2010 2582-2588
Ku
2
2
X
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
B Backscatter Improving Backscatter Radio Tag Efficiency. Bletsas, A., +, TMTT June 2010 1502-1509 Baluns A 3–5-GHz UWB Front-End for Low-Data Rate WPANs in 90-nm CMOS. Cavallaro, M., +, TMTT April 2010 854-865 A 90-nm CMOS Power Amplifier for 802.16e (WiMAX) Applications. Degani, O., +, TMTT May 2010 1431-1437 Analysis and Synthesis of Double-Sided Parallel-Strip Transitions. Carro, P. L., +, TMTT Feb. 2010 372-380 Compact Hybrid Resonator With Series and Shunt Resonances Used in Miniaturized Filters and Balun Filters. Yang, T., +, TMTT Feb. 2010 390-402 Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers. Tiemeijer, L. F., +, TMTT June 2010 1599-1608 Design of an On-Chip Balun With a Minimum Amplitude Imbalance Using a Symmetric Stack Layout. Hsu, H.-M., +, TMTT April 2010 814-819 Band pass filters A Tunable Bandpass-to-Bandstop Reconfigurable Filter With Independent Bandwidths and Tunable Response Shape. Naglich, E. J., +, TMTT Dec. 2010 3770-3779 Broadband HBT Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Dec. 2010 4031-4039 High-Efficiency Dual-Band On-Chip Rectenna for 35- and 94-GHz Wireless Power Transmission in 0.13-m CMOS Technology. Chiou, H.-K., +, TMTT Dec. 2010 3598-3606 New Designs of Bandpass Diplexer and Switchplexer Based on ParallelCoupled Bandpass Filters. Lin, Y.-S., +, TMTT Dec. 2010 3417-3426 Ring Resonator Bandpass Filter With Switchable Bandwidth Using SteppedImpedance Stubs. Kim, C. H., +, TMTT Dec. 2010 3936-3944 Synthesis and Design of Generalized Chebyshev Wideband Hybrid Ring Based Bandpass Filters With a Controllable Transmission Zero Pair. Li, J.-Y., +, TMTT Dec. 2010 3720-3731 A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB Pulse Generator for the 3.1–10.6-GHz FCC Band. Bourdel, S., +, TMTT Jan. 2010 65-73 A Millimeter-Wave Sub-Harmonic Self-Oscillating Mixer Using Dual-Mode Substrate Integrated Waveguide Cavity. Zhang, Z.-Y., +, TMTT May 2010 1151-1158 Analysis and Design of a Chip Filter With Low Insertion Loss and Two Adjustable Transmission Zeros Using 0.18-m CMOS Technology. Yang, C.-L., +, TMTT Jan. 2010 176-184 Analysis and Design of Single-to-Balanced Combline Bandpass Filters With Two Independently Controllable Transmission Zeros in LTCC Technology. Tsai, C.-L., +, TMTT Nov. 2010 2878-2887 Balanced Bandpass Filters Using Center-Loaded Half-Wavelength Resonators. Shi, J., +, TMTT April 2010 970-977 Coherence-Free Equivalent Negative Tap Microwave Photonic Notch Filter Based on Delayed Self-Wavelength Conversion. Chan, E. H. W., +, TMTT Nov. 2010 3199-3205 Compact Hybrid Resonator With Series and Shunt Resonances Used in Miniaturized Filters and Balun Filters. Yang, T., +, TMTT Feb. 2010 390-402 Corrugated Microstrip Coupled Lines for Constant Absolute Bandwidth Tunable Filters. El-Tanani, M. A., +, TMTT April 2010 956-963 Design of Bandpass Filter Based on Hybrid Planar Waveguide Resonator. Potelon, B., +, TMTT March 2010 635-644 Design of Compact Dual-Mode Microstrip Filters. Athukorala, L., +, TMTT Nov. 2010 2888-2895 Design of Symmetric Trisection Filters for Compact Low-Temperature Co-Fired Ceramic Realization. Hoft, M., +, TMTT Jan. 2010 165-175 Design of Triple-Mode Ring Resonator for Wideband Microstrip Bandpass Filters. Srisathit, K., +, TMTT Nov. 2010 2867-2877 Development of Multilayered Bandpass Filters With Multiple Transmission Zeros Using Open-Stub/Short-Stub/Serial Semilumped Resonators. Tang, C.-T., +, TMTT March 2010 624-634 Development of Packaged Ultra-Wideband Bandpass Filters. Han, L., +, TMTT Jan. 2010 220-228 Dual-Band and Wide-Stopband Single-Band Balanced Bandpass Filters With High Selectivity and Common-Mode Suppression. Shi, J., +, TMTT Aug. 2010 2204-2212 Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems. Djoumessi, E. E., +, TMTT Jan. 2010 87-94 + Check author entry for coauthors
4169
Generalized Miniaturization Method for Coupled-Line Bandpass Filters by Reactive Loading. Lee, S., +, TMTT Sept. 2010 2383-2391 High-Selectivity Tunable Bandpass Filters With Harmonic Suppression. Zhang, X. Y., +, TMTT April 2010 964-969 Inductance-Loaded Y-Shaped Resonators and Their Applications to Filters. Song, K., +, TMTT April 2010 978-984 Low-Loss Frequency-Agile Bandpass Filters With Controllable Bandwidth and Suppressed Second Harmonic. Zhang, X. Y., +, TMTT June 2010 15571564 Multilayer Dual-Band Bandpass Filter in Low-Temperature Co-Fired Ceramic Substrate for Ultra-Wideband Applications. Oshima, S., +, TMTT March 2010 614-623 New Multiband Coupling Matrix Synthesis Technique and Its Microstrip Implementation. Kuo, Y.-T., +, TMTT July 2010 1840-1850 Nonuniformly Spaced Photonic Microwave Delay-Line Filters and Applications. Dai, Y., +, TMTT Nov. 2010 3279-3289 Novel Multistub Loaded Resonator and Its Application to High-Order DualBand Filters. Chen, F.-C., +, TMTT June 2010 1551-1556 Phase-Shifter Design Using Phase-Slope Alignment With Grounded Shunt =4 Stubs. Tang, X., +, TMTT June 2010 1573-1583 Pole-Perturbation Theory for Nonlinear Noise Analysis of All-Pole RF MEMS Tunable Filters. Sekar, V., +, TMTT Sept. 2010 2475-2489 Super Compact Low-Temperature Co-Fired Ceramic Bandpass Filters Using the Hybrid Resonator. Yang, T., +, TMTT Nov. 2010 2896-2907 Theory of Coupled Resonator Microwave Bandpass Filters of Arbitrary Bandwidth. Amari, S., +, TMTT Aug. 2010 2188-2203 Three-Dimensionally Nonorthogonal Alternating-Direction Implicit FiniteDifference Time-Domain Algorithm for the Full-Wave Analysis of Microwave Monolithic Circuit Devices. Zheng, H.-X., +, TMTT Jan. 2010 128-135 Tunable Programmable Microwave Photonic Filters Based on an Optical Frequency Comb. Hamidi, E., +, TMTT Nov. 2010 3269-3278 UWB Bandpass Filter Using Cascaded Miniature High-Pass and Low-Pass Filters With Multilayer Liquid Crystal Polymer Technology. Hao, Z.-C., +, TMTT April 2010 941-948 Varactor-Tuned Dual-Mode Bandpass Filters. Tang, W., +, TMTT Aug. 2010 2213-2219 Band-stop filters Compact Low-Loss Tunable X -Band Bandstop Filter With Miniature RF-MEMS Switches. Reines, I., +, TMTT July 2010 1887-1895 Design of Compact 90 and 180 Couplers With Harmonic Suppression Using Lumped-Element Bandstop Resonators. Hou, J.-A., +, TMTT Nov. 2010 2932-2939 Generalized Miniaturization Method for Coupled-Line Bandpass Filters by Reactive Loading. Lee, S., +, TMTT Sept. 2010 2383-2391 Bandwidth allocation 3–5 GHz UWB Impulse Radio Transmitter and Receiver MMIC Optimized for Long Range Precision Wireless Sensor Networks. Xia, J., +, TMTT Dec. 2010 4040-4051 Analysis of a Reconfigurable Bandpass Circular Patch Filter. Serrano, A. L. C., +, TMTT Dec. 2010 3918-3924 Broadband HBT Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Dec. 2010 4031-4039 Design Considerations for Octave-Band Phase Shifters Using Discrete Components. Tang, X., +, TMTT Dec. 2010 3459-3466 Generalized Miniaturization Method for Coupled-Line Bandpass Filters by Reactive Loading. Lee, S., +, TMTT Sept. 2010 2383-2391 Measurement Bandwidth Extension Using Multisine Signals: Propagation of Error. Remley, K. A., +, TMTT Feb. 2010 458-467 A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes. Bastioli, S., +, TMTT Dec. 2010 3909-3917 A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure. Cheng, K.-K. M., +, TMTT Dec. 2010 3903-3908 A Tunable Bandpass-to-Bandstop Reconfigurable Filter With Independent Bandwidths and Tunable Response Shape. Naglich, E. J., +, TMTT Dec. 2010 3770-3779 An Experimental Study of WiMAX-Based Passive Radar. Wang, Q., +, TMTT Dec. 2010 3502-3510 Millimeter-Wave Interferometric Angular Velocity Detection. Nanzer, J. A., +, TMTT Dec. 2010 4128-4136 Ring Resonator Bandpass Filter With Switchable Bandwidth Using SteppedImpedance Stubs. Kim, C. H., +, TMTT Dec. 2010 3936-3944 Tunable Bandpass and Bandstop Filters Based on Dual-Band Combline Structures. Abunjaileh, A. I., +, TMTT Dec. 2010 3710-3719
4170
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Generalized Miniaturization Method for Coupled-Line Bandpass Filters by Reactive Loading. Lee, S., +, TMTT Sept. 2010 2383-2391 Measurement Bandwidth Extension Using Multisine Signals: Propagation of Error. Remley, K. A., +, TMTT Feb. 2010 458-467 Base stations A System-Level Simulation Framework for UWB Localization. Kuhn, M. J., +, TMTT Dec. 2010 3527-3537 BiCMOS integrated circuits A Jitter-Optimized Differential 40-Gbit/s Transimpedance Amplifier in SiGe BiCMOS. Knochenhauer, C., +, TMTT Oct. 2010 2538-2548 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56 -Band Two-Antenna Four-Simultaneous Beams SiGe BiCMOS A Phased Array Receiver. Kang, D.-W., +, TMTT April 2010 771-780 A 20–32-GHz Wideband Mixer With 12-GHz IF bandwidth in 0.18- m SiGe Process. El-Nozahi, M., +, TMTT Nov. 2010 2731-2740 A 44-GHz SiGe BiCMOS Phase-Shifting Sub-Harmonic Up-Converter for Phased-Array Transmitters. Kim, S., +, TMTT May 2010 1089-1099 A Millimeter-Wave (24/31-GHz) Dual-Band Switchable Harmonic Receiver in 0.18- m SiGe Process. El-Nozahi, M., +, TMTT Nov. 2010 2717-2730 Cascaded Constructive Wave Amplification. Buckwalter, J. F., +, TMTT March 2010 506-517 -Band SiGe RFICs for Passive MilDesign and Characterization of limeter-Wave Imaging. May, J. W., +, TMTT May 2010 1420-1430 Bioelectric phenomena A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis. Merla, C., +, TMTT March 2010 691-698 Biological effects of microwaves Considerations for Developing an RF Exposure System: A Review for in vitro Biological Experiments. Paffi, A., +, TMTT Oct. 2010 2702-2714 Biological tissues Exploring Joint Tissues With Microwave Imaging. Salvador, S. M., +, TMTT Aug. 2010 2307-2313 Biology A 10- High-Voltage Nanosecond Pulse Generator. Merla, C., +, TMTT Dec. 2010 4079-4085 A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies. Paffi, A., +, TMTT Dec. 2010 4086-4093 Biomedical imaging Exploring Joint Tissues With Microwave Imaging. Salvador, S. M., +, TMTT Aug. 2010 2307-2313 Biomembranes A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis. Merla, C., +, TMTT March 2010 691-698 Antibiased Electrostatic RF MEMS Varactors and Tunable Filters. Chen, K., +, TMTT Dec. 2010 3971-3981 Bipolar MIMIC A Differential Pair-Based Direct Digital Synthesizer MMIC With 16.8-GHz Clock and 488-mW Power Consumption. Laemmle, B., +, TMTT May 2010 1375-1383 Bit error rate A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios. Chuang, K., +, TMTT Dec. 2010 4060-4071 On-Body Radio Channel Characterization and System-Level Modeling for Multiband OFDM Ultra-Wideband Body-Centric Wireless Network. Abbasi, Q. H., +, TMTT Dec. 2010 3485-3492 Blood A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis. Merla, C., +, TMTT March 2010 691-698 Bluetooth A 0.18- m CMOS RF Transceiver With Self-Detection and Calibration Functions for Bluetooth V2.1 + EDR Applications. Hu, W.-Y., +, TMTT May 2010 1367-1374 Inductorless Low-Voltage and Low-Power Wideband Mixer for Multistandard Receivers. Hampel, S. K., +, TMTT May 2010 1384-1390 Body area networks An Efficient Bilateral Dual-Grid-FDTD Approach Applied to On-Body Transmission Analysis and Specific Absorption Rate Computation. Miry, C., +, TMTT Sept. 2010 2375-2382
Ku
W
+ Check author entry for coauthors
Bolometers Integrated 585-GHz Hot-Electron Mixer Focal-Plane Arrays Based on Annular Slot Antennas for Imaging Applications. Liu, L., +, TMTT July 2010 1943-1951 Realization of a Calculable RF Power Standard in Coplanar Technology on Alumina Substrate. Ziade, F., +, TMTT June 2010 1592-1598 Wideband IF-Integrated Terahertz HEB Mixers: Modeling and Characterization. Rodriguez-Morales, F., +, TMTT May 2010 1140-1150 Boundary-value problems Circular Waveguide With DB-Boundary Conditions. Lindell, I. V., +, TMTT April 2010 903-909 Bragg gratings An Unbalanced Temporal Pulse-Shaping System for Chirped Microwave Waveform Generation. Li, M., +, TMTT Nov. 2010 2968-2975 Single-Mode Terahertz Bragg Fiber Design Using a Modal Filtering Approach. Zhang, Y., +, TMTT July 2010 1985-1992 Tunable Subterahertz Wave Generation Based on Photonic Frequency Sextupling Using a Polarization Modulator and a Wavelength-Fixed Notch Filter. Pan, S., +, TMTT July 2010 1967-1975 Broadband antennas A UWB-IR Transmitter Using Frequency Conversion in Nonlinear Transmission Lines With 16 pJ/pulse Energy Consumption. Lyon, K. G., +, TMTT Dec. 2010 3617-3625 Broadband communication A High-Efficiency, Broadband CMOS Power Amplifier for Cognitive Radio Applications. Huang, P.-C., +, TMTT Dec. 2010 3556-3565 Broadband HBT Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Dec. 2010 4031-4039 A Novel Alternating and Outphasing Modulator for Wireless Transmitter. Zhou, Y., +, TMTT Feb. 2010 324-330 Automated Broadband High-Dynamic-Range Nonlinear Distortion Measurement System. Wilkerson, J. R., +, TMTT May 2010 1273-1282 Broadband Compact 180 Hybrid Derived From the Wilkinson Divider. Yang, N., +, TMTT April 2010 1030-1037 Long-Reach Multicast High Split-Ratio Wired and Wireless WDM-PON Using SOA for Remote Upconversion. Xu, L., +, TMTT Nov. 2010 31363143 Butler matrix A -Band Switched Beam-Forming Antenna Module Using Absorptive Switch Integrated With 4 4 Butler Matrix in 0.13- m CMOS. Choi, W., +, TMTT Dec. 2010 4052-4059 A V-Band 8 8 CMOS Butler Matrix MMIC. Chin, T.-Y., +, TMTT Dec. 2010 3538-3546 Butterworth filters Impedance-Transforming Symmetric and Asymmetric DC Blocks. Ahn, H.-R., +, TMTT Sept. 2010 2463-2474
V
2
2
C Calibration An Accurate Calibrate-Able Multiharmonic Active Load–Pull System Based on the Envelope Load–Pull Concept. Hashmi, M. S., +, TMTT March 2010 656-664 Compact Orthomode Transducers Using Digital Polarization Synthesis. Morgan, M. A., +, TMTT Dec. 2010 3666-3676 Extended Through-Short-Delay Technique for the Calibration of Vector Network Analyzers With Nonmating Waveguide Ports. Peverini, O. A., +, TMTT Feb. 2010 440-450 Extraction of Intrinsic and Extrinsic Parameters in Electroabsorption Modulators. Yanez, M., +, TMTT Aug. 2010 2284-2291 Measuring and Reporting High Quality Factors of Inductors Using Vector Network Analyzers. Kuhn, W. B., +, TMTT April 2010 1046-1055 Cameras Illumination Aspects in Active Terahertz Imaging. von Spiegel, W., +, TMTT July 2010 2008-2013 Live Electrooptic Imaging of -Band Waves. Tsuchiya, M., +, TMTT Nov. 2010 3011-3021 Visual Observations of Characteristic Behaviors of RF Waves in CRLH-TLs and Their Applications to Dispersion Characterizations. Tsuchiya, M., +, TMTT Dec. 2010 4094-4101
W
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Capacitance An Approximate Analytical Model for the Quasi-Static Parameters of Elevated CPW Lines. McGregor, I., +, TMTT Dec. 2010 3809-3814 Analysis of a Reconfigurable Bandpass Circular Patch Filter. Serrano, A. L. C., +, TMTT Dec. 2010 3918-3924 Antibiased Electrostatic RF MEMS Varactors and Tunable Filters. Chen, K., +, TMTT Dec. 2010 3971-3981 A Broadband 835–900-GHz Fundamental Balanced Mixer Based on Monolithic GaAs Membrane Schottky Diodes. Thomas, B., +, TMTT July 2010 1917-1924 A Dual-Band Ring-Resonator Bandpass Filter Based on Two Pairs of Degenerate Modes. Luo, S., +, TMTT Dec. 2010 3427-3432 Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis. Hashemi, M. R. M., +, TMTT Dec. 2010 3645-3656 A 6-Bit CMOS Phase Shifter for S -Band. Meghdadi, M., +, TMTT Dec. 2010 3519-3526 A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump. Cha, J., +, TMTT Dec. 2010 3626-3633 A UWB-IR Transmitter Using Frequency Conversion in Nonlinear Transmission Lines With 16 pJ/pulse Energy Consumption. Lyon, K. G., +, TMTT Dec. 2010 3617-3625 Novel Design Procedure for Class-E Power Amplifiers. Miyahara, R., +, TMTT Dec. 2010 3607-3616 The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media. Aronsson, J., +, TMTT May 2010 1175-1188 Development of Packaged Ultra-Wideband Bandpass Filters. Han, L., +, TMTT Jan. 2010 220-228 Distributed MEMS Tunable Impedance-Matching Network Based on Suspended Slow-Wave Structure Fabricated in a Standard CMOS Technology. Fouladi, S., +, TMTT April 2010 1056-1064 High-Reliability RF-MEMS Switched Capacitors With Digital and Analog Tuning Characteristics. Grichener, A., +, TMTT Oct. 2010 2692-2701 for OptiInvestigation of a Class-J Power Amplifier With a Nonlinear C mized Operation. Moon, J., +, TMTT Nov. 2010 2800-2811 Piezoresistive Position Sensing for the Detection of Hysteresis and Dielectric Charging in CMOS-MEMS Variable Capacitors. Zahirovic, N., +, TMTT Dec. 2010 3961-3970 Cavity resonator filters Design of Bandpass Filter Based on Hybrid Planar Waveguide Resonator. Potelon, B., +, TMTT March 2010 635-644 Cavity resonators A Low Phase-Noise VCO Using an Electronically Tunable Substrate Integrated Waveguide Resonator. He, F. F., +, TMTT Dec. 2010 3452-3458 A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes. Bastioli, S., +, TMTT Dec. 2010 3909-3917 A Tunable Bandpass-to-Bandstop Reconfigurable Filter With Independent Bandwidths and Tunable Response Shape. Naglich, E. J., +, TMTT Dec. 2010 3770-3779 An Automatically Tunable Cavity Resonator System. Ouedraogo, R. O., +, TMTT April 2010 894-902 Development of Multilayered Bandpass Filters With Multiple Transmission Zeros Using Open-Stub/Short-Stub/Serial Semilumped Resonators. Tang, C.-T., +, TMTT March 2010 624-634 Magnetic Metamaterials as 1-D Data Transfer Channels: An Application for Magneto-Inductive Waves. Stevens, C. J., +, TMTT May 2010 1248-1256 Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz. Khalil, A. H., +, TMTT Dec. 2010 3925-3935 Cellular biophysics A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis. Merla, C., +, TMTT March 2010 691-698 Cellular radio A 2 V -Enabled Mobile-TV RF Front-End With TV-GSM Interoperability in 1-V 90-nm CMOS. Mak, P.-I., +, TMTT July 2010 1664-1676 A Spur-Reduced Multimode Power-Level Tracking Power Amplifier Using a Frequency-Hopping DC–DC Converter. Chen, J.-H., +, TMTT May 2010 1333-1338 An Area-Efficient 0.13-m CMOS Multiband WCDMA/HSDPA Receiver. Moon, H., +, TMTT May 2010 1447-1455 Design of Highly Efficient Load Modulation Transmitter for Wideband Cellular Applications. Nemati, H. M., +, TMTT Nov. 2010 2820-2828
2
+ Check author entry for coauthors
4171
Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters. Lee, S., +, TMTT July 2010 1748-1757 Ceramic packaging A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials. Tsai, C.-H., +, TMTT Jan. 2010 195-202 Design and Analysis of Vertical Split Ring Resonator and Its Application to Unbalanced–Balanced Filter. Tamura, M., +, TMTT Jan. 2010 157-164 Design of Symmetric Trisection Filters for Compact Low-Temperature Co-Fired Ceramic Realization. Hoft, M., +, TMTT Jan. 2010 165-175 Space Mapping Design Framework Exploiting Tuning Elements. Cheng, Q. S., +, TMTT Jan. 2010 136-144 Super Compact Low-Temperature Co-Fired Ceramic Bandpass Filters Using the Hybrid Resonator. Yang, T., +, TMTT Nov. 2010 2896-2907 Ceramics A Substrate-Integrated Evanescent-Mode Waveguide Filter With Nonresonating Node in Low-Temperature Co-Fired Ceramic. Wu, L.-S., +, TMTT Oct. 2010 2654-2662 Determination of the Gyrotropic Characteristics of Hexaferrite Ceramics From 75 to 600 GHz. Yang, B., +, TMTT Dec. 2010 3587-3597 Ferrite-Filled Antisymmetrically Biased Rectangular Waveguide Isolator Using Magnetostatic Surface Wave Modes. Seewald, C. K., +, TMTT June 2010 1493-1501 High-Performance 1.5–2.5-GHz RF-MEMS Tunable Filters for Wireless Applications. El-Tanani, M. A., +, TMTT June 2010 1629-1637 Temperature Dependence of Resonances in Metamaterials. Varadan, V. V., +, TMTT Oct. 2010 2673-2681 Chalcogenide glasses Accuracy of Waveform Spectrum Analysis for Ultrashort Optical Pulses. Pelusi, M. D., +, TMTT Nov. 2010 3059-3070 Channel allocation Reconfigurable Radio-Over-Fiber Networks: Multiple-Access Functionality Directly Over the Optical Layer. Vegas Olmos, J. J., +, TMTT Nov. 2010 3001-3010 Channel spacing Multichannel Digitized RF-Over-Fiber Transmission Based on Bandpass Sampling and FPGA. Yang, Y., +, TMTT Nov. 2010 3181-3188 Charge pumps A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump. Cha, J., +, TMTT Dec. 2010 3626-3633 Charge transfer A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump. Cha, J., +, TMTT Dec. 2010 3626-3633 Chebyshev approximation Impedance-Transforming Symmetric and Asymmetric DC Blocks. Ahn, H.-R., +, TMTT Sept. 2010 2463-2474 Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz. Khalil, A. H., +, TMTT Dec. 2010 3925-3935 Scalar Measurement-Based Algorithm for Automated Filter Tuning of Integrated Chebyshev Tunable Filters. Zahirovic, N., +, TMTT Dec. 2010 3749-3759 Synthesis and Design of Generalized Chebyshev Wideband Hybrid Ring Based Bandpass Filters With a Controllable Transmission Zero Pair. Li, J.-Y., +, TMTT Dec. 2010 3720-3731 Tunable Bandpass and Bandstop Filters Based on Dual-Band Combline Structures. Abunjaileh, A. I., +, TMTT Dec. 2010 3710-3719 Chebyshev filters Impedance-Transforming Symmetric and Asymmetric DC Blocks. Ahn, H.-R., +, TMTT Sept. 2010 2463-2474 Chemical products Qualitative and Quantitative Detection of Pesticides With Terahertz TimeDomain Spectroscopy. Hua, Y., +, TMTT July 2010 2064-2070 Chirp modulation An Unbalanced Temporal Pulse-Shaping System for Chirped Microwave Waveform Generation. Li, M., +, TMTT Nov. 2010 2968-2975 Fiber-Based Photonic Generation of High-Frequency Microwave Pulses With Reconfigurable Linear Chirp Control. Ashrafi, R., +, TMTT Nov. 2010 3312-3319 Circuit CAD 65-, 45-, and 32-nm Aluminium and Copper Transmission-Line Model at Millimeter-Wave Frequencies. Quemerais, T., +, TMTT Sept. 2010 24262433
4172
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling. Kabir, H., +, TMTT Jan. 2010 145-156 Circuit feedback A Direct-Conversion CMOS RF Receiver Reconfigurable From 2 to 6 GHz. Park, J., +, TMTT Sept. 2010 2326-2333 Analysis and Design of a 1.6–28-GHz Compact Wideband LNA in 90-nm CMOS Using a -Match Input Network. Chen, H.-K., +, TMTT Aug. 2010 2092-2104 Analysis and Design of a CMOS UWB LNA With Dual-RLC -Branch Wideband Input Matching Network. Lin, Y.-S., +, TMTT Feb. 2010 287-296 Circuit layout The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media. Aronsson, J., +, TMTT May 2010 1175-1188 Circuit noise Evaluation of Tuner-Based Noise-Parameter Extraction Methods for Very Low Noise Amplifiers. Belostotski, L., +, TMTT Jan. 2010 236-250 Integral-Equation Equivalent-Circuit Method for Modeling of Noise Coupling in Multilayered Power Distribution Networks. Wei, X.-C., +, TMTT March 2010 559-565 Pole-Perturbation Theory for Nonlinear Noise Analysis of All-Pole RF MEMS Tunable Filters. Sekar, V., +, TMTT Sept. 2010 2475-2489 Circuit optimization A Transimpedance Amplifier With a Tunable Bandwidth in 0.18-m CMOS. Chien, C.-K., +, TMTT March 2010 498-505 Robust Trust-Region Space-Mapping Algorithms for Microwave Design Optimization. Koziel, S., +, TMTT Aug. 2010 2166-2174 Circuit reliability DC-Preserving Passivity Enforcement for S -Parameter Based Macromodels. Deschrijver, D., +, TMTT April 2010 923-928 Circuit resonance Experimental Characterization of Optically Tunable High-Temperature Superconducting Microwave Resonators and Delay Lines. Atikian, H. A., +, TMTT Nov. 2010 3320-3326 Circuit simulation 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56 A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials. Tsai, C.-H., +, TMTT Jan. 2010 195-202 An Electronic Circuit System for Time-Reversal of Ultra-Wideband Short Impulses Based on Frequency-Domain Approach. Zhai, H., +, TMTT Jan. 2010 74-86 Closed-Form Expressions for the Equivalent Circuit Model of Square-Waveguide T-Junctions and Its Application in Ortho-Mode Transducer Design. Tao, Y., +, TMTT May 2010 1167-1174 Circuit stability Analysis, Design, and Evaluation of LDMOS FETs for RF Power Applications up to 6 GHz. Gruner, D., +, TMTT Dec. 2010 4022-4030 Stability Analysis of Nonlinear Circuits Driven With Modulated Signals. Ramirez, F., +, TMTT April 2010 929-940 Unconditional Stability Boundaries of a Three-Port Network. Kuo, R.-F., +, TMTT Feb. 2010 363-371 Circuit theory Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model. Medina, F., +, TMTT Jan. 2010 105-115 Magnetic Metamaterials as 1-D Data Transfer Channels: An Application for Magneto-Inductive Waves. Stevens, C. J., +, TMTT May 2010 1248-1256 Circuit tuning A Direct-Conversion CMOS RF Receiver Reconfigurable From 2 to 6 GHz. Park, J., +, TMTT Sept. 2010 2326-2333 A Transimpedance Amplifier With a Tunable Bandwidth in 0.18-m CMOS. Chien, C.-K., +, TMTT March 2010 498-505 An Automatically Tunable Cavity Resonator System. Ouedraogo, R. O., +, TMTT April 2010 894-902 Evaluation of Tuner-Based Noise-Parameter Extraction Methods for Very Low Noise Amplifiers. Belostotski, L., +, TMTT Jan. 2010 236-250 Experimental Characterization of Optically Tunable High-Temperature Superconducting Microwave Resonators and Delay Lines. Atikian, H. A., +, TMTT Nov. 2010 3320-3326 Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems. Djoumessi, E. E., +, TMTT Jan. 2010 87-94
+ Check author entry for coauthors
Low-Loss Frequency-Agile Bandpass Filters With Controllable Bandwidth and Suppressed Second Harmonic. Zhang, X. Y., +, TMTT June 2010 15571564 Photonic Technique for the Measurement of Frequency and Power of Multiple Microwave Signals. Vidal, B., +, TMTT Nov. 2010 3103-3108 Pole-Perturbation Theory for Nonlinear Noise Analysis of All-Pole RF MEMS Tunable Filters. Sekar, V., +, TMTT Sept. 2010 2475-2489 Space Mapping Design Framework Exploiting Tuning Elements. Cheng, Q. S., +, TMTT Jan. 2010 136-144 Circular waveguides Circular Waveguide With DB-Boundary Conditions. Lindell, I. V., +, TMTT April 2010 903-909 Closed-Form Green’s Functions in Planar Layered Media for All Ranges and Materials. Alparslan, A., +, TMTT March 2010 602-613 Modes Using Y-Type Power Dividers. Generating Pure Circular TE Chang, T.-H., +, TMTT June 2010 1543-1550 High-Dimensional Neural-Network Technique and Applications to Microwave Filter Modeling. Kabir, H., +, TMTT Jan. 2010 145-156 Photonic-Crystal-Based Polarization Converter for Terahertz Integrated Circuit. Bayat, K., +, TMTT July 2010 1976-1984 Study of Extraordinary Transmission in a Circular Waveguide System. Medina, F., +, TMTT June 2010 1532-1542 Circulators Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects. Smith, N. A., +, TMTT Dec. 2010 3824-3831 Clamps A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS. Tsai, M.-H., +, TMTT Dec. 2010 4004-4011 Clocks A Differential Pair-Based Direct Digital Synthesizer MMIC With 16.8-GHz Clock and 488-mW Power Consumption. Laemmle, B., +, TMTT May 2010 1375-1383 A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump. Cha, J., +, TMTT Dec. 2010 3626-3633 CMOS analog integrated circuits A 5.5-mW 9.4-dBm IIP3 1.8-dB NF CMOS LNA Employing Multiple Gated Transistors With Capacitance Desensitization. Jin, T. H., +, TMTT Oct. 2010 2529-2537 A 90-nm CMOS Power Amplifier for 802.16e (WiMAX) Applications. Degani, O., +, TMTT May 2010 1431-1437 A CMOS Broadband Low-Noise Mixer With Noise Cancellation. Ho, S. S. K., +, TMTT May 2010 1126-1132 A CMOS Integrated Analog Pulse Compressor for MIMO Radar Applications. Lee, S. M., +, TMTT April 2010 747-756 A Compact 0.1–14-GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS. Chang, P.-Y., +, TMTT Oct. 2010 2575-2581 A Direct-Conversion CMOS RF Receiver Reconfigurable From 2 to 6 GHz. Park, J., +, TMTT Sept. 2010 2326-2333 A Low-Power Full-Band Low-Noise Amplifier for Ultra-Wideband Receivers. Weng, R.-M., +, TMTT Aug. 2010 2077-2083 A Transimpedance Amplifier With a Tunable Bandwidth in 0.18-m CMOS. Chien, C.-K., +, TMTT March 2010 498-505 Design and Characterization of W -Band SiGe RFICs for Passive Millimeter-Wave Imaging. May, J. W., +, TMTT May 2010 1420-1430 Large Improvement in Image Rejection of Double-Quadrature Dual-Conversion Low-IF Architectures. Syu, J.-S., +, TMTT July 2010 1703-1712 RF CMOS Parametric Downconverters. Magierowski, S., +, TMTT March 2010 518-528 CMOS digital integrated circuits 6-bit CMOS Digital Attenuators With Low Phase Variations for X -Band Phased-Array Systems. Ku, B.-H., +, TMTT July 2010 1651-1663 A Bidirectional TX/RX Four-Element Phased Array at 60 GHz With RF-IF Conversion Block in 90-nm CMOS Process. Cohen, E., +, TMTT May 2010 1438-1446 A CMOS Wideband RF Front-End With Mismatch Calibrated Harmonic Rejection Mixer for Terrestrial Digital TV Tuner Applications. Cha, H.-K., +, TMTT Aug. 2010 2143-2151 A Digital Frequency Synthesizer for Cognitive Radio Spectrum Sensing Applications. Rapinoja, T., +, TMTT May 2010 1339-1348 A Dual-Band Millimeter-Wave CMOS Oscillator With Left-Handed Resonator. Yu, A. H.-T., +, TMTT May 2010 1401-1409 CMOS integrated circuits 2-D Electrical Interferometer: A Novel High-Speed Quantizer. Tousi, Y. M., +, TMTT Oct. 2010 2549-2561
+
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56 65-, 45-, and 32-nm Aluminium and Copper Transmission-Line Model at Millimeter-Wave Frequencies. Quemerais, T., +, TMTT Sept. 2010 24262433 A 2 V -Enabled Mobile-TV RF Front-End With TV-GSM Interoperability in 1-V 90-nm CMOS. Mak, P.-I., +, TMTT July 2010 1664-1676 A V -Band Switched Beam-Forming Antenna Module Using Absorptive Switch Integrated With 4 4 Butler Matrix in 0.13-m CMOS. Choi, W., +, TMTT Dec. 2010 4052-4059 A 0.18-m CMOS RF Transceiver With Self-Detection and Calibration Functions for Bluetooth V2.1 + EDR Applications. Hu, W.-Y., +, TMTT May 2010 1367-1374 A 1.6–3.2-GHz Sixth-Order +13.1-dBm OIP3 Linear Phase g -C Filter for Fiber-Optic EDC Receivers. Baranauskas, D., +, TMTT May 2010 13141322 A 3–5-GHz UWB Front-End for Low-Data Rate WPANs in 90-nm CMOS. Cavallaro, M., +, TMTT April 2010 854-865 A 5.8 GHz Integrated CMOS Dedicated Short Range Communication Transceiver for the Korea/Japan Electronic Toll Collection System. Kwon, K., +, TMTT Nov. 2010 2751-2763 A 56-GHz LC-Tank VCO With 17% Tuning Range in 65-nm Bulk CMOS for Wireless HDMI. Gonzalez, J. L., +, TMTT May 2010 1359-1366 A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio. Juntunen, E., +, TMTT Feb. 2010 348-355 A 9-pJ/Pulse 1.42-Vpp OOK CMOS UWB Pulse Generator for the 3.1–10.6-GHz FCC Band. Bourdel, S., +, TMTT Jan. 2010 65-73 A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios. Chuang, K., +, TMTT Dec. 2010 4060-4071 A CMOS Class-E Power Amplifier With Voltage Stress Relief and Enhanced Efficiency. Song, Y., +, TMTT Feb. 2010 310-317 A Dual-Band CMOS CDMA Transmitter Without External SAW Filtering. Farazian, M., +, TMTT May 2010 1349-1358 A High-Efficiency, Broadband CMOS Power Amplifier for Cognitive Radio Applications. Huang, P.-C., +, TMTT Dec. 2010 3556-3565 A New Six-Port Transformer Modeling Methodology Applied to 10-dBm 60-GHz CMOS ASK Modulator Designs. Brinkhoff, J., +, TMTT Feb. 2010 297-309 A Resistively Degenerated Wideband Passive Mixer With Low Noise Figure and High IIP . Kim, N., +, TMTT April 2010 820-830 A V-Band 8 8 CMOS Butler Matrix MMIC. Chin, T.-Y., +, TMTT Dec. 2010 3538-3546 A Watt-Level Stacked-FET Linear Power Amplifier in Silicon-on-Insulator CMOS. Pornpromlikit, S., +, TMTT Jan. 2010 57-64 An Area-Efficient 0.13-m CMOS Multiband WCDMA/HSDPA Receiver. Moon, H., +, TMTT May 2010 1447-1455 An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands S Through K . Dal Toso, S., +, TMTT July 2010 1686-1695 Analysis and Design of a 1.6–28-GHz Compact Wideband LNA in 90-nm CMOS Using a -Match Input Network. Chen, H.-K., +, TMTT Aug. 2010 2092-2104 Analysis and Design of a Chip Filter With Low Insertion Loss and Two Adjustable Transmission Zeros Using 0.18-m CMOS Technology. Yang, C.-L., +, TMTT Jan. 2010 176-184 Analysis and Design of a CMOS UWB LNA With Dual-RLC -Branch Wideband Input Matching Network. Lin, Y.-S., +, TMTT Feb. 2010 287-296 Analysis and Design of Two Low-Power Ultra-Wideband CMOS Low-Noise Amplifiers With Out-Band Rejection. Liang, C.-P., +, TMTT Feb. 2010 277-286 Analytical Modeling of Multilayered Coplanar Waveguides Including Ferromagnetic Thin Films on Semiconductor Substrates. Benevent, E., +, TMTT March 2010 645-650 Capacitive RF MEMS Switches Fabricated in Standard 0.35-m CMOS Technology. Fouladi, S., +, TMTT Feb. 2010 478-486 CMOS Active Quasi-Circulator With Dual Transmission Gains Incorporating Feedforward Technique at K -Band. Wu, H.-S., +, TMTT Aug. 2010 2084-2091 CMOS DSB Transmitter With Low TX Noise for UHF RFID Reader System-on-Chip. Choi, K., +, TMTT Dec. 2010 3467-3474 Compact Millimeter-Wave CMOS Bandpass Filters Using Grounded Pedestal Stepped-Impedance Technique. Chang, S.-C., +, TMTT Dec. 2010 3850-3858
2
2
2
+ Check author entry for coauthors
4173
Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers. Tiemeijer, L. F., +, TMTT June 2010 1599-1608 Design of a 4 10 Gb/s VCSEL Driver Using Asymmetric Emphasis Technique in 90-nm CMOS for Optical Interconnection. Ohhata, K., +, TMTT May 2010 1107-1115 Design of an On-Chip Balun With a Minimum Amplitude Imbalance Using a Symmetric Stack Layout. Hsu, H.-M., +, TMTT April 2010 814-819 Distributed MEMS Tunable Impedance-Matching Network Based on Suspended Slow-Wave Structure Fabricated in a Standard CMOS Technology. Fouladi, S., +, TMTT April 2010 1056-1064 Harmonic Generation Using Nonlinear LC Lattices. Lilis, G. N., +, TMTT July 2010 1713-1723 High-Sensitivity Software-Configurable 5.8-GHz Radar Sensor Receiver Chip in 0.13-m CMOS for Noncontact Vital Sign Detection. Li, C., +, TMTT May 2010 1410-1419 Integrated Active Pulsed Reflector for an Indoor Local Positioning System. Wehrli, S., +, TMTT Feb. 2010 267-276 Low-Noise Amplifier Design With Dual Reactive Feedback for Broadband Simultaneous Noise and Impedance Matching. Fu, C.-T., +, TMTT April 2010 795-806 Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters. Lee, S., +, TMTT July 2010 1748-1757 Wide-IF-Band CMOS Mixer Design. Chiang, P.-Y., +, TMTT April 2010 831-840 Wideband Common-Gate CMOS LNA Employing Dual Negative Feedback With Simultaneous Noise, Gain, and Bandwidth Optimization. Kim, J., +, TMTT Sept. 2010 2340-2351 CMOS technology A V-Band 8 8 CMOS Butler Matrix MMIC. Chin, T.-Y., +, TMTT Dec. 2010 3538-3546 Coatings Characterization of Extraordinary Transmission for a Single Subwavelength Slit: A Fabry-Pérot-Like Formula Model. Qi, Y., +, TMTT Dec. 2010 36573665 Coaxial cables A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables. Villegas, F. J., +, TMTT April 2010 1003-1011 Micro-Coaxial Impedance Transformers. Ehsan, N., +, TMTT Nov. 2010 2908-2914 Coaxial components Corrections to “Modified Adaptive Prototype Inclusive of the External Couplings for the Design of Coaxial Filters” [Sep 07 1905-1911]. Morini, A., +, TMTT Sept. 2010 2518 Code division multiple access A Dual-Band CMOS CDMA Transmitter Without External SAW Filtering. Farazian, M., +, TMTT May 2010 1349-1358 A Novel Alternating and Outphasing Modulator for Wireless Transmitter. Zhou, Y., +, TMTT Feb. 2010 324-330 A Spur-Reduced Multimode Power-Level Tracking Power Amplifier Using a Frequency-Hopping DC–DC Converter. Chen, J.-H., +, TMTT May 2010 1333-1338 A Technique for Implementing Wide Dynamic-Range Polar Transmitters. Chen, J.-H., +, TMTT Sept. 2010 2368-2374 Accurate Power Efficiency Estimation of GHz Wireless Delta-Sigma Transmitters for Different Classes of Switching Mode Power Amplifiers. Ghannouchi, F. M., +, TMTT Nov. 2010 2812-2819 Adaptive Digital Predistortion of Wireless Power Amplifiers/Transmitters Using Dynamic Real-Valued Focused Time-Delay Line Neural Networks. Rawat, M., +, TMTT Jan. 2010 95-104 Adaptive Input-Power Distribution in Doherty Power Amplifiers for Linearity and Efficiency Enhancement. Nick, M., +, TMTT Nov. 2010 27642771 An Area-Efficient 0.13-m CMOS Multiband WCDMA/HSDPA Receiver. Moon, H., +, TMTT May 2010 1447-1455 Automated Broadband High-Dynamic-Range Nonlinear Distortion Measurement System. Wilkerson, J. R., +, TMTT May 2010 1273-1282 Design of Highly Efficient Load Modulation Transmitter for Wideband Cellular Applications. Nemati, H. M., +, TMTT Nov. 2010 2820-2828 Efficiency Enhancement of Feedforward Amplifiers by Employing a Negative Group-Delay Circuit. Choi, H., +, TMTT May 2010 1116-1125 High-Performance 1.5–2.5-GHz RF-MEMS Tunable Filters for Wireless Applications. El-Tanani, M. A., +, TMTT June 2010 1629-1637 Linearization of Efficiency-Optimized Dynamic Load Modulation Transmitter Architectures. Cao, H., +, TMTT April 2010 873-881
2
2
4174
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Cognitive radio A Digital Frequency Synthesizer for Cognitive Radio Spectrum Sensing Applications. Rapinoja, T., +, TMTT May 2010 1339-1348 Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems. Djoumessi, E. E., +, TMTT Jan. 2010 87-94 Localization and Fingerprint of Radio Signals Employing a Multichannel Photonic Analog-to-Digital Converter. Llorente, R., +, TMTT Nov. 2010 3304-3311 Comb filters Tunable Programmable Microwave Photonic Filters Based on an Optical Frequency Comb. Hamidi, E., +, TMTT Nov. 2010 3269-3278 Comparators (circuits) Amplitude Offset Estimation by Phase Comparison in Suppression Loops. Warr, P. A., +, TMTT July 2010 1742-1747 Compensation An Efficient Algebraic Method for the Passivity Enforcement of Macromodels. Gao, S., +, TMTT July 2010 1830-1839 Complexity theory Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers. Liu, H., +, TMTT Dec. 2010 3697-3709 Composite materials Broadband Characterization of Bulk and Thin Magnetic Composites Using Stripline Structures. Chung, J.-Y., +, TMTT Nov. 2010 2960-2967 Greatly Enhanced Permeability and Expanded Bandwidth for Spinel Ferrite Composites With Flaky Fillers. Li, Z. W., +, TMTT Nov. 2010 2794-2799 On a Method to Reduce Uncertainties in Bulk Property Measurements of Two-Component Composites. Engstrom, C., +, TMTT Feb. 2010 434-439 Computational complexity A Comparative Analysis of the Complexity/Accuracy Tradeoff in Power Amplifier Behavioral Models. Tehrani, A. S., +, TMTT June 2010 15101520 The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media. Aronsson, J., +, TMTT May 2010 1175-1188 Computational electromagnetics Preconditioned Second-Order Multi-Point Passive Model Reduction for Electromagnetic Simulations. Narayanan, T. V., +, TMTT Nov. 2010 2856-2866 The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media. Aronsson, J., +, TMTT May 2010 1175-1188 Computational modeling Fast Electromagnetics-Based Co-Simulation of Linear Network and Nonlinear Circuits for the Analysis of High-Speed Integrated Circuits. He, Q., +, TMTT Dec. 2010 3677-3687 Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model. Cunha, T. R., +, TMTT Dec. 2010 4012-4021 Computer architecture A UWB-IR Transmitter Using Frequency Conversion in Nonlinear Transmission Lines With 16 pJ/pulse Energy Consumption. Lyon, K. G., +, TMTT Dec. 2010 3617-3625 Conductors A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation. Al-Qedra, M., +, TMTT Dec. 2010 3872-3881 Fabrication, Modeling, and Characterization of High-Aspect-Ratio Coplanar Waveguide. Todd, S. T., +, TMTT Dec. 2010 3790-3800 Conductors (electric) Arbitrary Electromagnetic Conductor Boundaries Using Faraday Rotation in a Grounded Ferrite Slab. Shahvarpour, A., +, TMTT Nov. 2010 2781-2793 Fields at a Finite Conducting Wedge and Applications in Interconnect Modeling. Demeester, T., +, TMTT Aug. 2010 2158-2165 Single-Ended and Differential Radial Power Combiners Implemented With a Compact Broadband Probe. Hong, Y.-P., +, TMTT June 2010 1565-1572 Conformal mapping Analysis and Synthesis of Double-Sided Parallel-Strip Transitions. Carro, P. L., +, TMTT Feb. 2010 372-380 Continuous time filters A 1.6–3.2-GHz Sixth-Order 13.1-dBm OIP3 Linear Phase g -C Filter for Fiber-Optic EDC Receivers. Baranauskas, D., +, TMTT May 2010 13141322
H
H
+
+ Check author entry for coauthors
Convergence Eigenvalue Analysis and Longtime Stability of Resonant Structures for the Meshless Radial Point Interpolation Method in Time Domain. Kaufmann, T., +, TMTT Dec. 2010 3399-3408 Large Overlapping Subdomain Method of Moments for the Analysis of Frequency Selective Surfaces. Fallahi, A., +, TMTT Aug. 2010 2175-2187 Robust Trust-Region Space-Mapping Algorithms for Microwave Design Optimization. Koziel, S., +, TMTT Aug. 2010 2166-2174 Converters A CMOS Active Feedback Balun-LNA With High IIP2 for Wideband Digital TV Receivers. Im, D., +, TMTT Dec. 2010 3566-3579 Coplanar transmission lines 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56 New CMOS-Compatible Micromachined Embedded Coplanar Waveguide. Lin, C.-P., +, TMTT Sept. 2010 2511-2516 Coplanar waveguides 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56 A 10-mW Submillimeter-Wave Solid-State Power-Amplifier Module. Radisic, V., +, TMTT July 2010 1903-1909 A Methodology for Combined Modeling of Skin, Proximity, Edge, and Surface Roughness Effects. Curran, B., +, TMTT Sept. 2010 2448-2455 A Waveguide to Unenclosed Coplanar Waveguide Transition. Reck, T., +, TMTT Sept. 2010 2420-2425 An Approximate Analytical Model for the Quasi-Static Parameters of Elevated CPW Lines. McGregor, I., +, TMTT Dec. 2010 3809-3814 Analytical Modeling of Multilayered Coplanar Waveguides Including Ferromagnetic Thin Films on Semiconductor Substrates. Benevent, E., +, TMTT March 2010 645-650 Distributed MEMS Tunable Impedance-Matching Network Based on Suspended Slow-Wave Structure Fabricated in a Standard CMOS Technology. Fouladi, S., +, TMTT April 2010 1056-1064 Experimental Characterization of Optically Tunable High-Temperature Superconducting Microwave Resonators and Delay Lines. Atikian, H. A., +, TMTT Nov. 2010 3320-3326 Fabrication, Modeling, and Characterization of High-Aspect-Ratio Coplanar Waveguide. Todd, S. T., +, TMTT Dec. 2010 3790-3800 Microwave Power Limiting Devices Based on the Semiconductor–Metal Transition in Vanadium–Dioxide Thin Films. Givernaud, J., +, TMTT Sept. 2010 2352-2361 Miniaturized Coupled-Line Couplers Using Uniplanar Synthesized Coplanar Waveguides. Wang, C.-C., +, TMTT Aug. 2010 2266-2276 New CMOS-Compatible Micromachined Embedded Coplanar Waveguide. Lin, C.-P., +, TMTT Sept. 2010 2511-2516 Novel Wideband Transition Between Coplanar Waveguide and Microstrip Line. Bulja, S., +, TMTT July 2010 1851-1857 Optimization of a Photonically Controlled Microwave Switch and Attenuator. Flemish, J. R., +, TMTT Oct. 2010 2582-2588 Realization of a Calculable RF Power Standard in Coplanar Technology on Alumina Substrate. Ziade, F., +, TMTT June 2010 1592-1598 Copper 65-, 45-, and 32-nm Aluminium and Copper Transmission-Line Model at Millimeter-Wave Frequencies. Quemerais, T., +, TMTT Sept. 2010 24262433 Correlation Millimeter-Wave Interferometric Angular Velocity Detection. Nanzer, J. A., +, TMTT Dec. 2010 4128-4136 Millimeter-Wave Interferometric Angular Velocity Detection. Nanzer, J. A., +, TMTT Dec. 2010 4128-4136 Coupled circuits Dual-Band Branch-Line Coupler With Port Extensions. Kim, H., +, TMTT March 2010 651-655 Generalized Miniaturization Method for Coupled-Line Bandpass Filters by Reactive Loading. Lee, S., +, TMTT Sept. 2010 2383-2391 Impedance-Transforming Symmetric and Asymmetric DC Blocks. Ahn, H.-R., +, TMTT Sept. 2010 2463-2474 Integral-Equation Equivalent-Circuit Method for Modeling of Noise Coupling in Multilayered Power Distribution Networks. Wei, X.-C., +, TMTT March 2010 559-565 Investigation of a Wideband 90 Hybrid Coupler With an Arbitrary Coupling Level. Chiu, L., +, TMTT April 2010 1022-1029 New Multiband Coupling Matrix Synthesis Technique and Its Microstrip Implementation. Kuo, Y.-T., +, TMTT July 2010 1840-1850
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
On the Applications of the Coupled-Line Composite Right/Left-Handed Unit Cell. Fouda, A. E., +, TMTT June 2010 1584-1591 Coupled transmission lines Design and Analysis of Vertical Split Ring Resonator and Its Application to Unbalanced–Balanced Filter. Tamura, M., +, TMTT Jan. 2010 157-164 Group-Delay Engineered Noncommensurate Transmission Line All-Pass Network for Analog Signal Processing. Gupta, S., +, TMTT Sept. 2010 2392-2407 Couplers Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects. Smith, N. A., +, TMTT Dec. 2010 3824-3831 A Dual-Band Ring-Resonator Bandpass Filter Based on Two Pairs of Degenerate Modes. Luo, S., +, TMTT Dec. 2010 3427-3432 A High-Efficiency, Broadband CMOS Power Amplifier for Cognitive Radio Applications. Huang, P.-C., +, TMTT Dec. 2010 3556-3565 Nonstandard Hybrid and Crossover Design With Branch-Line Structures. Yao, J. J., +, TMTT Dec. 2010 3801-3808 Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept. Duran-Sindreu, M., +, TMTT Dec. 2010 3882-3891 A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes. Bastioli, S., +, TMTT Dec. 2010 3909-3917 A Tunable Bandpass-to-Bandstop Reconfigurable Filter With Independent Bandwidths and Tunable Response Shape. Naglich, E. J., +, TMTT Dec. 2010 3770-3779 A V-Band 8 8 CMOS Butler Matrix MMIC. Chin, T.-Y., +, TMTT Dec. 2010 3538-3546 Analysis of a Reconfigurable Bandpass Circular Patch Filter. Serrano, A. L. C., +, TMTT Dec. 2010 3918-3924 Bandpass–Bandstop Filter Cascade Performance Over Wide Frequency Tuning Ranges. Naglich, E. J., +, TMTT Dec. 2010 3945-3953 Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz. Khalil, A. H., +, TMTT Dec. 2010 3925-3935 Scalar Measurement-Based Algorithm for Automated Filter Tuning of Integrated Chebyshev Tunable Filters. Zahirovic, N., +, TMTT Dec. 2010 3749-3759 Synthesis of Star-Junction Multiplexers. Macchiarella, G., +, TMTT Dec. 2010 3732-3741 Covariance analysis Covariance-Based Vector-Network-Analyzer Uncertainty Analysis for Time- and Frequency-Domain Measurements. Lewandowski, A., +, TMTT July 2010 1877-1886 Crosstalk A New 12-Term Open–Short–Load De-Embedding Method for Accurate On-Wafer Characterization of RF MOSFET Structures. Tiemeijer, L. F., +, TMTT Feb. 2010 419-433 Cryogenics Ultra-Low Vibration Pulse-Tube Cryocooler Stabilized Cryogenic Sapphire Oscillator With 10 Fractional Frequency Stability. Hartnett, J. G., +, TMTT Dec. 2010 3580-3586 Ultra-Wideband Chip Attenuator for Precise Noise Measurements at Cryogenic Temperatures. Cano, J. L., +, TMTT Sept. 2010 2504-2510 Cryptographic protocols Analysis of Passive Optical Networks for Subcarrier Multiplexed Quantum Key Distribution. Capmany, J., +, TMTT Nov. 2010 3220-3228 Current density A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation. Al-Qedra, M., +, TMTT Dec. 2010 3872-3881 Space-Charge Plane-Wave Interaction at Semiconductor Substrate Boundary. Elabyad, I. A., +, TMTT Oct. 2010 2609-2618 Current distribution Fields at a Finite Conducting Wedge and Applications in Interconnect Modeling. Demeester, T., +, TMTT Aug. 2010 2158-2165 Current measurement A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS. Tsai, M.-H., +, TMTT Dec. 2010 4004-4011 Safe Operating Area of GaAs HBTs Based on Sub-Nanosecond Pulse Characteristics. Jin, R., +, TMTT Dec. 2010 3996-4003 Curve fitting A Comprehensive Technique to Determine the Broadband Physically Consistent Material Characteristics of Microstrip Lines. Zhou, Z., +, TMTT Jan. 2010 185-194
2
+ Check author entry for coauthors
4175
CW radar Integrated Active Pulsed Reflector for an Indoor Local Positioning System. Wehrli, S., +, TMTT Feb. 2010 267-276 D Data communication Nonlinearity and Noise Effects in Multi-Level Signal Millimeter-Wave Over Fiber Transmission Using Single and Dual Wavelength Modulation. James, J., +, TMTT Nov. 2010 3189-3198 DC-DC power converters A Spur-Reduced Multimode Power-Level Tracking Power Amplifier Using a Frequency-Hopping DC–DC Converter. Chen, J.-H., +, TMTT May 2010 1333-1338 Delamination A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables. Villegas, F. J., +, TMTT April 2010 1003-1011 Delay circuits Automated Broadband High-Dynamic-Range Nonlinear Distortion Measurement System. Wilkerson, J. R., +, TMTT May 2010 1273-1282 Efficiency Enhancement of Feedforward Amplifiers by Employing a Negative Group-Delay Circuit. Choi, H., +, TMTT May 2010 1116-1125 Delay effects Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects. Smith, N. A., +, TMTT Dec. 2010 3824-3831 New Isolation Circuits of Compact Impedance-Transforming 3-dB Baluns for Theoretically Perfect Isolation and Matching. Ahn, H.-R., +, TMTT Dec. 2010 3892-3902 Delay lines Adaptive Digital Predistortion of Wireless Power Amplifiers/Transmitters Using Dynamic Real-Valued Focused Time-Delay Line Neural Networks. Rawat, M., +, TMTT Jan. 2010 95-104 Design and Measurement of a Doppler Radar With New Quadrature Hybrid Mixer for Vehicle Applications. Ho, T.-H., +, TMTT Jan. 2010 1-8 Nonuniformly Spaced Photonic Microwave Delay-Line Filters and Applications. Dai, Y., +, TMTT Nov. 2010 3279-3289 Delta-sigma modulation Accurate Power Efficiency Estimation of GHz Wireless Delta-Sigma Transmitters for Different Classes of Switching Mode Power Amplifiers. Ghannouchi, F. M., +, TMTT Nov. 2010 2812-2819 Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters. Lee, S., +, TMTT July 2010 1748-1757 Demodulation 10-Gbit/s Quadrature Phase-Shift-Keying Modulator and Demodulator for 120-GHz-Band Wireless Links. Takahashi, H., +, TMTT Dec. 2010 40724078 A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio. Juntunen, E., +, TMTT Feb. 2010 348-355 A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios. Chuang, K., +, TMTT Dec. 2010 4060-4071 A Novel Vital-Sign Sensor Based on a Self-Injection-Locked Oscillator. Wang, F.-K., +, TMTT Dec. 2010 4112-4120 A Phase-Modulation I/Q-Demodulation Microwave-to-Digital Photonic Link. Clark , T. R., +, TMTT Nov. 2010 3039-3058 Quadratic Electrooptic Effect for Frequency Down-Conversion. Li, Y., +, TMTT March 2010 665-673 Design methodology Design Considerations for Octave-Band Phase Shifters Using Discrete Components. Tang, X., +, TMTT Dec. 2010 3459-3466 Detection algorithms A System-Level Simulation Framework for UWB Localization. Kuhn, M. J., +, TMTT Dec. 2010 3527-3537 Dielectric constant Propagation Behavior of Multilayer Microstrips Applied to Interconnects Running Near Embedded Integrated Components. Cauwe, M., +, TMTT Dec. 2010 3842-3849 Dielectric losses Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz. Khalil, A. H., +, TMTT Dec. 2010 3925-3935 Dielectric materials 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56
4176
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
A Comprehensive Technique to Determine the Broadband Physically Consistent Material Characteristics of Microstrip Lines. Zhou, Z., +, TMTT Jan. 2010 185-194 Capacitive RF MEMS Switches Fabricated in Standard 0.35-m CMOS Technology. Fouladi, S., +, TMTT Feb. 2010 478-486 Extraction of Dielectric Constant and Loss Tangent Using New Rapid Plane Solver and Analytical Debye Modeling for Printed Circuit Boards. Engin, A. E., +, TMTT Jan. 2010 211-219 Dielectric measurements Measurement of Dielectric Properties of Nematic Liquid Crystals at Millimeter Wavelength. Bulja, S., +, TMTT Dec. 2010 3493-3501 Dielectric properties An Experimental Technique for Characterizing Slow-Wave Characteristics of MIS-Like Transmission Lines Using Aqueous Dielectrics. Prodromakis, T., +, TMTT April 2010 985-993 Exploring Joint Tissues With Microwave Imaging. Salvador, S. M., +, TMTT Aug. 2010 2307-2313 Dielectric resonators Anisotropic 3-D Composite Right/Left-Handed Metamaterial Structures Using Dielectric Resonators and Conductive Mesh Plates. Ueda, T., +, TMTT July 2010 1766-1773 Whispering Gallery Mode Hemisphere Dielectric Resonators With Impedance Plane. Barannik, A. A., +, TMTT Oct. 2010 2682-2691 Dielectric waveguides A 2-D Artificial Dielectric With 0 n < 1 for the Terahertz Region. Mendis, R., +, TMTT July 2010 1993-1998 Dielectrics A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies. Paffi, A., +, TMTT Dec. 2010 4086-4093 An Approximate Analytical Model for the Quasi-Static Parameters of Elevated CPW Lines. McGregor, I., +, TMTT Dec. 2010 3809-3814 Characterization of Extraordinary Transmission for a Single Subwavelength Slit: A Fabry-Pérot-Like Formula Model. Qi, Y., +, TMTT Dec. 2010 36573665 Hybrid Mode Matching Method for the Efficient Analysis of Metal and Dielectric Rods in H Plane Rectangular Waveguide Devices. Bachiller, C., +, TMTT Dec. 2010 3634-3644 Measurement of Dielectric Properties of Nematic Liquid Crystals at Millimeter Wavelength. Bulja, S., +, TMTT Dec. 2010 3493-3501 Measurements of Planar Metal–Dielectric Structures Using Split-Post Dielectric Resonators. Krupka, J., +, TMTT Dec. 2010 3511-3518 Differential amplifiers A 20-Gs/s Track-and-Hold Amplifier in InP HBT Technology. Yamanaka, S., +, TMTT Sept. 2010 2334-2339 A CMOS Class-E Power Amplifier With Voltage Stress Relief and Enhanced Efficiency. Song, Y., +, TMTT Feb. 2010 310-317 A Jitter-Optimized Differential 40-Gbit/s Transimpedance Amplifier in SiGe BiCMOS. Knochenhauer, C., +, TMTT Oct. 2010 2538-2548 Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers. Tiemeijer, L. F., +, TMTT June 2010 1599-1608 Frequency-Offset Cartesian Feedback Based on Polyphase Difference Amplifiers. Zanchi, M. G., +, TMTT May 2010 1297-1308 Differential phase shift keying Data Transmission Using Differential Phase-Shift Keying on a 92 GHz Carrier. Ridgway, R. W., +, TMTT Nov. 2010 3117-3126 Digital filters A Quadrature Charge-Domain Filter With Frequency Downconversion for RF Receivers. Huang, M.-F., +, TMTT May 2010 1323-1332 Digital radio A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio. Juntunen, E., +, TMTT Feb. 2010 348-355 Digital television A CMOS Wideband RF Front-End With Mismatch Calibrated Harmonic Rejection Mixer for Terrestrial Digital TV Tuner Applications. Cha, H.-K., +, TMTT Aug. 2010 2143-2151 Digital TV A CMOS Active Feedback Balun-LNA With High IIP2 for Wideband Digital TV Receivers. Im, D., +, TMTT Dec. 2010 3566-3579 Digital video broadcasting Demonstration of the Transportation of a Microwave Environment Over an Optical IP Network. Shoji, Y., +, TMTT Nov. 2010 3237-3247 Digital-analog conversion Multichannel Digitized RF-Over-Fiber Transmission Based on Bandpass Sampling and FPGA. Yang, Y., +, TMTT Nov. 2010 3181-3188
+ Check author entry for coauthors
Dipole antenna arrays A Near-Field Probe for Subwavelength-Focused Imaging. Markley, L., +, TMTT March 2010 551-558 Dipole antennas A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing. Park, P., +, TMTT May 2010 1456-1463 Digitally Driven Antenna for HF Transmission. Keller, S. D., +, TMTT Sept. 2010 2362-2367 Irradiation of a Six-Layered Spherical Model of Human Head in the Near Field of a Half-Wave Dipole Antenna. Khodabakhshi, H., +, TMTT March 2010 680-690 Direct digital synthesis A Differential Pair-Based Direct Digital Synthesizer MMIC With 16.8-GHz Clock and 488-mW Power Consumption. Laemmle, B., +, TMTT May 2010 1375-1383 Directional couplers A Novel Miniaturized Forward-Wave Directional Coupler With Periodical Mushroom-Shaped Ground Plane. Hsu, S.-K., +, TMTT Aug. 2010 22772283 Miniaturized Coupled-Line Couplers Using Uniplanar Synthesized Coplanar Waveguides. Wang, C.-C., +, TMTT Aug. 2010 2266-2276 Miniaturized Quasi-Lumped Coupled-Line Single-Section and Multisection Directional Couplers. Wincza, K., +, TMTT Nov. 2010 2924-2931 On the Applications of the Coupled-Line Composite Right/Left-Handed Unit Cell. Fouda, A. E., +, TMTT June 2010 1584-1591 Directive antennas Integrated Active Pulsed Reflector for an Indoor Local Positioning System. Wehrli, S., +, TMTT Feb. 2010 267-276 Discrete cosine transforms Analysis and Improvement of Direct-Conversion Transmitter Pulling Effects in Constant Envelope Modulation Systems. Hsiao, C.-H., +, TMTT Dec. 2010 4137-4146 Discrete systems Contributions to the Modeling and Design of Reconfigurable Reflecting Cells Embedding Discrete Control Elements. Perruisseau-Carrier, J., +, TMTT June 2010 1621-1628 Discrete time systems A Rigorous Analysis of a Phase-Locked Oscillator Under Injection. Li, C.-J., +, TMTT May 2010 1391-1400 Discriminators Phase-Noise Measurement of Microwave Oscillators Using Phase-Shifterless Delay-Line Discriminator. Gheidi, H., +, TMTT Feb. 2010 468-477 Dispersion (wave) Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis. Hashemi, M. R. M., +, TMTT Dec. 2010 3645-3656 Dimensional Synthesis of Symmetric Wideband Waveguide Cross-Coupled Filters Without Global Full-Wave Optimization. Zhang, Q., +, TMTT Dec. 2010 3742-3748 Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects. Smith, N. A., +, TMTT Dec. 2010 3824-3831 Propagation Characteristics of Pseudochiral Microstrip Lines. Hatefi Ardakani, H., +, TMTT Dec. 2010 3409-3416 Visual Observations of Characteristic Behaviors of RF Waves in CRLH-TLs and Their Applications to Dispersion Characterizations. Tsuchiya, M., +, TMTT Dec. 2010 4094-4101 Formulas for the Number of Surface Waves on Layered Structures. Valerio, G., +, TMTT July 2010 1786-1795 High-Frequency Pulse Distortion on a Lossy Microstrip Line With a Top Cover. Bernal, J., +, TMTT July 2010 1774-1785 Dispersive media Tunable Programmable Microwave Photonic Filters Based on an Optical Frequency Comb. Hamidi, E., +, TMTT Nov. 2010 3269-3278 Distance measurement 3–5 GHz UWB Impulse Radio Transmitter and Receiver MMIC Optimized for Long Range Precision Wireless Sensor Networks. Xia, J., +, TMTT Dec. 2010 4040-4051 Distortion Linearization of Efficiency-Optimized Dynamic Load Modulation Transmitter Architectures. Cao, H., +, TMTT April 2010 873-881 Long-Reach Multicast High Split-Ratio Wired and Wireless WDM-PON Using SOA for Remote Upconversion. Xu, L., +, TMTT Nov. 2010 31363143
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Distributed amplifiers Cascaded Constructive Wave Amplification. Buckwalter, J. F., +, TMTT March 2010 506-517 Distributed feedback lasers Design Methodology and Architectures to Reduce the Semiconductor Laser Phase Noise Using Electrical Feedforward Schemes. Aflatouni, F., +, TMTT Nov. 2010 3290-3303 Hybrid Continuous-Wave Demodulating Multipixel Terahertz Imaging Systems. Friederich, F., +, TMTT July 2010 2022-2026 Doppler effect An Experimental Study of WiMAX-Based Passive Radar. Wang, Q., +, TMTT Dec. 2010 3502-3510 Doppler radar Design and Measurement of a Doppler Radar With New Quadrature Hybrid Mixer for Vehicle Applications. Ho, T.-H., +, TMTT Jan. 2010 1-8 Microwave Human Vocal Vibration Signal Detection Based on Doppler Radar Technology. Lin, C.-S., +, TMTT Aug. 2010 2299-2306 Dosimetry A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis. Merla, C., +, TMTT March 2010 691-698 Driver circuits A 44-GHz SiGe BiCMOS Phase-Shifting Sub-Harmonic Up-Converter for Phased-Array Transmitters. Kim, S., +, TMTT May 2010 1089-1099 A Low-Power CMOS Antenna-Switch Driver Using Shared-Charge Recycling Charge Pump. Cha, J., +, TMTT Dec. 2010 3626-3633 A UWB-IR Transmitter Using Frequency Conversion in Nonlinear Transmission Lines With 16 pJ/pulse Energy Consumption. Lyon, K. G., +, TMTT Dec. 2010 3617-3625 Design of a 4 10 Gb/s VCSEL Driver Using Asymmetric Emphasis Technique in 90-nm CMOS for Optical Interconnection. Ohhata, K., +, TMTT May 2010 1107-1115 Dual band A Dual-Band Ring-Resonator Bandpass Filter Based on Two Pairs of Degenerate Modes. Luo, S., +, TMTT Dec. 2010 3427-3432 Analytical Design Method of Multiway Dual-Band Planar Power Dividers With Arbitrary Power Division. Wu, Y., +, TMTT Dec. 2010 3832-3841 Microwave Dual-Band Bandpass Planar Filters Based on Generalized Branch-Line Hybrids. Gomez-Garcia, R., +, TMTT Dec. 2010 3760-3769 Tunable Bandpass and Bandstop Filters Based on Dual-Band Combline Structures. Abunjaileh, A. I., +, TMTT Dec. 2010 3710-3719 Duality (mathematics) Circular Waveguide With DB-Boundary Conditions. Lindell, I. V., +, TMTT April 2010 903-909
2
E
Eigenvalues and eigenfunctions A New Type of Periodically Loaded Half-Mode Substrate Integrated Waveguide and Its Applications. Wu, L.-S., +, TMTT April 2010 882-893 A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch. Helszajn, J., +, TMTT June 2010 1485-1492 Eigenvalue Analysis and Longtime Stability of Resonant Structures for the Meshless Radial Point Interpolation Method in Time Domain. Kaufmann, T., +, TMTT Dec. 2010 3399-3408 Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers. Liu, H., +, TMTT Dec. 2010 3697-3709 On Passivity Characterization of Symmetric Rational Macromodels. GrivetTalocia, S., +, TMTT May 2010 1238-1247 Electric admittance Closed-Form Expressions for the Equivalent Circuit Model of Square-Waveguide T-Junctions and Its Application in Ortho-Mode Transducer Design. Tao, Y., +, TMTT May 2010 1167-1174 Electric breakdown Safe Operating Area of GaAs HBTs Based on Sub-Nanosecond Pulse Characteristics. Jin, R., +, TMTT Dec. 2010 3996-4003 Electric current Extraction of Equivalent Network of Arbitrarily Shaped Power-Ground Planes With Narrow Slots Using a Novel Integral Equation Method. Wei, X.-C., +, TMTT Nov. 2010 2850-2855
H
+ Check author entry for coauthors
H
4177
Electric impedance A Multimode/Multiband Power Amplifier With a Boosted Supply Modulator. Kang, D., +, TMTT Oct. 2010 2598-2608 High-Selectivity Tunable Bandpass Filters With Harmonic Suppression. Zhang, X. Y., +, TMTT April 2010 964-969 Electric potential Drifting-Dipole Noise (DDN) Model of MOSFETs for Microwave Circuit Design. Nguyen, G. D., +, TMTT Dec. 2010 3433-3443 Integrated 585-GHz Hot-Electron Mixer Focal-Plane Arrays Based on Annular Slot Antennas for Imaging Applications. Liu, L., +, TMTT July 2010 1943-1951 Electric resistance Layout Optimization of Small-Size Ferroelectric Parallel-Plate Varactors. Norling, M., +, TMTT June 2010 1475-1484 Electric variables measurement An Experimental Concentric Near-Field Plate. Imani, M. F., +, TMTT Dec. 2010 3982-3988 Electrical conductivity transitions Microwave Power Limiting Devices Based on the Semiconductor–Metal Transition in Vanadium–Dioxide Thin Films. Givernaud, J., +, TMTT Sept. 2010 2352-2361 Electro-optical devices Hybrid Continuous-Wave Demodulating Multipixel Terahertz Imaging Systems. Friederich, F., +, TMTT July 2010 2022-2026 Electro-optical effects Design Methodology and Architectures to Reduce the Semiconductor Laser Phase Noise Using Electrical Feedforward Schemes. Aflatouni, F., +, TMTT Nov. 2010 3290-3303 Quadratic Electrooptic Effect for Frequency Down-Conversion. Li, Y., +, TMTT March 2010 665-673 Electro-optical modulation Extraction of Intrinsic and Extrinsic Parameters in Electroabsorption Modulators. Yanez, M., +, TMTT Aug. 2010 2284-2291 Live Electrooptic Imaging of -Band Waves. Tsuchiya, M., +, TMTT Nov. 2010 3011-3021 Quadratic Electrooptic Effect for Frequency Down-Conversion. Li, Y., +, TMTT March 2010 665-673 Single-Sideband Electro-Optical Modulator and Tunable Microwave Photonic Receiver. Savchenkov, A. A., +, TMTT Nov. 2010 3167-3174 Tunable Subterahertz Wave Generation Based on Photonic Frequency Sextupling Using a Polarization Modulator and a Wavelength-Fixed Notch Filter. Pan, S., +, TMTT July 2010 1967-1975 Electroabsorption A Novel Analog Broadband RF Predistortion Circuit to Linearize ElectroAbsorption Modulators in Multiband OFDM Radio-Over-Fiber Systems. Shen, Y., +, TMTT Nov. 2010 3327-3335 Extraction of Intrinsic and Extrinsic Parameters in Electroabsorption Modulators. Yanez, M., +, TMTT Aug. 2010 2284-2291 Electrodynamics Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers. Liu, H., +, TMTT Dec. 2010 3697-3709 Whispering Gallery Mode Hemisphere Dielectric Resonators With Impedance Plane. Barannik, A. A., +, TMTT Oct. 2010 2682-2691 Electromagnetic devices Krylov Acceleration Techniques for Time-Reversal Design Applications. Scott, I., +, TMTT April 2010 917-922 Electromagnetic field theory Using the LU Recombination Method to Extend the Application of CircuitOriented Finite Element Methods to Arbitrarily Low Frequencies. Ke, H., +, TMTT May 2010 1189-1195 Electromagnetic fields Whispering Gallery Mode Hemisphere Dielectric Resonators With Impedance Plane. Barannik, A. A., +, TMTT Oct. 2010 2682-2691 Electromagnetic induction Magnetic Metamaterials as 1-D Data Transfer Channels: An Application for Magneto-Inductive Waves. Stevens, C. J., +, TMTT May 2010 1248-1256 Electromagnetic interference Radio-Optical Dual-Mode Communication Modules Integrated With Planar Antennas. Boryssenko, A. O., +, TMTT Feb. 2010 403-410 Electromagnetic scattering Characterization of Extraordinary Transmission for a Single Subwavelength Slit: A Fabry-Pérot-Like Formula Model. Qi, Y., +, TMTT Dec. 2010 36573665
W
H
H
4178
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Electromagnetic wave propagation An Intrinsic Circuit Model for Multiple Vias in an Irregular Plate Pair Through Rigorous Electromagnetic Analysis. Zhang, Y.-J., +, TMTT Aug. 2010 2251-2265 Formulas for the Number of Surface Waves on Layered Structures. Valerio, G., +, TMTT July 2010 1786-1795 High-Frequency Pulse Distortion on a Lossy Microstrip Line With a Top Cover. Bernal, J., +, TMTT July 2010 1774-1785 Homogenized Green’s Functions for an Aperiodic Line Source Over Planar Densely Periodic Artificial Impedance Surfaces. Paulotto, S., +, TMTT July 2010 1807-1817 On the Design of Pulsed Sources and Spread Compensation in Finite-Difference Time-Domain Electromagnetic Simulations. Valcarce, A., +, TMTT Nov. 2010 2838-2849 Waves in a Semiconductor Periodic Layered Resonator. Bulgakov, A. A., +, TMTT Aug. 2010 2152-2157 Electromagnetic wave reflection Comments on “A Novel Vector Network Analyzer”. Hasar, U. C., +, TMTT Sept. 2010 2517 Electromagnetic wave scattering Hybrid Mode Matching and Method of Moments Method for the Full-Wave Analysis of Arbitrarily Shaped Structures Fed Through Canonical Waveguides Using Only Electric Currents. Belenguer, A., +, TMTT March 2010 537-544 Subspace-Based Optimization Method for Reconstruction of 2-D Complex Anisotropic Dielectric Objects. Agarwal, K., +, TMTT April 2010 10651074 Theory of Coupled Resonator Microwave Bandpass Filters of Arbitrary Bandwidth. Amari, S., +, TMTT Aug. 2010 2188-2203 Waves in a Semiconductor Periodic Layered Resonator. Bulgakov, A. A., +, TMTT Aug. 2010 2152-2157 Electromagnetic waves Arbitrary Electromagnetic Conductor Boundaries Using Faraday Rotation in a Grounded Ferrite Slab. Shahvarpour, A., +, TMTT Nov. 2010 2781-2793 De-Embedding Method Using an Electromagnetic Simulator for Characterization of Transistors in the Millimeter-Wave Band. Hirano, T., +, TMTT Oct. 2010 2663-2672 An Experimental Concentric Near-Field Plate. Imani, M. F., +, TMTT Dec. 2010 3982-3988 Characterization of Extraordinary Transmission for a Single Subwavelength Slit: A Fabry-Pérot-Like Formula Model. Qi, Y., +, TMTT Dec. 2010 36573665 Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers. Liu, H., +, TMTT Dec. 2010 3697-3709 Irradiation of a Six-Layered Spherical Model of Human Head in the Near Field of a Half-Wave Dipole Antenna. Khodabakhshi, H., +, TMTT March 2010 680-690 Electron gas Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer. Yang, C. K., +, TMTT May 2010 1077-1088 Electronic engineering computing Adaptive Digital Predistortion of Wireless Power Amplifiers/Transmitters Using Dynamic Real-Valued Focused Time-Delay Line Neural Networks. Rawat, M., +, TMTT Jan. 2010 95-104 Electronics packaging Development of Packaged Ultra-Wideband Bandpass Filters. Han, L., +, TMTT Jan. 2010 220-228 Integral-Equation Equivalent-Circuit Method for Modeling of Noise Coupling in Multilayered Power Distribution Networks. Wei, X.-C., +, TMTT March 2010 559-565 Electrostatic discharge A -Band Two-Antenna Four-Simultaneous Beams SiGe BiCMOS Phased Array Receiver. Kang, D.-W., +, TMTT April 2010 771-780 A Multi-ESD-Path Low-Noise Amplifier With a 4.3-A TLP Current Level in 65-nm CMOS. Tsai, M.-H., +, TMTT Dec. 2010 4004-4011 Electrostatics Capacitive RF MEMS Switches Fabricated in Standard 0.35- m CMOS Technology. Fouladi, S., +, TMTT Feb. 2010 478-486 Elemental semiconductors A Watt-Level Stacked-FET Linear Power Amplifier in Silicon-on-Insulator CMOS. Pornpromlikit, S., +, TMTT Jan. 2010 57-64
H
H
Ku
+ Check author entry for coauthors
Integrated Microwave Photonic Filter on a Hybrid Silicon Platform. Chen, H.-W., +, TMTT Nov. 2010 3213-3219 Embedded systems Impact of Shorting Vias Placement on Embedded Planar Electromagnetic BandGap Structures Within Multilayer Printed Circuit Boards. de Paulis, F., +, TMTT July 2010 1867-1876 Encoding High-Speed Photonic Power-Efficient Ultra-Wideband Transceiver Based on Multiple PM-IM Conversions. Zhou, E., +, TMTT Nov. 2010 3344-3351 Equalizers Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects. Smith, N. A., +, TMTT Dec. 2010 3824-3831 Equivalent circuits A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials. Tsai, C.-H., +, TMTT Jan. 2010 195-202 A Novel Miniaturized Forward-Wave Directional Coupler With Periodical Mushroom-Shaped Ground Plane. Hsu, S.-K., +, TMTT Aug. 2010 22772283 A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables. Villegas, F. J., +, TMTT April 2010 1003-1011 Balanced Bandpass Filters Using Center-Loaded Half-Wavelength Resonators. Shi, J., +, TMTT April 2010 970-977 Closed-Form Expressions for the Equivalent Circuit Model of Square-Waveguide T-Junctions and Its Application in Ortho-Mode Transducer Design. Tao, Y., +, TMTT May 2010 1167-1174 Corrections to “Analytical Extraction of Extrinsic and Intrinsic FET Parameters” [Feb 09 254-261]. Ooi, B. L., +, TMTT Aug. 2010 2314 Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis. Hashemi, M. R. M., +, TMTT Dec. 2010 3645-3656 De-Embedding Method Using an Electromagnetic Simulator for Characterization of Transistors in the Millimeter-Wave Band. Hirano, T., +, TMTT Oct. 2010 2663-2672 Design of Compact Dual-Mode Microstrip Filters. Athukorala, L., +, TMTT Nov. 2010 2888-2895 Development of Packaged Ultra-Wideband Bandpass Filters. Han, L., +, TMTT Jan. 2010 220-228 Digitally Driven Antenna for HF Transmission. Keller, S. D., +, TMTT Sept. 2010 2362-2367 Extraction of Equivalent Network of Arbitrarily Shaped Power-Ground Planes With Narrow Slots Using a Novel Integral Equation Method. Wei, X.-C., +, TMTT Nov. 2010 2850-2855 Identification of Highly Efficient Delay-Rational Macromodels of Long Interconnects From Tabulated Frequency Data. Triverio, P., +, TMTT March 2010 566-577 Integral-Equation Equivalent-Circuit Method for Modeling of Noise Coupling in Multilayered Power Distribution Networks. Wei, X.-C., +, TMTT March 2010 559-565 Physics-Based Inductance Extraction for Via Arrays in Parallel Planes for Power Distribution Network Design. Kim, J., +, TMTT Sept. 2010 24342447 Preconditioned Second-Order Multi-Point Passive Model Reduction for Electromagnetic Simulations. Narayanan, T. V., +, TMTT Nov. 2010 2856-2866 Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer. Yang, C. K., +, TMTT May 2010 1077-1088 Small-Signal Equivalent-Circuit Model and Characterization of 1.55- m Buried Tunnel Junction Vertical-Cavity Surface-Emitting Lasers. Zhu, N. H., +, TMTT May 2010 1283-1289 Error analysis Accuracy Improvement for Line-Series-Shunt Calibration in Broadband Scattering-Parameter Measurements With Applications of On-Wafer Device Characterization. Huang, C. C., +, TMTT Sept. 2010 2497-2503 Analysis of Passive Optical Networks for Subcarrier Multiplexed Quantum Key Distribution. Capmany, J., +, TMTT Nov. 2010 3220-3228 Bit Error Rate Performance Enhancement of a Retrodirective Array Over a Conventional Fixed Beam Array in a Dynamic Multipath Environment. Buchanan, N. B., +, TMTT April 2010 757-763 Frequency-Agile Dual-Band Direct Conversion Receiver for Cognitive Radio Systems. Djoumessi, E. E., +, TMTT Jan. 2010 87-94
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Full-Duplex WDM-Based RoF System Using All-Optical SSB Frequency Upconversion and Wavelength Re-Use Techniques. Kim, H.-J., +, TMTT Nov. 2010 3175-3180 High-Speed Photonic Power-Efficient Ultra-Wideband Transceiver Based on Multiple PM-IM Conversions. Zhou, E., +, TMTT Nov. 2010 3344-3351 Measurement Bandwidth Extension Using Multisine Signals: Propagation of Error. Remley, K. A., +, TMTT Feb. 2010 458-467 Extraterrestrial measurements Compact Orthomode Transducers Using Digital Polarization Synthesis. Morgan, M. A., +, TMTT Dec. 2010 3666-3676
F Fabrication A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation. Lau, J. Y., +, TMTT Dec. 2010 3547-3555 Fabry-Perot resonators A Self-Started Laser Diode Pulsation Based Synthesizer-Free Optical Return-to-Zero On–Off-Keying Data Generator. Chi, Y.-C., +, TMTT Aug. 2010 2292-2298 Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model. Medina, F., +, TMTT Jan. 2010 105-115 Failure analysis A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables. Villegas, F. J., +, TMTT April 2010 1003-1011 Faraday effect A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch. Helszajn, J., +, TMTT June 2010 1485-1492 Arbitrary Electromagnetic Conductor Boundaries Using Faraday Rotation in a Grounded Ferrite Slab. Shahvarpour, A., +, TMTT Nov. 2010 2781-2793 Fast Fourier transforms Digitally Driven Antenna for HF Transmission. Keller, S. D., +, TMTT Sept. 2010 2362-2367 Hybrid Mode Matching Method for the Efficient Analysis of Metal and Dielectric Rods in H Plane Rectangular Waveguide Devices. Bachiller, C., +, TMTT Dec. 2010 3634-3644 Feedback An Automatically Tunable Cavity Resonator System. Ouedraogo, R. O., +, TMTT April 2010 894-902 Frequency-Offset Cartesian Feedback Based on Polyphase Difference Amplifiers. Zanchi, M. G., +, TMTT May 2010 1297-1308 Low-Noise Amplifier Design With Dual Reactive Feedback for Broadband Simultaneous Noise and Impedance Matching. Fu, C.-T., +, TMTT April 2010 795-806 Wideband Common-Gate CMOS LNA Employing Dual Negative Feedback With Simultaneous Noise, Gain, and Bandwidth Optimization. Kim, J., +, TMTT Sept. 2010 2340-2351 Feedforward Design Methodology and Architectures to Reduce the Semiconductor Laser Phase Noise Using Electrical Feedforward Schemes. Aflatouni, F., +, TMTT Nov. 2010 3290-3303 Efficiency Enhancement of Feedforward Amplifiers by Employing a Negative Group-Delay Circuit. Choi, H., +, TMTT May 2010 1116-1125 A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation. Lau, J. Y., +, TMTT Dec. 2010 3547-3555 Ring Resonator Bandpass Filter With Switchable Bandwidth Using SteppedImpedance Stubs. Kim, C. H., +, TMTT Dec. 2010 3936-3944 Ferrite Comments on “Uniform Ferrite-Loaded Open Waveguide Structure With CRLH Response and Its Application to a Novel Backfire-to-Endfire LeakyWave Antenna”. Bray, J. R., +, TMTT May 2010 1309 Ferrite circulators MMIC-Based Quadrature Hybrid Quasi-Circulators for Simultaneous Transmit and Receive. Cheung, S. K., +, TMTT March 2010 489-497 Ferrite isolators Ferrite-Filled Antisymmetrically Biased Rectangular Waveguide Isolator Using Magnetostatic Surface Wave Modes. Seewald, C. K., +, TMTT June 2010 1493-1501 Ferrite-loaded waveguides Authors’ Reply. Kodera, T., +, TMTT May 2010 1310-1311 Ferrites Greatly Enhanced Permeability and Expanded Bandwidth for Spinel Ferrite Composites With Flaky Fillers. Li, Z. W., +, TMTT Nov. 2010 2794-2799 + Check author entry for coauthors
4179
Ferroelectric capacitors Layout Optimization of Small-Size Ferroelectric Parallel-Plate Varactors. Norling, M., +, TMTT June 2010 1475-1484 Ferromagnetic materials Analytical Modeling of Multilayered Coplanar Waveguides Including Ferromagnetic Thin Films on Semiconductor Substrates. Benevent, E., +, TMTT March 2010 645-650 Ferromagnetic resonance Analytical Modeling of Multilayered Coplanar Waveguides Including Ferromagnetic Thin Films on Semiconductor Substrates. Benevent, E., +, TMTT March 2010 645-650 FETs Corrections to “Analytical Extraction of Extrinsic and Intrinsic FET Parameters” [Feb 09 254-261]. Ooi, B. L., +, TMTT Aug. 2010 2314 Fetus Evaluation of Specific Absorption Rate for a Fetus by Portable Radio Terminal Close to the Abdomen of a Pregnant Woman. Akimoto, S., +, TMTT Dec. 2010 3859-3865 Field effect MMIC A CMOS Broadband Low-Noise Mixer With Noise Cancellation. Ho, S. S. K., +, TMTT May 2010 1126-1132 A Compact 0.1–14-GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS. Chang, P.-Y., +, TMTT Oct. 2010 2575-2581 An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands S Through K . Dal Toso, S., +, TMTT July 2010 1686-1695 On the Recovery Time of Highly Robust Low-Noise Amplifiers. Liero, A., +, TMTT April 2010 781-787 Wideband Common-Gate CMOS LNA Employing Dual Negative Feedback With Simultaneous Noise, Gain, and Bandwidth Optimization. Kim, J., +, TMTT Sept. 2010 2340-2351 Field effect transistors Accurate EM-Based Modeling of Cascode FETs. Resca, D., +, TMTT April 2010 719-729 Field programmable gate arrays Low-Cost FPGA Implementation of Volterra Series-Based Digital Predistorter for RF Power Amplifiers. Guan, L., +, TMTT April 2010 866-872 Multichannel Digitized RF-Over-Fiber Transmission Based on Bandpass Sampling and FPGA. Yang, Y., +, TMTT Nov. 2010 3181-3188 Poly-Harmonic Modeling and Predistortion Linearization for Software-Defined Radio Upconverters. Yang, X., +, TMTT Aug. 2010 2125-2133 Filler metals Greatly Enhanced Permeability and Expanded Bandwidth for Spinel Ferrite Composites With Flaky Fillers. Li, Z. W., +, TMTT Nov. 2010 2794-2799 Filtering theory A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials. Tsai, C.-H., +, TMTT Jan. 2010 195-202 A Dual-Band Ring-Resonator Bandpass Filter Based on Two Pairs of Degenerate Modes. Luo, S., +, TMTT Dec. 2010 3427-3432 High-Q RF-MEMS 4–6-GHz Tunable Evanescent-Mode Cavity Filter. Park, S.-J., +, TMTT Feb. 2010 381-389 A New Class of Waveguide Dual-Mode Filters Using TM and Nonresonating Modes. Bastioli, S., +, TMTT Dec. 2010 3909-3917 Analysis and Design of Single-to-Balanced Combline Bandpass Filters With Two Independently Controllable Transmission Zeros in LTCC Technology. Tsai, C.-L., +, TMTT Nov. 2010 2878-2887 Bandpass–Bandstop Filter Cascade Performance Over Wide Frequency Tuning Ranges. Naglich, E. J., +, TMTT Dec. 2010 3945-3953 Dimensional Synthesis of Symmetric Wideband Waveguide Cross-Coupled Filters Without Global Full-Wave Optimization. Zhang, Q., +, TMTT Dec. 2010 3742-3748 Microwave Dual-Band Bandpass Planar Filters Based on Generalized Branch-Line Hybrids. Gomez-Garcia, R., +, TMTT Dec. 2010 3760-3769 New Designs of Bandpass Diplexer and Switchplexer Based on ParallelCoupled Bandpass Filters. Lin, Y.-S., +, TMTT Dec. 2010 3417-3426 Ring Resonator Bandpass Filter With Switchable Bandwidth Using SteppedImpedance Stubs. Kim, C. H., +, TMTT Dec. 2010 3936-3944 Scalar Measurement-Based Algorithm for Automated Filter Tuning of Integrated Chebyshev Tunable Filters. Zahirovic, N., +, TMTT Dec. 2010 3749-3759 Synthesis and Design of Generalized Chebyshev Wideband Hybrid Ring Based Bandpass Filters With a Controllable Transmission Zero Pair. Li, J.-Y., +, TMTT Dec. 2010 3720-3731
4180
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Synthesis of Star-Junction Multiplexers. Macchiarella, G., +, TMTT Dec. 2010 3732-3741 Finite difference methods A 10- High-Voltage Nanosecond Pulse Generator. Merla, C., +, TMTT Dec. 2010 4079-4085 A General Procedure for Introducing Structured Nonorthogonal Discretization Grids Into High-Order Finite-Difference Time-Domain Methods. Armenta, R. B., +, TMTT July 2010 1818-1829 An Efficient Bilateral Dual-Grid-FDTD Approach Applied to On-Body Transmission Analysis and Specific Absorption Rate Computation. Miry, C., +, TMTT Sept. 2010 2375-2382 Computer Simulation of Temperature Distribution of Frozen Material Heated in a Microwave Oven. Watanabe, S., +, TMTT May 2010 1196-1204 Exploring Joint Tissues With Microwave Imaging. Salvador, S. M., +, TMTT Aug. 2010 2307-2313 Extraction of Dielectric Constant and Loss Tangent Using New Rapid Plane Solver and Analytical Debye Modeling for Printed Circuit Boards. Engin, A. E., +, TMTT Jan. 2010 211-219 On the Design of Pulsed Sources and Spread Compensation in Finite-Difference Time-Domain Electromagnetic Simulations. Valcarce, A., +, TMTT Nov. 2010 2838-2849 Photonic-Crystal-Based Polarization Converter for Terahertz Integrated Circuit. Bayat, K., +, TMTT July 2010 1976-1984 Three-Dimensionally Nonorthogonal Alternating-Direction Implicit FiniteDifference Time-Domain Algorithm for the Full-Wave Analysis of Microwave Monolithic Circuit Devices. Zheng, H.-X., +, TMTT Jan. 2010 128-135 Towards the Development of an Unconditionally Stable Time-Domain Meshless Method. Yu, Y., +, TMTT March 2010 578-586 Finite element analysis A Phenomenological Investigation of Anomalous Performance in Flex Coaxial Cables. Villegas, F. J., +, TMTT April 2010 1003-1011 A Waveguide to Unenclosed Coplanar Waveguide Transition. Reck, T., +, TMTT Sept. 2010 2420-2425 Eliminating the Low-Frequency Breakdown Problem in 3-D Full-Wave Finite-Element-Based Analysis of Integrated Circuits. Zhu, J., +, TMTT Oct. 2010 2633-2645 Temperature Dependence of Resonances in Metamaterials. Varadan, V. V., +, TMTT Oct. 2010 2673-2681 Using the LU Recombination Method to Extend the Application of CircuitOriented Finite Element Methods to Arbitrarily Low Frequencies. Ke, H., +, TMTT May 2010 1189-1195 Existence of -Matrix Representations of the Inverse Finite-Element Matrix of Electrodynamic Problems and -Based Fast Direct Finite-Element Solvers. Liu, H., +, TMTT Dec. 2010 3697-3709 Finite impulse response filter Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model. Cunha, T. R., +, TMTT Dec. 2010 4012-4021 FIR filters Nonuniformly Spaced Photonic Microwave Delay-Line Filters and Applications. Dai, Y., +, TMTT Nov. 2010 3279-3289 Firing (materials) A Broadband and Miniaturized Common-Mode Filter for Gigahertz Differential Signals Based on Negative-Permittivity Metamaterials. Tsai, C.-H., +, TMTT Jan. 2010 195-202 Ferrite-Filled Antisymmetrically Biased Rectangular Waveguide Isolator Using Magnetostatic Surface Wave Modes. Seewald, C. K., +, TMTT June 2010 1493-1501 Flicker noise Analysis of Near-Carrier Phase-Noise Spectrum in Free-Running Oscillators in the Presence of White and Colored Noise Sources. Sancho, S., +, TMTT March 2010 587-601 Investigations of AM, PM Noise, and Noise Figure in an SiGe-HBT Amplifier Operating in Linear and Nonlinear Regimes. Garmendia, N., +, TMTT April 2010 807-813 Flip-chip devices Design of Flip-Chip Interconnect Using Epoxy-Based Underfill Up to V -Band Frequencies With Excellent Reliability. Hsu, L.-H., +, TMTT Aug. 2010 2244-2250 Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation. Hsu, L.-H., +, TMTT Sept. 2010 2408-2419
H
+ Check author entry for coauthors
H
FM radar Integrated Active Pulsed Reflector for an Indoor Local Positioning System. Wehrli, S., +, TMTT Feb. 2010 267-276 Focal planes A Novel Approach for Improving Off-Axis Pixel Performance of Terahertz Focal Plane Arrays. Trichopoulos, G. C., +, TMTT July 2010 2014-2021 Integrated 585-GHz Hot-Electron Mixer Focal-Plane Arrays Based on Annular Slot Antennas for Imaging Applications. Liu, L., +, TMTT July 2010 1943-1951 Food safety Qualitative and Quantitative Detection of Pesticides With Terahertz TimeDomain Spectroscopy. Hua, Y., +, TMTT July 2010 2064-2070 Foundries Accurate EM-Based Modeling of Cascode FETs. Resca, D., +, TMTT April 2010 719-729 Fourier series Direct Synthesis of Passband Impedance Matching With Nonuniform Transmission Lines. Hsu, Y.-W., +, TMTT April 2010 1012-1021 Fourier transform spectra A Frequency-Multiplied Source With More Than 1 mW of Power Across the 840–900-GHz Band. Maestrini, A., +, TMTT July 2010 1925-1932 Fourier transforms An Unbalanced Temporal Pulse-Shaping System for Chirped Microwave Waveform Generation. Li, M., +, TMTT Nov. 2010 2968-2975 Frequency agility Low-Loss Frequency-Agile Bandpass Filters With Controllable Bandwidth and Suppressed Second Harmonic. Zhang, X. Y., +, TMTT June 2010 15571564 Frequency control Synthesis and Design of Generalized Chebyshev Wideband Hybrid Ring Based Bandpass Filters With a Controllable Transmission Zero Pair. Li, J.-Y., +, TMTT Dec. 2010 3720-3731 Frequency conversion A Novel Passive RFID Transponder Using Harmonic Generation of Nonlinear Transmission Lines. Yu, F., +, TMTT Dec. 2010 4121-4127 Frequency dividers An Integrated Divide-by-Two Direct Injection-Locking Frequency Divider for Bands S Through K . Dal Toso, S., +, TMTT July 2010 1686-1695 Broadband Compact 180 Hybrid Derived From the Wilkinson Divider. Yang, N., +, TMTT April 2010 1030-1037 Frequency division multiplexing Poly-Harmonic Modeling and Predistortion Linearization for Software-Defined Radio Upconverters. Yang, X., +, TMTT Aug. 2010 2125-2133 Synthesis of Star-Junction Multiplexers. Macchiarella, G., +, TMTT Dec. 2010 3732-3741 Frequency domain analysis A Rigorous Analysis of a Phase-Locked Oscillator Under Injection. Li, C.-J., +, TMTT May 2010 1391-1400 Scalar Measurement-Based Algorithm for Automated Filter Tuning of Integrated Chebyshev Tunable Filters. Zahirovic, N., +, TMTT Dec. 2010 3749-3759 Frequency hop communication Localization and Fingerprint of Radio Signals Employing a Multichannel Photonic Analog-to-Digital Converter. Llorente, R., +, TMTT Nov. 2010 3304-3311 Frequency measurement A Low Phase-Noise VCO Using an Electronically Tunable Substrate Integrated Waveguide Resonator. He, F. F., +, TMTT Dec. 2010 3452-3458 Covariance-Based Vector-Network-Analyzer Uncertainty Analysis for Time- and Frequency-Domain Measurements. Lewandowski, A., +, TMTT July 2010 1877-1886 Photonic Technique for the Measurement of Frequency and Power of Multiple Microwave Signals. Vidal, B., +, TMTT Nov. 2010 3103-3108 Visual Observations of Characteristic Behaviors of RF Waves in CRLH-TLs and Their Applications to Dispersion Characterizations. Tsuchiya, M., +, TMTT Dec. 2010 4094-4101 Frequency modulation A Novel Vital-Sign Sensor Based on a Self-Injection-Locked Oscillator. Wang, F.-K., +, TMTT Dec. 2010 4112-4120 Frequency multipliers A Frequency-Multiplied Source With More Than 1 mW of Power Across the 840–900-GHz Band. Maestrini, A., +, TMTT July 2010 1925-1932 Investigation of Photonically Assisted Microwave Frequency Multiplication Based on External Modulation. Li, W., +, TMTT Nov. 2010 3259-3268
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Physics-Based Design and Optimization of Schottky Diode Frequency Multipliers for Terahertz Applications. Siles, J. V., +, TMTT July 2010 19331942 Frequency response A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch. Helszajn, J., +, TMTT June 2010 1485-1492 Analysis and Design of a CMOS UWB LNA With Dual-RLC -Branch Wideband Input Matching Network. Lin, Y.-S., +, TMTT Feb. 2010 287-296 Harmonic Generation Using Nonlinear LC Lattices. Lilis, G. N., +, TMTT July 2010 1713-1723 Frequency selective surfaces Large Overlapping Subdomain Method of Moments for the Analysis of Frequency Selective Surfaces. Fallahi, A., +, TMTT Aug. 2010 2175-2187 Frequency shift keying A 0.18-m CMOS RF Transceiver With Self-Detection and Calibration Functions for Bluetooth V2.1 EDR Applications. Hu, W.-Y., +, TMTT May 2010 1367-1374 Frequency synthesizers A 3–5-GHz UWB Front-End for Low-Data Rate WPANs in 90-nm CMOS. Cavallaro, M., +, TMTT April 2010 854-865 A 60-GHz 38-pJ/bit 3.5-Gb/s 90-nm CMOS OOK Digital Radio. Juntunen, E., +, TMTT Feb. 2010 348-355 A Digital Frequency Synthesizer for Cognitive Radio Spectrum Sensing Applications. Rapinoja, T., +, TMTT May 2010 1339-1348 An Area-Efficient 0.13-m CMOS Multiband WCDMA/HSDPA Receiver. Moon, H., +, TMTT May 2010 1447-1455 Dimensional Synthesis of Symmetric Wideband Waveguide Cross-Coupled Filters Without Global Full-Wave Optimization. Zhang, Q., +, TMTT Dec. 2010 3742-3748 Self-Calibrated Two-Point Delta–Sigma Modulation Technique for RF Transmitters. Lee, S., +, TMTT July 2010 1748-1757
+
G Gain A 90 nm CMOS Broadband Multi-Mode Mixed-Signal Demodulator for 60 GHz Radios. Chuang, K., +, TMTT Dec. 2010 4060-4071 A Novel Vital-Sign Sensor Based on a Self-Injection-Locked Oscillator. Wang, F.-K., +, TMTT Dec. 2010 4112-4120 A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing. Park, P., +, TMTT May 2010 1456-1463 A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation. Lau, J. Y., +, TMTT Dec. 2010 3547-3555 Compact Orthomode Transducers Using Digital Polarization Synthesis. Morgan, M. A., +, TMTT Dec. 2010 3666-3676 Cost-Effective 60-GHz Antenna Package With End-Fire Radiation for Wireless File-Transfer System. Suga, R., +, TMTT Dec. 2010 3989-3995 Gallium arsenide N -Port T-Networks and Topologically Symmetric Circuit Theory. Rautio, J. C., +, TMTT April 2010 705-709 A Broadband 835–900-GHz Fundamental Balanced Mixer Based on Monolithic GaAs Membrane Schottky Diodes. Thomas, B., +, TMTT July 2010 1917-1924 A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing. Park, P., +, TMTT May 2010 1456-1463 A Multimode/Multiband Power Amplifier With a Boosted Supply Modulator. Kang, D., +, TMTT Oct. 2010 2598-2608 Accuracy Improvement for Line-Series-Shunt Calibration in Broadband Scattering-Parameter Measurements With Applications of On-Wafer Device Characterization. Huang, C. C., +, TMTT Sept. 2010 2497-2503 Compact Low-Loss Tunable X -Band Bandstop Filter With Miniature RF-MEMS Switches. Reines, I., +, TMTT July 2010 1887-1895 Design of Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Aug. 2010 2134-2142 Enhanced Plasma Wave Detection of Terahertz Radiation Using Multiple High Electron-Mobility Transistors Connected in Series. Elkhatib, T. A., +, TMTT Feb. 2010 331-339 Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation. Hsu, L.-H., +, TMTT Sept. 2010 2408-2419 Loop Enhanced Passive Source- and Load-Pull Technique for High Reflection Factor Synthesis. Ghannouchi, F. M., +, TMTT Nov. 2010 2952-2959
+ Check author entry for coauthors
4181
Optical Scanning Techniques for Characterization of Terahertz Photoconductive Antenna Arrays. Tiedje, H. F., +, TMTT July 2010 2040-2045 Gallium compounds Broadband Time-Domain Measurement System for the Characterization of Nonlinear Microwave Devices With Memory. Abouchahine, M., +, TMTT April 2010 1038-1045 Characterization of GaN HEMT Low-Frequency Dispersion Through a Multiharmonic Measurement System. Raffo, A., +, TMTT Sept. 2010 2490-2496 Design of a Highly Efficient 2–4-GHz Octave Bandwidth GaN-HEMT Power Amplifier. Saad, P., +, TMTT July 2010 1677-1685 for OptiInvestigation of a Class-J Power Amplifier With a Nonlinear C mized Operation. Moon, J., +, TMTT Nov. 2010 2800-2811 Nonlinear Dispersive Modeling of Electron Devices Oriented to GaN Power Amplifier Design. Raffo, A., +, TMTT April 2010 710-718 On the Recovery Time of Highly Robust Low-Noise Amplifiers. Liero, A., +, TMTT April 2010 781-787 Parasitic Compensation Design Technique for a C-Band GaN HEMT Class-F Amplifier. Kuroda, K., +, TMTT Nov. 2010 2741-2750 Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer. Yang, C. K., +, TMTT May 2010 1077-1088 Gaussian processes Tunable Programmable Microwave Photonic Filters Based on an Optical Frequency Comb. Hamidi, E., +, TMTT Nov. 2010 3269-3278 Ge-Si alloys A 44-GHz SiGe BiCMOS Phase-Shifting Sub-Harmonic Up-Converter for Phased-Array Transmitters. Kim, S., +, TMTT May 2010 1089-1099 A Differential Pair-Based Direct Digital Synthesizer MMIC With 16.8-GHz Clock and 488-mW Power Consumption. Laemmle, B., +, TMTT May 2010 1375-1383 A Millimeter-Wave (24/31-GHz) Dual-Band Switchable Harmonic Receiver in 0.18-m SiGe Process. El-Nozahi, M., +, TMTT Nov. 2010 2717-2730 Design and Characterization of W -Band SiGe RFICs for Passive Millimeter-Wave Imaging. May, J. W., +, TMTT May 2010 1420-1430 Investigations of AM, PM Noise, and Noise Figure in an SiGe-HBT Amplifier Operating in Linear and Nonlinear Regimes. Garmendia, N., +, TMTT April 2010 807-813 Large Improvement in Image Rejection of Double-Quadrature Dual-Conversion Low-IF Architectures. Syu, J.-S., +, TMTT July 2010 1703-1712 Generators A 10- High-Voltage Nanosecond Pulse Generator. Merla, C., +, TMTT Dec. 2010 4079-4085 Genetic algorithms An Automatically Tunable Cavity Resonator System. Ouedraogo, R. O., +, TMTT April 2010 894-902 Group-Delay Engineered Noncommensurate Transmission Line All-Pass Network for Analog Signal Processing. Gupta, S., +, TMTT Sept. 2010 2392-2407 Precise Evaluation of Coaxial to Waveguide Transitions by Means of Inverse Techniques. Lozano-Guerrero, A. J., +, TMTT Jan. 2010 229-235 Geometry A Two-Port WR75 Waveguide Turnstile Gyromagnetic Switch. Helszajn, J., +, TMTT June 2010 1485-1492 Germanium High Power Silicon-Germanium Photodiodes for Microwave Photonic Applications. Ramaswamy, A., +, TMTT Nov. 2010 3336-3343 Global Positioning System A Low-Power Shoe-Embedded Radar for Aiding Pedestrian Inertial Navigation. Zhou, C., +, TMTT Oct. 2010 2521-2528 Gradient methods Precise Evaluation of Coaxial to Waveguide Transitions by Means of Inverse Techniques. Lozano-Guerrero, A. J., +, TMTT Jan. 2010 229-235 Green’s function methods 3-D Imaging of Inhomogeneous Materials Loaded in a Rectangular Waveguide. Kilic, E., +, TMTT May 2010 1290-1296 A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation. Al-Qedra, M., +, TMTT Dec. 2010 3872-3881 Closed-Form Green’s Functions in Planar Layered Media for All Ranges and Materials. Alparslan, A., +, TMTT March 2010 602-613 Homogenized Green’s Functions for an Aperiodic Line Source Over Planar Densely Periodic Artificial Impedance Surfaces. Paulotto, S., +, TMTT July 2010 1807-1817
4182
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Irradiation of a Six-Layered Spherical Model of Human Head in the Near Field of a Half-Wave Dipole Antenna. Khodabakhshi, H., +, TMTT March 2010 680-690
H
Harmonic analysis Application of Subharmonic Injection Locking of LC Oscillators to LO-Based Phase-Shifting Phased-Array Architectures. Soliman, Y., +, TMTT Dec. 2010 3475-3484 A CMOS Active Feedback Balun-LNA With High IIP2 for Wideband Digital TV Receivers. Im, D., +, TMTT Dec. 2010 3566-3579 A Novel Passive RFID Transponder Using Harmonic Generation of Nonlinear Transmission Lines. Yu, F., +, TMTT Dec. 2010 4121-4127 A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure. Cheng, K.-K. M., +, TMTT Dec. 2010 3903-3908 Intermodulation and Harmonic Distortion in Traveling-Wave Semiconductor Cascade Laser. Hashim, H. H., +, TMTT Nov. 2010 3127-3135 Harmonic generation A Multimode/Multiband Power Amplifier With a Boosted Supply Modulator. Kang, D., +, TMTT Oct. 2010 2598-2608 Harmonic Generation Using Nonlinear LC Lattices. Lilis, G. N., +, TMTT July 2010 1713-1723 for OptiInvestigation of a Class-J Power Amplifier With a Nonlinear C mized Operation. Moon, J., +, TMTT Nov. 2010 2800-2811 Spread Spectrum Orthogonal Frequency Coded SAW Tags and Sensors Using Harmonic Operation. Gallagher, D. R., +, TMTT March 2010 674-679 Harmonics suppression High-Selectivity Tunable Bandpass Filters With Harmonic Suppression. Zhang, X. Y., +, TMTT April 2010 964-969 Poly-Harmonic Modeling and Predistortion Linearization for Software-Defined Radio Upconverters. Yang, X., +, TMTT Aug. 2010 2125-2133 Heat sinks High-Current Back-Illuminated Partially Depleted-Absorber p-i-n Photodiode With Depleted Nonabsorbing Region. Sakai, K., +, TMTT Nov. 2010 3154-3160 Helical antennas Evaluation of Specific Absorption Rate for a Fetus by Portable Radio Terminal Close to the Abdomen of a Pregnant Woman. Akimoto, S., +, TMTT Dec. 2010 3859-3865 Helium Ultra-Low Vibration Pulse-Tube Cryocooler Stabilized Cryogenic Sapphire Fractional Frequency Stability. Hartnett, J. G., +, Oscillator With 10 TMTT Dec. 2010 3580-3586 HEMT integrated circuits On the Recovery Time of Highly Robust Low-Noise Amplifiers. Liero, A., +, TMTT April 2010 781-787 Heterodyne detection Bit-Error-Rate Performance Analysis of Self-Heterodyne Detected RadioOver-Fiber Links Using Phase and Intensity Modulation. Yin, X., +, TMTT Nov. 2010 3229-3236 Heterojunction bipolar transistors A 20-Gs/s Track-and-Hold Amplifier in InP HBT Technology. Yamanaka, S., +, TMTT Sept. 2010 2334-2339 Design of Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Aug. 2010 2134-2142 Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation. Hsu, L.-H., +, TMTT Sept. 2010 2408-2419 Investigations of AM, PM Noise, and Noise Figure in an SiGe-HBT Amplifier Operating in Linear and Nonlinear Regimes. Garmendia, N., +, TMTT April 2010 807-813 Large Improvement in Image Rejection of Double-Quadrature Dual-Conversion Low-IF Architectures. Syu, J.-S., +, TMTT July 2010 1703-1712 Safe Operating Area of GaAs HBTs Based on Sub-Nanosecond Pulse Characteristics. Jin, R., +, TMTT Dec. 2010 3996-4003 HF amplifiers Cascaded Constructive Wave Amplification. Buckwalter, J. F., +, TMTT March 2010 506-517 HF antennas Digitally Driven Antenna for HF Transmission. Keller, S. D., +, TMTT Sept. 2010 2362-2367 + Check author entry for coauthors
High electron mobility transistors 68–110-GHz-Band Low-Noise Amplifier Using Current Reuse Topology. Sato, M., +, TMTT July 2010 1910-1916 A 10-mW Submillimeter-Wave Solid-State Power-Amplifier Module. Radisic, V., +, TMTT July 2010 1903-1909 Accuracy Improvement for Line-Series-Shunt Calibration in Broadband Scattering-Parameter Measurements With Applications of On-Wafer Device Characterization. Huang, C. C., +, TMTT Sept. 2010 2497-2503 Broadband Time-Domain Measurement System for the Characterization of Nonlinear Microwave Devices With Memory. Abouchahine, M., +, TMTT April 2010 1038-1045 Characterization of GaN HEMT Low-Frequency Dispersion Through a Multiharmonic Measurement System. Raffo, A., +, TMTT Sept. 2010 2490-2496 Design of a Highly Efficient 2–4-GHz Octave Bandwidth GaN-HEMT Power Amplifier. Saad, P., +, TMTT July 2010 1677-1685 Enhanced Plasma Wave Detection of Terahertz Radiation Using Multiple High Electron-Mobility Transistors Connected in Series. Elkhatib, T. A., +, TMTT Feb. 2010 331-339 Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation. Hsu, L.-H., +, TMTT Sept. 2010 2408-2419 for OptiInvestigation of a Class-J Power Amplifier With a Nonlinear C mized Operation. Moon, J., +, TMTT Nov. 2010 2800-2811 Parasitic Compensation Design Technique for a C-Band GaN HEMT Class-F Amplifier. Kuroda, K., +, TMTT Nov. 2010 2741-2750 Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer. Yang, C. K., +, TMTT May 2010 1077-1088 High-pass filters UWB Bandpass Filter Using Cascaded Miniature High-Pass and Low-Pass Filters With Multilayer Liquid Crystal Polymer Technology. Hao, Z.-C., +, TMTT April 2010 941-948 High-speed optical techniques Accuracy of Waveform Spectrum Analysis for Ultrashort Optical Pulses. Pelusi, M. D., +, TMTT Nov. 2010 3059-3070 Hot carriers Integrated 585-GHz Hot-Electron Mixer Focal-Plane Arrays Based on Annular Slot Antennas for Imaging Applications. Liu, L., +, TMTT July 2010 1943-1951 Wideband IF-Integrated Terahertz HEB Mixers: Modeling and Characterization. Rodriguez-Morales, F., +, TMTT May 2010 1140-1150 Human factors Millimeter-Wave Interferometric Angular Velocity Detection. Nanzer, J. A., +, TMTT Dec. 2010 4128-4136 Hybrid power systems Microwave Dual-Band Bandpass Planar Filters Based on Generalized Branch-Line Hybrids. Gomez-Garcia, R., +, TMTT Dec. 2010 3760-3769
I Identification Identification of Highly Efficient Delay-Rational Macromodels of Long Interconnects From Tabulated Frequency Data. Triverio, P., +, TMTT March 2010 566-577 IEEE 802.11 Standards A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies. Paffi, A., +, TMTT Dec. 2010 4086-4093 III-V semiconductors N -Port T-Networks and Topologically Symmetric Circuit Theory. Rautio, J. C., +, TMTT April 2010 705-709 A 10-mW Submillimeter-Wave Solid-State Power-Amplifier Module. Radisic, V., +, TMTT July 2010 1903-1909 A 20-Gs/s Track-and-Hold Amplifier in InP HBT Technology. Yamanaka, S., +, TMTT Sept. 2010 2334-2339 A Broadband 835–900-GHz Fundamental Balanced Mixer Based on Monolithic GaAs Membrane Schottky Diodes. Thomas, B., +, TMTT July 2010 1917-1924 A Multimode/Multiband Power Amplifier With a Boosted Supply Modulator. Kang, D., +, TMTT Oct. 2010 2598-2608 Broadband Time-Domain Measurement System for the Characterization of Nonlinear Microwave Devices With Memory. Abouchahine, M., +, TMTT April 2010 1038-1045 Characterization of GaN HEMT Low-Frequency Dispersion Through a Multiharmonic Measurement System. Raffo, A., +, TMTT Sept. 2010 2490-2496
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
X
Compact Low-Loss Tunable -Band Bandstop Filter With Miniature RF-MEMS Switches. Reines, I., +, TMTT July 2010 1887-1895 Design of a Highly Efficient 2–4-GHz Octave Bandwidth GaN-HEMT Power Amplifier. Saad, P., +, TMTT July 2010 1677-1685 Design of Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Aug. 2010 2134-2142 Design of Flip-Chip Interconnect Using Epoxy-Based Underfill Up to V -Band Frequencies With Excellent Reliability. Hsu, L.-H., +, TMTT Aug. 2010 2244-2250 Enhanced Plasma Wave Detection of Terahertz Radiation Using Multiple High Electron-Mobility Transistors Connected in Series. Elkhatib, T. A., +, TMTT Feb. 2010 331-339 Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation. Hsu, L.-H., +, TMTT Sept. 2010 2408-2419 for OptiInvestigation of a Class-J Power Amplifier With a Nonlinear C mized Operation. Moon, J., +, TMTT Nov. 2010 2800-2811 Loop Enhanced Passive Source- and Load-Pull Technique for High Reflection Factor Synthesis. Ghannouchi, F. M., +, TMTT Nov. 2010 2952-2959 On the Recovery Time of Highly Robust Low-Noise Amplifiers. Liero, A., +, TMTT April 2010 781-787 Optical Scanning Techniques for Characterization of Terahertz Photoconductive Antenna Arrays. Tiedje, H. F., +, TMTT July 2010 2040-2045 Pulsed-IV Pulsed-RF Cold-FET Parasitic Extraction of Biased AlGaN/GaN HEMTs Using Large Signal Network Analyzer. Yang, C. K., +, TMTT May 2010 1077-1088 Image color analysis Nonstandard Hybrid and Crossover Design With Branch-Line Structures. Yao, J. J., +, TMTT Dec. 2010 3801-3808 Image processing A Near-Field Probe for Subwavelength-Focused Imaging. Markley, L., +, TMTT March 2010 551-558 Live Electrooptic Imaging of W -Band Waves. Tsuchiya, M., +, TMTT Nov. 2010 3011-3021 Image reconstruction Subspace-Based Optimization Method for Reconstruction of 2-D Complex Anisotropic Dielectric Objects. Agarwal, K., +, TMTT April 2010 10651074 Image resolution An Experimental Concentric Near-Field Plate. Imani, M. F., +, TMTT Dec. 2010 3982-3988 Image sensors Illumination Aspects in Active Terahertz Imaging. von Spiegel, W., +, TMTT July 2010 2008-2013 Live Electrooptic Imaging of W -Band Waves. Tsuchiya, M., +, TMTT Nov. 2010 3011-3021 Imaging 3-D Imaging of Inhomogeneous Materials Loaded in a Rectangular Waveguide. Kilic, E., +, TMTT May 2010 1290-1296 Impedance A 10- High-Voltage Nanosecond Pulse Generator. Merla, C., +, TMTT Dec. 2010 4079-4085 A CMOS Active Feedback Balun-LNA With High IIP2 for Wideband Digital TV Receivers. Im, D., +, TMTT Dec. 2010 3566-3579 A High-Efficiency, Broadband CMOS Power Amplifier for Cognitive Radio Applications. Huang, P.-C., +, TMTT Dec. 2010 3556-3565 A Novel Impedance Definition of a Parallel Plate Pair for an Intrinsic Via Circuit Model. Zhang, Y.-J., +, TMTT Dec. 2010 3780-3789 A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure. Cheng, K.-K. M., +, TMTT Dec. 2010 3903-3908 A Novel Skin-Effect Based Surface Impedance Formulation for Broadband Modeling of 3-D Interconnects With Electric Field Integral Equation. Al-Qedra, M., +, TMTT Dec. 2010 3872-3881 An Approximate Analytical Model for the Quasi-Static Parameters of Elevated CPW Lines. McGregor, I., +, TMTT Dec. 2010 3809-3814 Broadband HBT Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Dec. 2010 4031-4039 Compact Millimeter-Wave CMOS Bandpass Filters Using Grounded Pedestal Stepped-Impedance Technique. Chang, S.-C., +, TMTT Dec. 2010 3850-3858 Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis. Hashemi, M. R. M., +, TMTT Dec. 2010 3645-3656 Dual-Band Impedance Transformer Using Two-Section Shunt Stubs. Chuang, M.-L., +, TMTT May 2010 1257-1263 + Check author entry for coauthors
4183
Impedance-Transforming Symmetric and Asymmetric DC Blocks. Ahn, H.-R., +, TMTT Sept. 2010 2463-2474 Fabrication, Modeling, and Characterization of High-Aspect-Ratio Coplanar Waveguide. Todd, S. T., +, TMTT Dec. 2010 3790-3800 New Isolation Circuits of Compact Impedance-Transforming 3-dB Baluns for Theoretically Perfect Isolation and Matching. Ahn, H.-R., +, TMTT Dec. 2010 3892-3902 Nonstandard Hybrid and Crossover Design With Branch-Line Structures. Yao, J. J., +, TMTT Dec. 2010 3801-3808 Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept. Duran-Sindreu, M., +, TMTT Dec. 2010 3882-3891 Propagation Behavior of Multilayer Microstrips Applied to Interconnects Running Near Embedded Integrated Components. Cauwe, M., +, TMTT Dec. 2010 3842-3849 Synthesis and Design of Generalized Chebyshev Wideband Hybrid Ring Based Bandpass Filters With a Controllable Transmission Zero Pair. Li, J.-Y., +, TMTT Dec. 2010 3720-3731 Micro-Coaxial Impedance Transformers. Ehsan, N., +, TMTT Nov. 2010 2908-2914 Impedance matching A CMOS Active Feedback Balun-LNA With High IIP2 for Wideband Digital TV Receivers. Im, D., +, TMTT Dec. 2010 3566-3579 A High-Efficiency, Broadband CMOS Power Amplifier for Cognitive Radio Applications. Huang, P.-C., +, TMTT Dec. 2010 3556-3565 A Novel Approach for Improving Off-Axis Pixel Performance of Terahertz Focal Plane Arrays. Trichopoulos, G. C., +, TMTT July 2010 2014-2021 Analysis and Design of a CMOS UWB LNA With Dual-RLC -Branch Wideband Input Matching Network. Lin, Y.-S., +, TMTT Feb. 2010 287-296 Broadband HBT Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Dec. 2010 4031-4039 Design of Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Aug. 2010 2134-2142 Digitally Driven Antenna for HF Transmission. Keller, S. D., +, TMTT Sept. 2010 2362-2367 Direct Synthesis of Passband Impedance Matching With Nonuniform Transmission Lines. Hsu, Y.-W., +, TMTT April 2010 1012-1021 Distributed MEMS Tunable Impedance-Matching Network Based on Suspended Slow-Wave Structure Fabricated in a Standard CMOS Technology. Fouladi, S., +, TMTT April 2010 1056-1064 Dual-Band Impedance-Matching Networks Based on Split-Ring Resonators for Applications in RF Identification (RFID). Paredes, F., +, TMTT May 2010 1159-1166 Low-Noise Amplifier Design With Dual Reactive Feedback for Broadband Simultaneous Noise and Impedance Matching. Fu, C.-T., +, TMTT April 2010 795-806 New Isolation Circuits of Compact Impedance-Transforming 3-dB Baluns for Theoretically Perfect Isolation and Matching. Ahn, H.-R., +, TMTT Dec. 2010 3892-3902 Study of Extraordinary Transmission in a Circular Waveguide System. Medina, F., +, TMTT June 2010 1532-1542 Wideband Common-Gate CMOS LNA Employing Dual Negative Feedback With Simultaneous Noise, Gain, and Bandwidth Optimization. Kim, J., +, TMTT Sept. 2010 2340-2351 In vitro A Wire Patch Cell Exposure System for in vitro Experiments at Wi-Fi Frequencies. Paffi, A., +, TMTT Dec. 2010 4086-4093 Indium compounds 68–110-GHz-Band Low-Noise Amplifier Using Current Reuse Topology. Sato, M., +, TMTT July 2010 1910-1916 A 10-mW Submillimeter-Wave Solid-State Power-Amplifier Module. Radisic, V., +, TMTT July 2010 1903-1909 A 20-Gs/s Track-and-Hold Amplifier in InP HBT Technology. Yamanaka, S., +, TMTT Sept. 2010 2334-2339 A Fully Integrated Transmitter with Embedded Antenna for On-Wafer Wireless Testing. Park, P., +, TMTT May 2010 1456-1463 A Multimode/Multiband Power Amplifier With a Boosted Supply Modulator. Kang, D., +, TMTT Oct. 2010 2598-2608 Design of Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Aug. 2010 2134-2142 Flip-Chip-Based Multichip Module for Low Phase-Noise V -Band Frequency Generation. Hsu, L.-H., +, TMTT Sept. 2010 2408-2419
4184
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Indium tin oxide Evaluation of Specific Absorption Rate for a Fetus by Portable Radio Terminal Close to the Abdomen of a Pregnant Woman. Akimoto, S., +, TMTT Dec. 2010 3859-3865 Indoor radio Analysis and Performance of a Smart Antenna for 2.45-GHz Single-Anchor Indoor Positioning. Cidronali, A., +, TMTT Jan. 2010 21-31 Inductance Parasitic Compensation Design Technique for a C-Band GaN HEMT Class-F Amplifier. Kuroda, K., +, TMTT Nov. 2010 2741-2750 Inductors 68–110-GHz-Band Low-Noise Amplifier Using Current Reuse Topology. Sato, M., +, TMTT July 2010 1910-1916 Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis. Hashemi, M. R. M., +, TMTT Dec. 2010 3645-3656 Design Considerations for Octave-Band Phase Shifters Using Discrete Components. Tang, X., +, TMTT Dec. 2010 3459-3466 High-Efficiency Single-Ended Class-E/F Power Amplifier With Finite DC Feed Inductor. You, F., +, TMTT Jan. 2010 32-40 Measuring and Reporting High Quality Factors of Inductors Using Vector Network Analyzers. Kuhn, W. B., +, TMTT April 2010 1046-1055 Miniaturized Coupled-Line Couplers Using Uniplanar Synthesized Coplanar Waveguides. Wang, C.-C., +, TMTT Aug. 2010 2266-2276 Infrared imaging Computer Simulation of Temperature Distribution of Frozen Material Heated in a Microwave Oven. Watanabe, S., +, TMTT May 2010 1196-1204 Inhomogeneous media 3-D Imaging of Inhomogeneous Materials Loaded in a Rectangular Waveguide. Kilic, E., +, TMTT May 2010 1290-1296 Formulas for the Number of Surface Waves on Layered Structures. Valerio, G., +, TMTT July 2010 1786-1795 Homogenized Green’s Functions for an Aperiodic Line Source Over Planar Densely Periodic Artificial Impedance Surfaces. Paulotto, S., +, TMTT July 2010 1807-1817 The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media. Aronsson, J., +, TMTT May 2010 1175-1188 Injection locked oscillators A Millimeter-Wave Self-Oscillating Mixer Using a Mode-Locked Laser. Khawaja, B. A., +, TMTT Nov. 2010 3352-3358 Injection-locked oscillators Application of Subharmonic Injection Locking of LC Oscillators to LO-Based Phase-Shifting Phased-Array Architectures. Soliman, Y., +, TMTT Dec. 2010 3475-3484 Insertion loss A V -Band Switched Beam-Forming Antenna Module Using Absorptive Switch Integrated With 4 4 Butler Matrix in 0.13-m CMOS. Choi, W., +, TMTT Dec. 2010 4052-4059 A 6-Bit CMOS Phase Shifter for S -Band. Meghdadi, M., +, TMTT Dec. 2010 3519-3526 Compact Millimeter-Wave CMOS Bandpass Filters Using Grounded Pedestal Stepped-Impedance Technique. Chang, S.-C., +, TMTT Dec. 2010 3850-3858 Nonstandard Hybrid and Crossover Design With Branch-Line Structures. Yao, J. J., +, TMTT Dec. 2010 3801-3808 Quasi-Elliptic and Chebyshev Compact LTCC Multi-Pole Filters Functioning in the Submillimetric Wave Region at 150 GHz. Khalil, A. H., +, TMTT Dec. 2010 3925-3935 Insulators Analysis of Metal–Insulator–Metal Structure and Its Application to Sensor. Tamura, M., +, TMTT Dec. 2010 3954-3960 Integral equations Efficient Modal Analysis of Periodic Structures Loaded With Arbitrarily Shaped Waveguides. Marini, S., +, TMTT March 2010 529-536 Extraction of Equivalent Network of Arbitrarily Shaped Power-Ground Planes With Narrow Slots Using a Novel Integral Equation Method. Wei, X.-C., +, TMTT Nov. 2010 2850-2855 Integral-Equation Equivalent-Circuit Method for Modeling of Noise Coupling in Multilayered Power Distribution Networks. Wei, X.-C., +, TMTT March 2010 559-565
2
+ Check author entry for coauthors
Integrated circuit design A 5.5-mW 9.4-dBm IIP3 1.8-dB NF CMOS LNA Employing Multiple Gated Transistors With Capacitance Desensitization. Jin, T. H., +, TMTT Oct. 2010 2529-2537 A New Systematic Method for the Modeling, Analysis, and Design of HighSpeed Power-Delivery Networks by Using Distributed Port. Zhang, M.-S., +, TMTT Nov. 2010 2940-2951 Analysis and Design of a Chip Filter With Low Insertion Loss and Two Adjustable Transmission Zeros Using 0.18-m CMOS Technology. Yang, C.-L., +, TMTT Jan. 2010 176-184 Analysis and Design of Two Low-Power Ultra-Wideband CMOS Low-Noise Amplifiers With Out-Band Rejection. Liang, C.-P., +, TMTT Feb. 2010 277-286 Optimized Design of a Highly Efficient Three-Stage Doherty PA Using Gate Adaptation. Kim, I., +, TMTT Oct. 2010 2562-2574 Physics-Based Inductance Extraction for Via Arrays in Parallel Planes for Power Distribution Network Design. Kim, J., +, TMTT Sept. 2010 24342447 Integrated circuit interconnections 3-D CMOS Circuits Based on Low-Loss Vertical Interconnects on Parylene-N. Lahiji, R. R., +, TMTT Jan. 2010 48-56 Dispersion-Equalization Techniques for Substrate Integrated Waveguide Interconnects. Smith, N. A., +, TMTT Dec. 2010 3824-3831 Extraction of Intrinsic and Extrinsic Parameters in Electroabsorption Modulators. Yanez, M., +, TMTT Aug. 2010 2284-2291 Fields at a Finite Conducting Wedge and Applications in Interconnect Modeling. Demeester, T., +, TMTT Aug. 2010 2158-2165 Novel Three-Dimensional Packaging Approaches Using Magnetically Aligned Anisotropic Conductive Adhesive for Microwave Applications. Moon, S., +, TMTT Dec. 2010 3815-3823 Integrated circuit measurement Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers. Tiemeijer, L. F., +, TMTT June 2010 1599-1608 Impedance-Transforming Symmetric and Asymmetric DC Blocks. Ahn, H.-R., +, TMTT Sept. 2010 2463-2474 On the Recovery Time of Highly Robust Low-Noise Amplifiers. Liero, A., +, TMTT April 2010 781-787 Integrated circuit modeling A 10- High-Voltage Nanosecond Pulse Generator. Merla, C., +, TMTT Dec. 2010 4079-4085 A New Six-Port Transformer Modeling Methodology Applied to 10-dBm 60-GHz CMOS ASK Modulator Designs. Brinkhoff, J., +, TMTT Feb. 2010 297-309 A New Systematic Method for the Modeling, Analysis, and Design of HighSpeed Power-Delivery Networks by Using Distributed Port. Zhang, M.-S., +, TMTT Nov. 2010 2940-2951 An Intrinsic Circuit Model for Multiple Vias in an Irregular Plate Pair Through Rigorous Electromagnetic Analysis. Zhang, Y.-J., +, TMTT Aug. 2010 2251-2265 A Novel Impedance Definition of a Parallel Plate Pair for an Intrinsic Via Circuit Model. Zhang, Y.-J., +, TMTT Dec. 2010 3780-3789 A Novel Power Divider Design With Enhanced Spurious Suppression and Simple Structure. Cheng, K.-K. M., +, TMTT Dec. 2010 3903-3908 Analytical Design Method of Multiway Dual-Band Planar Power Dividers With Arbitrary Power Division. Wu, Y., +, TMTT Dec. 2010 3832-3841 Broadband HBT Doherty Power Amplifiers for Handset Applications. Kang, D., +, TMTT Dec. 2010 4031-4039 Coupled Composite Right/Left-Handed Leaky-Wave Transmission Lines Based on Common/Differential-Mode Analysis. Hashemi, M. R. M., +, TMTT Dec. 2010 3645-3656 Dimensional Synthesis of Symmetric Wideband Waveguide Cross-Coupled Filters Without Global Full-Wave Optimization. Zhang, Q., +, TMTT Dec. 2010 3742-3748 Drifting-Dipole Noise (DDN) Model of MOSFETs for Microwave Circuit Design. Nguyen, G. D., +, TMTT Dec. 2010 3433-3443 Fast Electromagnetics-Based Co-Simulation of Linear Network and Nonlinear Circuits for the Analysis of High-Speed Integrated Circuits. He, Q., +, TMTT Dec. 2010 3677-3687 Investigation of Polysilicon Thin-Film Transistor Technology for RF Applications. Chen, Y.-J. E., +, TMTT Dec. 2010 3444-3451 Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept. Duran-Sindreu, M., +, TMTT Dec. 2010 3882-3891
+
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Propagation Behavior of Multilayer Microstrips Applied to Interconnects Running Near Embedded Integrated Components. Cauwe, M., +, TMTT Dec. 2010 3842-3849 Validation and Physical Interpretation of the Power-Amplifier Polar Volterra Model. Cunha, T. R., +, TMTT Dec. 2010 4012-4021 Integrated circuit noise A CMOS Broadband Low-Noise Mixer With Noise Cancellation. Ho, S. S. K., +, TMTT May 2010 1126-1132 Complete On-Wafer Noise-Figure Characterization of 60-GHz Differential Amplifiers. Tiemeijer, L. F., +, TMTT June 2010 1599-1608 On the Recovery Time of Highly Robust Low-Noise Amplifiers. Liero, A., +, TMTT April 2010 781-787 Integrated circuit packaging Extraction of Intrinsic and Extrinsic Parameters in Electroabsorption Modulators. Yanez, M., +, TMTT Aug. 2010 2284-2291 Integrated circuit testing Eliminating the Low-Frequency Breakdown Problem in 3-D Full-Wave Finite-Element-Based Analysis of Integrated Circuits. Zhu, J., +, TMTT Oct. 2010 2633-2645 Integrated optics Integrated 585-GHz Hot-Electron Mixer Focal-Plane Arrays Based on Annular Slot Antennas for Imaging Applications. Liu, L., +, TMTT July 2010 1943-1951 Quadratic Electrooptic Effect for Frequency Down-Conversion. Li, Y., +, TMTT March 2010 665-673 Integrated optoelectronics Integrated Microwave Photonic Filter on a Hybrid Silicon Platform. Chen, H.-W., +, TMTT Nov. 2010 3213-3219 Tunable and Reconfigurable Photonic Signal Processor With Programmable All-Optical Complex Coefficients. Yi, X., +, TMTT Nov. 2010 3088-3093 Intensity modulation High-Speed Photonic Power-Efficient Ultra-Wideband Transceiver Based on Multiple PM-IM Conversions. Zhou, E., +, TMTT Nov. 2010 3344-3351 Interconnections Identification of Highly Efficient Delay-Rational Macromodels of Long Interconnects From Tabulated Frequency Data. Triverio, P., +, TMTT March 2010 566-577 The Barnes–Hut Hierarchical Center-of-Charge Approximation for Fast Capacitance Extraction in Multilayered Media. Aronsson, J., +, TMTT May 2010 1175-1188 Interference A System-Level Simulation Framework for UWB Localization. Kuhn, M. J., +, TMTT Dec. 2010 3527-3537 Intermodulation A CMOS Broadband Low-Noise Mixer With Noise Cancellation. Ho, S. S. K., +, TMTT May 2010 1126-1132 Theoretical and Experimental Investigation of the Modulated Scattering Antenna Array for Mobile Terminal Applications. He, M., +, TMTT Oct. 2010 2589-2597 Intermodulation distortion A 5.5-mW 9.4-dBm IIP3 1.8-dB NF CMOS LNA Employing Multiple Gated Transistors With Capacitance Desensitization. Jin, T. H., +, TMTT Oct. 2010 2529-2537 Automated Broadband High-Dynamic-Range Nonlinear Distortion Measurement System. Wilkerson, J. R., +, TMTT May 2010 1273-1282 Intermodulation and Harmonic Distortion in Traveling-Wave Semiconductor Cascade Laser. Hashim, H. H., +, TMTT Nov. 2010 3127-3135 Linear–Nonlinear Interaction and Passive Intermodulation Distortion. Henrie, J. J., +, TMTT May 2010 1230-1237 Poly-Harmonic Modeling and Predistortion Linearization for Software-Defined Radio Upconverters. Yang, X., +, TMTT Aug. 2010 2125-2133 Interpolation Eigenvalue Analysis and Longtime Stability of Resonant Structures for the Meshless Radial Point Interpolation Method in Time Domain. Kaufmann, T., +, TMTT Dec. 2010 3399-3408 Passivity-Preserving Parametric Macromodeling for Highly Dynamic Tabulated Data Based on Lur’e Equations. Ferranti, F., +, TMTT Dec. 2010 3688-3696 Towards the Development of an Unconditionally Stable Time-Domain Meshless Method. Yu, Y., +, TMTT March 2010 578-586 Inverse problems On a Method to Reduce Uncertainties in Bulk Property Measurements of Two-Component Composites. Engstrom, C., +, TMTT Feb. 2010 434-439
+
+ Check author entry for coauthors
4185
Precise Evaluation of Coaxial to Waveguide Transitions by Means of Inverse Techniques. Lozano-Guerrero, A. J., +, TMTT Jan. 2010 229-235 Inverters Dimensional Synthesis of Symmetric Wideband Waveguide Cross-Coupled Filters Without Global Full-Wave Optimization. Zhang, Q., +, TMTT Dec. 2010 3742-3748 Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept. Duran-Sindreu, M., +, TMTT Dec. 2010 3882-3891 Investigation of a Wideband 90 Hybrid Coupler With an Arbitrary Coupling Level. Chiu, L., +, TMTT April 2010 1022-1029 IP networks Demonstration of the Transportation of a Microwave Environment Over an Optical IP Network. Shoji, Y., +, TMTT Nov. 2010 3237-3247 Isolation technology Capacitive RF MEMS Switches Fabricated in Standard 0.35-m CMOS Technology. Fouladi, S., +, TMTT Feb. 2010 478-486 Iterative methods Preconditioned Second-Order Multi-Point Passive Model Reduction for Electromagnetic Simulations. Narayanan, T. V., +, TMTT Nov. 2010 2856-2866
J Jacobian matrices Three-Dimensionally Nonorthogonal Alternating-Direction Implicit FiniteDifference Time-Domain Algorithm for the Full-Wave Analysis of Microwave Monolithic Circuit Devices. Zheng, H.-X., +, TMTT Jan. 2010 128-135 Jitter A Jitter-Optimized Differential 40-Gbit/s Transimpedance Amplifier in SiGe BiCMOS. Knochenhauer, C., +, TMTT Oct. 2010 2538-2548 Junctions Synthesis of Star-Junction Multiplexers. Macchiarella, G., +, TMTT Dec. 2010 3732-3741
K Kramers-Kronig relations A Unique Extraction of Metamaterial Parameters Based on Kramers–Kronig Relationship. Szabo, Z., +, TMTT Oct. 2010 2646-2653
L Ladder filters Pole-Perturbation Theory for Nonlinear Noise Analysis of All-Pole RF MEMS Tunable Filters. Sekar, V., +, TMTT Sept. 2010 2475-2489 Ladder networks Miniaturized Transmission Lines Based on Hybrid Lattice-Ladder Topology. Koochakzadeh, M., +, TMTT April 2010 949-955 Parasitic Compensation Design Technique for a C-Band GaN HEMT Class-F Amplifier. Kuroda, K., +, TMTT Nov. 2010 2741-2750 Laplace equations A 3-D Microdosimetric Study on Blood Cells: A Permittivity Model of Cell Membrane and Stochastic Electromagnetic Analysis. Merla, C., +, TMTT March 2010 691-698 Laser cavity resonators Two-Wavelength Square-Waveform Generation Based on Fiber Optical Parametric Oscillator. Yang, S., +, TMTT Nov. 2010 3381-3386 Laser mode locking Optical Synthesis of Terahertz and Millimeter-Wave Frequencies With Discrete Mode Diode Lasers. O’Brien, S., +, TMTT Nov. 2010 3083-3087 Two-Wavelength Square-Waveform Generation Based on Fiber Optical Parametric Oscillator. Yang, S., +, TMTT Nov. 2010 3381-3386 Laser noise Design Methodology and Architectures to Reduce the Semiconductor Laser Phase Noise Using Electrical Feedforward Schemes. Aflatouni, F., +, TMTT Nov. 2010 3290-3303
4186
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 12, DECEMBER 2010
Lasers A Global Approach for Modeling and Analysis of Edge-Coupled TravelingWave Terahertz Photoconductive Sources. Neshat, M., +, TMTT July 2010 1952-1966 Lattice networks Miniaturized Transmission Lines Based on Hybrid Lattice-Ladder Topology. Koochakzadeh, M., +, TMTT April 2010 949-955 Layout A V-Band 8 8 CMOS Butler Matrix MMIC. Chin, T.-Y., +, TMTT Dec. 2010 3538-3546 Planar Multi-Band Microwave Components Based on the Generalized Composite Right/Left Handed Transmission Line Concept. Duran-Sindreu, M., +, TMTT Dec. 2010 3882-3891 Leakage currents CMOS Active Quasi-Circulator With Dual Transmission Gains Incorporating Feedforward Technique at -Band. Wu, H.-S., +, TMTT Aug. 2010 2084-2091 Leaky wave antennas Authors’ Reply. Kodera, T., +, TMTT May 2010 1310-1311 Comments on “Uniform Ferrite-Loaded Open Waveguide Structure With CRLH Response and Its Application to a Novel Backfire-to-Endfire LeakyWave Antenna”. Bray, J. R., +, TMTT May 2010 1309 Full-Space Scanning Periodic Phase-Reversal Leaky-Wave Antenna. Yang, N., +, TMTT Oct. 2010 2619-2632 Power-Recycling Feedback System for Maximization of Leaky-Wave Antennas’ Radiation Efficiency. Nguyen, H. V., +, TMTT July 2010 1641-1650 Least squares approximation Corrections to “Analytical Extraction of Extrinsic and Intrinsic FET Parameters” [Feb 09 254-261]. Ooi, B. L., +, TMTT Aug. 2010 2314 Direct Synthesis of Passband Impedance Matching With Nonuniform Transmission Lines. Hsu, Y.-W., +, TMTT April 2010 1012-1021 Qualitative and Quantitative Detection of Pesticides With Terahertz TimeDomain Spectroscopy. Hua, Y., +, TMTT July 2010 2064-2070 Lenses 1 for the Terahertz Region. A 2-D Artificial Dielectric With 0 Mendis, R., +, TMTT July 2010 1993-1998 A Novel Approach for Improving Off-Axis Pixel Performance of Terahertz Focal Plane Arrays. Trichopoulos, G. C., +, TMTT July 2010 2014-2021 A Planar Reconfigurable Aperture With Lens and Reflectarray Modes of Operation. Lau, J. Y., +, TMTT Dec. 2010 3547-3555 Light emitting diodes Optimization of a Photonically Controlled Microwave Switch and Attenuator. Flemish, J. R., +, TMTT Oct. 2010 2582-2588 Light interference Coherence-Free Equivalent Negative Tap Microwave Photonic Notch Filter Based on Delayed Self-Wavelength Conversion. Chan, E. H. W., +, TMTT Nov. 2010 3199-3205 Light propagation Accuracy of Waveform Spectrum Analysis for Ultrashort Optical Pulses. Pelusi, M. D., +, TMTT Nov. 2010 3059-3070 Single-Mode Terahertz Bragg Fiber Design Using a Modal Filtering Approach. Zhang, Y., +, TMTT July 2010 1985-1992 Light reflection A 2-D Artificial Dielectric With 0 1 for the Terahertz Region. Mendis, R., +, TMTT July 2010 1993-1998 Light sources Live Electrooptic Imaging of -Band Waves. Tsuchiya, M., +, TMTT Nov. 2010 3011-3021 Light transmission Extraordinary Transmission Through Arrays of Slits: A Circuit Theory Model. Medina, F., +, TMTT Jan. 2010 105-115 Optical Generation of Modulated Millimeter Waves Based on a Gain-Switched Laser. Shams, H., +, TMTT Nov. 2010 3372-3380 An Experimental Study of WiMAX-Based Passive Radar. Wang, Q., +, TMTT Dec. 2010 3502-3510 Illumination Aspects in Active Terahertz Imaging. von Spiegel, W., +, TMTT July 2010 2008-2013 Linear matrix inequalities An Efficient Algebraic Method for the Passivity Enforcement of Macromodels. Gao, S., +, TMTT July 2010 1830-1839 Identification of Highly Efficient Delay-Rational Macromodels of Long Interconnects From Tabulated Frequency Data. Triverio, P., +, TMTT March 2010 566-577
2
K
n