595 104 103MB
English Pages 843 [844] Year 2023
Lecture Notes in Networks and Systems 591
Mustapha Hatti Editor
Advanced Computational Techniques for Renewable Energy Systems
Lecture Notes in Networks and Systems Volume 591
Series Editor Janusz Kacprzyk, Systems Research Institute, Polish Academy of Sciences, Warsaw, Poland Advisory Editors Fernando Gomide, Department of Computer Engineering and Automation—DCA, School of Electrical and Computer Engineering—FEEC, University of Campinas— UNICAMP, São Paulo, Brazil Okyay Kaynak, Department of Electrical and Electronic Engineering, Bogazici University, Istanbul, Turkey Derong Liu, Department of Electrical and Computer Engineering, University of Illinois at Chicago, Chicago, USA Institute of Automation, Chinese Academy of Sciences, Beijing, China Witold Pedrycz, Department of Electrical and Computer Engineering, University of Alberta, Alberta, Canada Systems Research Institute, Polish Academy of Sciences, Warsaw, Poland Marios M. Polycarpou, Department of Electrical and Computer Engineering, KIOS Research Center for Intelligent Systems and Networks, University of Cyprus, Nicosia, Cyprus Imre J. Rudas, Óbuda University, Budapest, Hungary Jun Wang, Department of Computer Science, City University of Hong Kong, Kowloon, Hong Kong
The series “Lecture Notes in Networks and Systems” publishes the latest developments in Networks and Systems—quickly, informally and with high quality. Original research reported in proceedings and post-proceedings represents the core of LNNS. Volumes published in LNNS embrace all aspects and subfields of, as well as new challenges in, Networks and Systems. The series contains proceedings and edited volumes in systems and networks, spanning the areas of Cyber-Physical Systems, Autonomous Systems, Sensor Networks, Control Systems, Energy Systems, Automotive Systems, Biological Systems, Vehicular Networking and Connected Vehicles, Aerospace Systems, Automation, Manufacturing, Smart Grids, Nonlinear Systems, Power Systems, Robotics, Social Systems, Economic Systems and other. Of particular value to both the contributors and the readership are the short publication timeframe and the world-wide distribution and exposure which enable both a wide and rapid dissemination of research output. The series covers the theory, applications, and perspectives on the state of the art and future developments relevant to systems and networks, decision making, control, complex processes and related areas, as embedded in the fields of interdisciplinary and applied sciences, engineering, computer science, physics, economics, social, and life sciences, as well as the paradigms and methodologies behind them. Indexed by SCOPUS, INSPEC, WTI Frankfurt eG, zbMATH, SCImago. All books published in the series are submitted for consideration in Web of Science. For proposals from Asia please contact Aninda Bose ([email protected]).
More information about this series at https://link.springer.com/bookseries/15179
Mustapha Hatti Editor
Advanced Computational Techniques for Renewable Energy Systems
123
Editor Mustapha Hatti UDES/EPST-CDER Bou Ismail, Tipasa, Algeria
ISSN 2367-3370 ISSN 2367-3389 (electronic) Lecture Notes in Networks and Systems ISBN 978-3-031-21215-4 ISBN 978-3-031-21216-1 (eBook) https://doi.org/10.1007/978-3-031-21216-1 © The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 This work is subject to copyright. All rights are solely and exclusively licensed by the Publisher, whether the whole or part of the material is concerned, specifically the rights of translation, reprinting, reuse of illustrations, recitation, broadcasting, reproduction on microfilms or in any other physical way, and transmission or information storage and retrieval, electronic adaptation, computer software, or by similar or dissimilar methodology now known or hereafter developed. The use of general descriptive names, registered names, trademarks, service marks, etc. in this publication does not imply, even in the absence of a specific statement, that such names are exempt from the relevant protective laws and regulations and therefore free for general use. The publisher, the authors, and the editors are safe to assume that the advice and information in this book are believed to be true and accurate at the date of publication. Neither the publisher nor the authors or the editors give a warranty, expressed or implied, with respect to the material contained herein or for any errors or omissions that may have been made. The publisher remains neutral with regard to jurisdictional claims in published maps and institutional affiliations. This Springer imprint is published by the registered company Springer Nature Switzerland AG The registered company address is: Gewerbestrasse 11, 6330 Cham, Switzerland
Preface
To ensure a smooth energy transition and energy security, under the pressure of environmental pollution, the intermittent renewables considered as suitable alternates and energy shortage multiple high supporting technologies should now be used for more sustainability. Renewable energy generation, IoT, 5G, intelligent algorithms and clean, non-polluting electric vehicles have developed rapidly. However, the deployment and exploitation of renewable energy is still falling short of our expectations and faces insurmountable constraints and difficulties. The need for advanced computing technologies remains as relevant as ever and brings great challenges. With the advent of big data, the Internet of Energy (IoE) structure will take into account the intermittency of dispersed renewable generations. Micro-grid with interconnected loads and distributed generation will be beneficial for the multiplication of smart cities. To reduce the effect of intermittency in the performance of energy systems, several optimization strategies including particle swarm optimization (PSO), whale optimization algorithm (WOA), grey wolf optimization (GWO), and modified grey wolf optimization (MGWO) have been proposed dans ce livre. Utilities and advanced computing are contributing to the transformation of the electricity grid by renewable energy. As the electricity grid moves from quiescent to intelligent and from centralised to decentralised, blockchain technology will bring significant improvements in the monitoring and management of all facilities, from individual contributions to clean and economic energy loans. To meet the highly dynamic user demand and to ensure effective power management, an efficient computing technique methodology is proposed that performs various load scheduling and power management plans with the integration of renewables for geographically distributed cloud datacenters. Accordingly, this book proposes a set of intelligent programming system and advanced computing techniques for future IoE to maximise the use of distributed renewable energy and reduce the carbon emissions caused by traditional power generation. The use of artificial intelligence today makes it possible to improve decentralised energy management
v
vi
Preface
by optimising flows. The authors of this book have sought to clarify the issue of renewable energy related to the development of information and communication technologies, especially to the Industrial Internet of Things (IIoT) which is becoming increasingly important.
Contents
Advanced Computational Techniques Solar Radiation Forecasting Based on Artificial Neural Network: A Case Study of Bechar City, Southwest Algeria . . . . . . . . . . . . . . . . . . H. Djeldjli, D. Benatiallah, K. Bouchouicha, and A. Benatiallah
3
Machine Learning KNN Classifier for Forecasting Hourly Global Solar Irradiance over Adrar City . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Manal Y. Boudjella and Aissa Boudjella
13
A Multicore and Multithreaded Microcontroller . . . . . . . . . . . . . . . . . . Bernard Goossens, David Parello, and Dushan Bikov
22
Brain Tumor Classification Using Convolutional Neural Networks and Transfer Learning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cherifi Dalila, Cherifi Zakaria, and Belkadi Wassim
37
Design, Implementation, and Deployment of IoT/M2M Smart City Applications Based on MCNs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Rania Djehaiche, Salih Aidel, Massinissa Belazzoug, and Nasir Saeed
49
Control of Three Phase Cascaded H Bridge Multilevel Inverter Supplied by a Photovoltaic System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Fatima Zahra Khemili, Moussa Lefouilli, Omar Bouhali, and Lakhdar Chaib A Proposal of Blockchain and NFC-Based Electronic Voting System . . . Hanane Echchaoui, Boudrali Roumaissa, and Rachid Boudour Application of CRM Method for Reservoir Fluid Dynamic Characterization in Haoud Berkaoui Petroleum Field . . . . . . . . . . . . . . Mohamed Z. Doghmane, Sid-Ali Ouadfeul, Zakia Benaissa, and Said Eladj
58
66
76
vii
viii
Contents
Embedded Machine Learning for Fault Detection and Diagnosis of Photovoltaic Arrays Using a Low-Cost Device . . . . . . . . . . . . . . . . . . . . M. Bouzerdoum, A. Mellit, N. Djazari, and M. Laissaoui
82
Planar Micro-thermoelectric Generators Based on Cu55Ni45 and Ni90Cr10 Thermocouples for IoT Applications . . . . . . . . . . . . . . . . . . . . I. Bel-Hadj, Z. Bougrioua, and K. Ziouche
91
Edge Detection of MRI Brain Images Based on Segmentation and Classification Using Support Vector Machines and Neural Networks Pattern Recognition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Zouhir Iourzikene, Djamel Benazzouz, and Fawzi Gougam
99
Determination of Intrinsic Parameters of PV Module Using Pattern Search . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 106 Mohamed Rezki, Ghania Ouadfel, Hamza Houassine, and Samir Bensaid Sensing and Communication in Renewable Energy Development of a Supervision/Control Interface for an Experimental Wind-Storage-Grid-Diesel Microgrid System . . . . . . . . . . . . . . . . . . . . . 115 Djohra Saheb-Koussa, Mustapha Koussa, Saida Makhloufi, Naserdine Belhaouas, Farid Hadjrioua, Azzedine Aissaoui, and Khaled Bakria Design of Smart Irrigation System in the Greenhouse Using WSN and Renewable Energies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 Achouak Touhami, Sana Touhami, Nawal Touhami, Khelifa Benahmed, and Fateh Bounaama Application of Metamaterials Based on Resonators -e- for the Design of Miniature Planar Antennas . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 Becharef Kada, Nouri Keltouma, Bouazza Nadjet Nadia, Daoudi Wafaa, Abes Turkiya, and Saidi Amaria Aspect Oriented Web Service Composition Based Petri Net Model . . . . 148 F. Khalifa and B. Guelta High-Efficiency 60-GHz Printed Antenna Using a Triple-Layer Metasurface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160 Tarek Messatfa and Fouad Chebbara Mobile User Profile in the Context of Mobile Crowd Sensing . . . . . . . . 170 S. Ichou, S. Hammoudi, A. Benna, and A. Meziane Reconfigurable and Ecological Intelligent Antenna for Satellite Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183 R. D. Taleb, M. Z. Baba-Ahmed, F. Bousalah, and M. A. Rabah
Contents
ix
Mutual Coupling Reduction Between Two Closely Spaced Microstrip Antennas Using Electromagnetic Band Gap (EBG) Structure for IoT Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 189 Bachir Zoubiri, Abdelhalim Mayouf, and Mokhtar Mokhtari A New Design of Patch Antenna Array for IoT Applications . . . . . . . . . 196 M. A. Rabah, F. Bousalah, H. Benosman, F. Merad, and M. A. K. Goual Blind Sources Separation and Cryptography for Secure Remote Reading of Sonelgaz Smart Meters . . . . . . . . . . . . . . . . . . . . . . . . . . . . 201 S. Chitroub, Y. Brahimi, N. Haddadi, A. Saighi, and Y. Gaceb Connected Sensors for a Smart Green Farm . . . . . . . . . . . . . . . . . . . . . 213 M. Ferroukhi, H. Saadi, R. Bendib, L. Berracheddi, and A. Cherifi A Mini Review of the Literature of Fractional-Order Chaotic Systems and Its Applications in Secure Communications Schemes During the Last Three Decades (1990–2020) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 223 Mahedi Abdelghani Atoussi, Bachir Nail, Slami Saadi, and Maamar Bettayeb A Wideband Millimeter Wave Antenna for 5G Application Resonate at 3.5 GHZ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 234 F. Z. Gourari, A. Mosbah, M. E. Irid, and S. M. Meriah One-Layer and Dual-Polarized Metamaterial Inspired Antenna Using Dodecagon Split Ring Resonator Mushroom and Metasurface for Terahertz Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238 A. Bendaoudi, K. Benkhallouk, M. Berka, and Z. Mahdjoub 2.4 GHz Semi-textile Wearable Antenna for Off- and On-Body Communications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 249 S. Berhab, A. Annou, F. N. Azzouz, and M. Chouya Energy Efficiency and Management Grey Wolf MPPT Controller for Grid Connected Residential Wind System Operating Under Low and High Variations in Wind Speed . . . . 261 Amel Abbadi, Fethia Hamidia, M. R. Skender, and F. Bettache Energy-Efficient and Traffic-Aware Function Analysis of Network Service Orchestration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 269 A. E. Dinar, S. Ghouali, M. S. Guellil, and E. M. Onyema A Global MPPT Controller Based on an Improved Particle Swarm Optimization Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 281 E. Mammeri, A. Ahriche, A. Necaibia, A. Bouraiou, A. Ziane, and S. Lachtar
x
Contents
A Comparative Study of MPPT Algorithms to Control DC-DC Converters in PV Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 289 S. Della Krachai and M. Bensaada Developing a Real-Time Monitoring System in View to Analyze and Assess the Performance of Standalone PV System Along with Its Two PV Module Technologies Located in Northern Algeria . . . . . . . . . . . . . 299 A. Aissaoui, N. Belhaouas, F. Hadjrioua, B. Taghezouit, and K. Bakria Influence of Dust Particles Deposition on the Reflection Loss of a Photovoltaic Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 307 Houria Hamouche and Mohammed M. Shabat An Improved Fuzzy OTC MPPT of Decoupled Control Brushless Doubly-Fed Induction Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313 M. Hamidat and K. Kouzi Choosing the Adapted Artificial Intelligence Method (ANN and ANFIS) Based MPPT Controller for Thin Layer PV Array . . . . . . . . . . 322 Elaid Bouchetob and Bouchra Nadji Methods Improving Solar Power System Efficiency Based on Geographical Coordinates and Sun Position Calculators . . . . . . . . . . . . 332 K. Dahli and N. Cheggaga Aerial Forest Smoke’s Fire Detection Using Enhanced YOLOv5 . . . . . . 342 Dalila Cherifi, Belkacem Bekkour, Assala Benmalek, Meroua Bayou, Ines Mechti, Abdelghani Bekkouche, Chaima Amine, and Ahmed Halak Sizing, Modeling and Energy Flow Management of PV-DieselBatteries Microgrid for Agricultural Application . . . . . . . . . . . . . . . . . . 350 Salma Nait Bachir, Mustapha Hatti, and Saliha Arezki Machine Learning-Based Techniques for False Data Injection Attacks Detection in Smart Grid: A Review . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368 Benras Mohamed Tahar, Sid Mohamed Amine, and Oussama Hachana Artificial Intelligence in Renewable Energy Pervasive System in Smart Houses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379 Mokhtaria Derkaoui, Mansour Abou Chemala, and Hadj Meridja Control and Power Management of Microgrid Supplied a Domestic and Industrial Loads . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 384 H. Guentri, F. Lakdja, M. Belhamidi, and A. Dahbi Survey on Artificial Intelligence Algorithms Application for Alzheimer’s and Elderly People Safety in Smart Homes . . . . . . . . . . . . 398 Wissam Benlala, Siham Bouchelaghem, and Mohand Yazid
Contents
xi
A New Transformer Condition Monitoring Based on Infrared Thermography Imaging and Machine Learning . . . . . . . . . . . . . . . . . . . 408 Amine Mahami, Toufik Bettahar, Chemseddine Rahmoune, Foudil Amrane, Mohamed Touati, and Djamel Benazzouz A Robust Decoupled Control of Electric Vehicle Using Type-2 Fuzzy Logic Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419 Mohamed Kabir Billal Boumegouas, Katia Kouzi, and M. Birame Analysis Techno-Economic of a Stand-Alone Photovoltaic System Using a Specialized Advanced Simulation Software for Different Zones in Adrar Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 427 T. Touahri, B. Berbaoui, R. Maouedj, and S. Laribi Convolution Neural Network Deployment for Plant Leaf Diseases Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437 Dalila Cherifi, Meroua Bayou, Assala Benmalek, Ines Mechti, Abdelghani Bekkouche, Belkacem Bekkour, Chaima Amine, and Halak Ahmed Study and Implementation of U-Net Encoder-Decoder Neural Network for Brain Tumors Segmentation . . . . . . . . . . . . . . . . . . . . . . . 448 Dalila Cherifi, Abdelghani Bekkouche, Meroua Bayou, Assala Benmalek, Ines Mechti, Belkacem Bekkour, Chaima Amine, and Halak Ahmed Bayesian Regularized Backpropagation Neural Network Model to Estimate Resilient Modulus of Unbound Granular Materials for Pavement Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 457 K. Sandjak, M. Ouanani, and T. Messafer Optimal Placement of Phasor Measurement Units Considering the Topology Transformation Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 469 Abdelkader Azzeddine Laouid, Aicha Djalab, and Nail Alaoui The Effect of the Intelligent Control System on the Tram Timetable Efficiency and Its Influence on the Road Capacity at Signalized Intersections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 482 Mouloud Khelf and Bhouri Neila Soil-Structure Interaction Effects on the Vibration Control of Building Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 493 Mohamed Seghir Jaballah, Salaheddine Harzallah, and Nail Bachir Robust Control of Multiphase Induction Generator Equipped with Fuzzy Flywheel Energy Storage System . . . . . . . . . . . . . . . . . . . . . . . . . 501 Derkouche Djamel and Kouzi Katia Urban Flood Risk; Diagnosis and Proposed Management. A Case Study in Bechar City, South Western Algeria . . . . . . . . . . . . . . . . . . . . 511 Bouhellala Kharfia
xii
Contents
Electromagnetic Converter for Electric Vehicles Integrated with Renewable Energy Sources for Sustainable Mobility . . . . . . . . . . . . . . . 526 Larbi Belkacem, Hatti Mustapha, Kouzi Katia, and Ghadbane Ahmed Power Electronics and Grid Connected Variability of Solar Radiation Received on Tilted Planes in Adrar Region in the South of Algeria . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537 I. Oulimar, K. Bouchouicha, N. Bailek, and M. Bellaoui Environmental and Financial Impact Analysis of a Tubular 850 KW Wind Turbine Tower . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547 F. Ferroudji, L. Saihi, and K. Roummani Modeling of Two Five-Phase Induction Machines Connected in Series with an Open Phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555 Nekkaz Mohamed, Djahbar Abdelkader, and Benali Youcef Mohammed Influence of Geometric Parameters on the Performance of a Vortex Type Cooling Tower . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565 A. L. Deghal Cheridi, A. Bouaam, A. Dadda, and A. Dahia PEM Fuel Cell Emulator Based on a Buck Converter . . . . . . . . . . . . . . 574 S. Gahgouhi, A. Hadjaissa, K. Ameur, A. Rabhi, and M. Kious Parameters Estimation Methods of Thin-Film Solar Module Using Numerical Algorithms and Artificial Neural Networks . . . . . . . . . . . . . . 584 B. Benabdelkrim, A. Benatiallah, and T. Ghaitaoui An approach for Power Reserve Control (PRC) Strategy Based on a Novel ANN Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 594 C. Messasma, S. E. Chouaba, B. Sari, and A. Barakat Frequency Enhancement of Power System with High Renewable Energy Penetration Using Virtual Inertia Control Based ESS and SMES . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 602 H. Abbou, S. Arif, and A. Delassi Topology Analysis of Multi-cellular Converters in a Wind Energy System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 614 Ahmed H. Zebboudj, Rafik Boukhelif, Mouhamed Z. Doghmane, and H. Akroum Modeling and Simulation of an Operating BLDC with Bidirectional Rotation Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629 Mawloud Tellaa, Mohamed Z. Doghmane, Abderrezak Aibeche, and Aimad Ahriche
Contents
xiii
BLDC Speed Control Based on Fractional PID Controller . . . . . . . . . . 639 Mawloud Tellaa, Abderrezak Aibeche, Mohamed Z. Doghmane, and Aimad Ahriche Application of the Prognostic and Health Management to Industrial Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652 Abdenour Soualhi, Bilal El Yousfi, Mourad Lamraoui, and Kamal Medjaher Characterization and Simulation of the Power IGBT Module Used in VFD for Drilling Applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665 H. Sefsaf, B. Nadji, and Y. Yakhelef Power Management Strategy of a Hybrid PV-Battery System Connected to the Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 672 Y. Bouthiba, B. Meghni, B. Benlahbib, and M. Ouada Optimisation, Control and Power Conversion Natural and Mixed Convection in Solar Drying Process . . . . . . . . . . . . 685 Samah Adjmi and Chérifa Abid Super Twisting Fuzzy High-Order Sliding Mode Control of VariableSpeed Wind Turbine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 691 Lakhdar Saihi, Fateh Ferroudji, Khayra Roummani, Youcef Bakou, Khaled Koussa, and Mohammed Boura Hydrogen Diffusion Study via Phosphorus Deactivation in n-Type Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 700 R. Ouldamer, D. Madi, and D. Belfennache Moth-Flame Optimizer Algorithm for Optimal of Fuzzy Logic Controller for Nonlinear System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 706 Ahmed Bennaoui, Aissa Ameur, Slami Saadi, and Ameur Bennaoui Optimal Location and Sizing of Capacitor Banks in Distribution Systems Using Grey Wolf Optimization Algorithm . . . . . . . . . . . . . . . . 719 A. Hachemi, F. Sadaoui, and S. Arif Estimation on the Potential of Dimethyl Ether (DME) as Clean Alternative Fuel by CFD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 729 Ali Bouziane, Hadj Miloua, and Mohammed Zaitri Symmetrical Voltages Dips Analysis in a Wind Turbine Based on DFIG for High Power Conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 740 H. Bouregba, M. Hachemi, S. Mekhilef, and A. Ratni Optimal Placement Using Moth Flame Optimization in Radial Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 751 Djedidi Imene, Naimi Djemai, Salhi Ahmed, and Bouhanik Anes
xiv
Contents
Analytical Study Between Fuzzy Logic and Sliding-Mode Control Applied to PV Systems . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 762 Antar Beddar, Issam Abadlia, Fateh Abdoune, and Linda Hassaine Experimental Analysis on Performance of a Solar Photovoltaic/ Thermal (PV/T) Air Collector with a Single Pass . . . . . . . . . . . . . . . . . . 770 Saadi Zine, Kouki Nadjat, Boukhlef Djedjiga, Allali Malika, Amina Bekraoui, and Abdelkrim Rouabhia Simulation of a Cavity Ventilated by Air Displacement Using the Lattice Boltzmann Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 777 A. Arab, N. Himrane, Z. Hireche, Y. Halouane, and D. E. Ameziani Application of New Optimization Algorithm for Parameters Estimation in Photovoltaic Modules . . . . . . . . . . . . . . . . . . . . . . . . . . . . 785 Lakhdar Chaib, Abdelghani Choucha, Mohammed Tadj, and Fatima Zahra Khemili Techno-Economical Optimization of Electrical Production from Wind Power Plant Connected to the Electrical Grid in LAGHOUAT Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 794 O. Bouchiba, M. Hamidat, S. Chettih, and K. Kouzi H 2 Model Reduction of Nonlinear Optimal PEMFC Using Artificial Ecosystem Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 805 Zohra Touati, Khaled O. M. Touati, Slami Saadi, and Mecheri Kious Control of Permanent Magnet Synchronous Machine Using Speed Estimation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 814 Abdeldjalil Dahbi, Miloud Benmedjahed, Abderrahman Khelfaoui, Omar Ouledali, Ahmed Bouraiou, Hocine Guentri, Messaoud Hamouda, Abdelghani Harrag, Abdeldjalil Slimani, Nouar Aoun, Boualam Benlahbib, Samir Mouhadjer, Ahmed Boutadara, and Mohammed Lemchachaa Exploring the Performance of CZTS Solar Cells Using BSF Layers . . . 820 A.-A. Kanoun, Z. Kourdi, F. Merad, and M. A. Rabah Investigation and Improved Performance of MASnI3 and MASnBr3 Perovskites Solar Cells with Porous Silicon Layer . . . . . . . . . . . . . . . . . 826 B. Bachiri and K. Rahmoun Author Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 833
About the Editor
Dr. Mustapha Hatti was born in El Asnam (Chlef), Algeria. He studied at El Khaldounia School, then at El Wancharissi High School, obtained his electronics engineering diplomat from USTHB Algiers, and his post-graduation studies at USTO-Oran. He worked as a research engineer, at CDSE, Ain Oussera, Djelfa, CRD, Sonatrach, Hassi Messaoud, CRNB, Birine, Djelfa, and a senior scientist at UDES/EPST-CDER, Bou Ismail, Tipasa. Now, he is a researcher director, he leads the “Tipasa Smart City” initiative, he is an IEEE senior member, he is the author of several scientific papers and chapter books, and his areas of interest are smart sustainable energy systems, artificial intelligence, innovative, fuel cell, photovoltaic, optimization, intelligent embedded systems, green hydrogen, sustainability, and electric vehicle.
xv
Advanced Computational Techniques
Solar Radiation Forecasting Based on Artificial Neural Network: A Case Study of Bechar City, Southwest Algeria H. Djeldjli1(B) , D. Benatiallah2 , K. Bouchouicha3 , and A. Benatiallah1 1 Laboratory of Energy Environment and System Information (LEESI), Faculty of Sciences and
Technology University Ahmed Draia, 01000 Adrar, Algeria [email protected] 2 Laboratory of Sustainable Development and Computer Science (LSDCS), Faculty of Sciences and Technology University Ahmed Draia, 01000 Adrar, Algeria 3 Centre de Développement des Energies Renouvelables, CDER, 01000 Algiers, Algeria
Abstract. Estimating solar irradiance is an essential step in the design of solar systems and the performance evaluation of their various applications. This work has the purpose of developing a model based on an Artificial Neural Network (ANN) to anticipate the global solar irradiance on a daily basis in the city of Bechar. The models were given seven input data. We developed four models using different training algorithms. Correlation coefficient (R) and mean absolute percentage error (MAPE) were used to assess these models’ efficiency. The results over 6 years demonstrated that Model1, provides significantly better forecasts with (R = 0.9198 and MAPE = 7.57). Therefore, in the Multi-Layer Feed Forward Neural Network (MLF), using the Levenberg-Marquardt back-propagation training algorithm provides the best accuracy for estimating daily solar radiation and may be considered one of the fastest and most accurate algorithms. This model is useful for sizing and designing solar systems in Algeria. Keywords: Solar energy systems · Neural networks · Gradient descent · Levenberg-marquardt · Solar radiation
1 Introduction In the last century, the environmental problems caused by burning fossil fuels are becoming severe. Therefore, solar energy is increasingly demanded as an alternative [1]. Previous research shows that having renewable energy sources, specifically wind and solar, can be effective alternatives to traditional energy sources for fulfilling global demand while also protecting the planet. [2]. The knowledge of accurate global solar radiation data is extremely important for the proper selecting and designing of the solar energy system. However, Many countries do not have easy access to these data due to the high cost of measurement and difficulty [3]. Machine-learning (ML) techniques, in general, are capable of solving highly nonlinear problems, it have numerous potential applications, and became of great interest to academics worldwide [4]. A variety of statistical models © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 3–12, 2023. https://doi.org/10.1007/978-3-031-21216-1_1
4
H. Djeldjli et al.
have been presented for modeling solar irradiance using existing variables. Along with linear, nonlinear, and multivariate modeling techniques, Artificial Intelligence (AI) and fuzzy approaches have been used to forecast the amount of solar radiation at specific locations throughout the world. The models are developed for both smaller and larger site scales [5]. ANNs are widely used in solar radiation forecasting because they provide promising solutions using only few available parameters as inputs. There are different ANN architectures, such as Multilayer Perceptron, Radial Basis Function network and Recurrent Neural Network. [6] From a general overview on ANN modeling of solar radiation, a reader observes easily that there is a large volume of published studies in this field. ANN have been considered in several researches such as the work in [7] where Benkaciali et al. (2016), They created eight models to assess the daily global solar irradiation (GSI) on the horizontal surface in south Algeria, using four weather data inputs obtained from a radiometric station implemented at the Ghardaia site, the ANN model provided the best performance compared to the developed empirical models. Furthermore, it was demonstrated that the duration of sunshine is a key element for forecasting the GSI. While, in Algeria, Benatiallah et al. (2020), [8] presented a neural model for estimating global hourly solar irradiation, according to some parameters of solar geometry and astronomical data for the Adrar region. Nine models and three activation functions and several combinations of the input data were used, it has been concluded that the logistic Sigmoid function of 15 neurons of the hidden layer, to be preferable for estimating global solar radiation values for the research site and other places with comparable climatic conditions. In Northern Greece, empirical equations, Neural Networks (ANN) and multilinear regression methods (MLR), has been developed in order to estimate solar irradiation by [9]. Different combinations of input variables were examined. Extraterrestrial radiation is used in the ANN and MLR models to increase the accuracy of the findings. The findings of ANN are consistent when compared to MLR models with the same input parameters. Generally, when compared to alternative techniques and model parameters, the artificial neural network technology performed better. The objective of this research is to create an artificial neural network (ANN)-based model for forecasting daily global solar radiation considering multiple input characteristics in Bechar, Algeria’s south-west area. Four proposed models are developed and tested to select the most suitable model, using six input parameters: temperature average, relative humidity, declination, hour angle and extraterrestrial solar irradiation.
2 Material and Models 2.1 Study Area and Data Collection The research region is situated in Algeria’s south-west region, with the coordinates (Longitude: 2.13, Latitude: 31.37, Altitude: 780.0 (m)). This area is noted for its hot summer days and cold winters [10]. It also has a lot of solar energy potential. The highest insolation time in the southern area is 3900 h [11]. On a horizontal surface, the average solar energy collected is 5 kWh/m2 for the most of the national area (Fig. 1), or roughly 2263 kWh/m2 /year in Algeria’s south [12].
Solar Radiation Forecasting Based on Artificial Neural Network
5
Bechar
Fig. 1. The location of the study city (Bechar) and solar radiation potential in Algeria.
We collect a large database, enough to be utilized for training and testing the model. We used daily data from the SODA (Simple Ocean Data Assimilation) database for six (06) years (June 2015 - June 2020) in this study [13]. We forecasted daily global sun irradiation (DGSI) using astronomical and meteorological characteristics (see Table 1): Table 1. The parameters used. Parameters
Abbreviation
Unit
Type Numerical (digital)
Average temperature
Tavg
C°
Atmospheric pressure
Bp
Hpa
Relative humidity
Rh
%
Wind speed
Ws
M/s
Declination
De
Degree (°)
Hour angle
Ah
Degree (°)
Extraterrestrial solar irradiation
H0
Wh/m2
6
H. Djeldjli et al.
2.2 The Artificial Neural Network (ANN) Based Model to Estimate Global Solar Energy The neural network is an artificial computing model that simulates human brain functioning [14]. For i = 0,1,…n hidden layers, each neuron in the network calculates a weighted by Wij sum of its p input signal yi, and then applies a non-linear activation function to create an output signal uj. It takes the following form uj =
ni−1
wij yi
(1)
The multi-layer feed forward neural network (MLF) using the back propagation (BP) algorithm, which has always been the most frequently used ANN approach for predicting solar radiation [15]. This approach is advantageous since it can represent problems which are not linearly separable. The MLF is made up of three levels: an input layer (i), an output layer (k), and one or more hidden layers (j). In practice, a three-layer feed forward neural network (FFNN) is frequently sufficient (see Fig. 2).
Fig. 2. Basic ANN structure with seven inputs.
Each layer is interconnected by weights Wij and Wik, and every unit adds a bias or threshold term to the sum, and nonlinearity transforms it to produce an output. This transformation is called the node activation function. Where the output layer nodes often have linear activations. The tangent-sigmoid transfer function (Eq. (2)), or logistic sigmoid function (Eq. (3)), and linear function (Eq. (4)) are generally used in the hidden and output layer respectively [16]: f (W ) =
2 −1 1 + e−2W
(2)
1 1 + e−W
(3)
f (W ) =
f (x) = x
(4)
Solar Radiation Forecasting Based on Artificial Neural Network
7
W represents the input weighted sum, while x represents the output layer input. Backpropagation (BP) is a process of updating synaptic weights. It refers to the manner in which error determined at the outcomes is propagated backward eventually to the input layer [17]. In conjunction with the BP procedure, we used the algorithms shown in Table 2, as a second training algorithm. Each of the training algorithms has its own specific characteristics that must be adjusted according to a particular model. Table 2. Different training algorithms Function
Algorithm
Trainlm
Levenberg-Marquardt
Trainbr
Bayesian regularization
Traingdm
Gradient descent with momentum
Traingd
Gradient descent
2.3 ANN Model Architecture This investigation used a three-layer or FFNN for daily solar radiation estimation. This architecture has demonstrated its capacity to simulate a wide range of real-world operational issues [18]. Choosing the hidden neurons is considered the most challenging aspect of ANN modeling. Table 3 displays the ANN characteristics of our models. Backpropagation (BP) was used to train the neural networks, employing the algorithms indicated in Table 2. We performed 1000 learnings for this architecture, then we will save the value of the synaptic weights, which gives the minimum RMSE on the training basis. The results obtained are summarized in Table 5. Table 3. The models Features City Bechar
Model ID
Activation functions Hidden layer
Output layer
Number of hidden layer units
Traning algorithm
Model1 Model2
Tansig Logsig
Pureline
5
trainlm
Model3 Model4
Tansig Logsig
Pureline
5
traingd
The structure and architecture of the multilayer neural network (MLP) generally depends on the database, which is made up of input and output pairs. In our study, we will predict daily global solar irradiation, so we only use a single neuron in the output layer, with a limited number of neurons in the hidden layer. The challenging aspect of ANN modeling is the selection of hidden neurons, which is related to the complexity
8
H. Djeldjli et al.
of the system being represented. The optimal number of neurons in the hidden layer in this study was achieved using a simple trial and error method. A range of 2–25 neurons was tested until a minimal accepted error between anticipated and observed output was attained. In this case, we retain the architecture that gives the minimum amount of error on the basis of the test, to avoid the problem of overfitting. All models were trained with the training data set, and the trained models were tested with the validation data set. We developed MATLAB-based computer program for this ANN method in Bechar using a script file written in the MATLAB software® V.R2020.
3 Statistical Assessment Indices The efficiency of the models under consideration was evaluated using measures widely utilized in assessment scores [19]. Table 4 below presents the definitions of such indices, where actual and estimated values are represented as GAct and GSim , respectively, while N is the observations total number. Table 4. Statistical assessment indices Indice
Ideal
Equation
RMSE
Zero
RMSE =
MBE
Zero
MBE = N1
MAPE R
Zero One
N
2 i=1 (GSim,i −GAct,i )
N
N
GSim,i − GAct,i
(7) (8)
i=1
MAPE = 100 N
N G −GAct,i Sim,i XAct,i
i=1 N G G −G −G R = i=1 Sim,i 2Sim Act,i Act 2 N N i=1 GSim,i −G Sim × i=1 GAct,i −G Act
(9) (10)
4 Results and Discussion The ANN-based prediction is performed, resulted in a very efficient model for estimating global solar radiation. This is based on the statistical assessment indices (MAPE, MBE, RMSE, and R) of the models for training and testing outcomes, as given in Table 5 and Fig. 4. Overall, it has been shown that Model1 presents better estimation results. According to statistical assessment indices, Model1 is the most accurate model when compared to the outcomes of other models in the training phase (R = 0.9342, RMSE = 631.44 Wh/m2/day, and MBE = −0.000036 Wh/m2/day), second by Model2 (R = 0.9327, RMSE = 646.27 Wh/m2/day, and MBE = 0.00119 Wh/m2/day). Model1 is likewise the most accurate model in the testing phase with (R = 0,9198, RMSE =
Solar Radiation Forecasting Based on Artificial Neural Network
9
Table 5. Statistical scores for each model during training and testing phases in Bechar city. Training score Model1
Testing score
Model2
Model3 Model4
MBE(Wh/m2 /day)
−0.00003 0.00119 −5.49
MAE(Wh/m2 /day)
441.83
RMSE(Wh/m2 /day) R
Model1 Model2 Model3 Model4
−21.6
−4.53
−1,05
81.0
−177
437.32
674.51
825.86
462.19
472,96
627.63
908.93
631.44
646.27
923.97
1055.65 693.78
719,79
842.06
1174.09
0.9342
0.9327
0.8545
0.8025
0.9177
0.9198
0.7795
0.9198
MODEL1
MODEL2
MODEL3
15.30
13.46
rRMSE 10.03
11.99
7.88
11.36
7.57
MAPE
19.76
693,78 Wh/m2/day and MBE = −4,53 Wh/m2/day), followed by Model2 (R = 0.9177, RMSE = 719,79 Wh/m2/day and MBE = −,05 Wh/m2/day). In addition, Fig. 4 shows the estimated daily solar global radiation estimated by Model1 (MAPE = 7.57; rRMSE = 11.36), during the testing phase, where Model1 performed better than the rest of the models.
MODEL4
Fig. 4. MAPE (%) and rRMSE (%) during the testing phase.
Similarly, scatter plots (Fig. 5) of Model1 estimated daily solar global radiation show the estimated data dispersed as a sequence of points near to the linear (blue) perfect fit, illustrating the relation between collected and estimated values at Bechar city across the research period. Model1 is the most effective model throughout the training phase. Figure 6 illustrates the observed and predicted values of the best model (Model1) for the research period. The models with Levenberg-Marquardt training algorithm have the best performance compared to the models using Gradient Descent training algorithm, and to the other training algorithms. Furthermore, the findings are largely consistent with those of recent studies. This led to the conclusion that in MLF (class of FFANN) using Levenberg-Marquardt training algorithm provides the best accurate estimation of the daily solar radiation. Levenberg-Marquardt back-propagation (trainlm)] is widely regarded as one of the fastest and most accurate algorithms.
10
H. Djeldjli et al.
Fig. 5. Scatter plot for model1 between collected and predicted of daily global solar radiation in the training phase.
Fig. 6. Training phase for model1 between collected and values of daily global solar radiation in the training phase.
5 Conclusion This research was carried out for Bechar city in Algeria, where four models were examined in terms of accuracy. We have chosen ANNs because they provide promising solutions using only few available parameters as inputs. It has been proven that ANNs are simpler, faster, and give high accuracy. There are different ANN architectures such as multilayer perceptron and radial basis function network. To estimate daily global solar irradiance in all sky conditions, we used a multi-layer neural network model, various training algorithms, the basic neurons connection architecture, the feed-forward neural network, and using tangent sigmoid/logistic sigmoid transfer function in hidden layer combined with linear transfer function in output layers, and several statistical indicators (R, MBE, RMSE, and MAE). Relative humidity, temperature average, hour angle, and declination, pressure, wind speed, in addition to the extraterrestrial solar irradiance, were used as input data. In comparison to other models, the findings showed that Model1 using tangent-sigmoid transfer function in hidden layer and linear transfer function in output layers and trained by Levenberg-Marquardt algorithm, is better suited for the forecast. Therefore, the developed model can be used to estimate daily global solar radiation in arid climate regions, and in other areas similar to these climatic conditions whenever data is available. Additionally, solar-energy system installation and building thermal condition valuations. Moreover, we found out that the second training algorithms have the biggest impact on models accuracy, while changing the transfer function has smaller effect on the results. Undoubtedly, in MLF (class of FFANN) using LevenbergMarquardt back-propagation training algorithm provides the best accuracy, it could be considered as one of the more accurate and fastest algorithms. The ANN model’s important features include the selection of a suitable training algorithm, transfer function, and number of neurons in the hidden layer. Each training algorithm has unique properties that must be adapted to a specific model. One of the most important conclusions of this study is that it can help planners and decision makers establish early plans and identify prospective candidate locations for solar power plants, which will be vital in decreasing future dependency on fossil fuel-based energy.
Solar Radiation Forecasting Based on Artificial Neural Network
11
References 1. Zhang, J., Zhao, L., Deng, S., Xu, W., Zhang, Y.: A critical review of the models used to estimate solar radiation. Renew. Sustain. Energy Rev. 70, 314–329 (2017) 2. Zendehboudi, A., Baseer, M.A., Saidur, R.: Application of support vector machine models for forecasting solar and wind energy resources: A review. J. Clean. Prod. 199, 272–285 (2018) 3. Despotovic, M., Nedic, V., Despotovic, D., Cvetanovic, S.: Review and statistical analysis of different global solar radiation sunshine models. Renew. Sustain. Energy Rev. 52, 1869–1880 (2015) 4. Zhou, Y., Liu, Y., Wang, D., Liu, X., Wang, Y.: A review on global solar radiation prediction with machine learning models in a comprehensive perspective. Energy Convers. Manage. 235, 113960 (2021) 5. Baser, F., Demirhan, H.: A fuzzy regression with support vector machine approach to the estimation of horizontal global solar radiation. Energy (2017). https://doi.org/10.1016/j.ene rgy.2017.02.008 6. Kabouche, N., Chellali, F., Recioui, A.: A review on solar radiation assessment and forecasting in algeria (part 2: solar radiation forecasting). Algerian Journal Of Signals And Systems (AJSS) 6(3) (September-2021). ISSN: 2543-3792-EISSN: 2676-1548 7. Benkaciali, S., Haddadi, M., Khellaf, A., Gairaa, K., Guermoui, M.: 2016, Evaluation of the global solar irradiation from the artificial neural network technique. Revue des Energies Renouvelables 19(4), 617–631 (2016) 8. Benatiallah, D., Benatiallah, A., Bouchouicha, K., Nasri, B.: Prediction du rayonnement solaire horaire en utilisant les reseaux de neurone artificiel. Algerian J. Env. Sc. Technology 6(1), 1236–1245 (2020) 9. Antonopoulos, V.Z., Papamichail, D.M., Aschonitis, V.G., Antonopoulos, A.V.: Solar radiation estimation methods using ANN and empirical models. Comput. Electron. Agric. 160, 160–167 (2019). https://doi.org/10.1016/j.compag.2019.03.022 10. Benatiallah, D., Benatiallah, A., Bouchouicha, K., Hamouda, M., Nasri, B.: An empirical model for estimating solar radiation in the Algerian Sahara. American Institute of Physics 7, 710–727 (2018) 11. Benatiallah, D., Bouchouicha, K., Benatiallah, A., Harrouz, A., Nasri, B.: Forecasting of Solar Radiation using an Empirical Model. Algerian Journal of Renewable Energy and Sustainable Development 1, 212–219 (2019) 12. Benatiallah, D., Benatiallah, A., Harouz, A., Bouchouicha, K.: Development and modeling of a geographic information system solar flux in adrar, Algeria. Int. J. Sys. Model. Simul. 1, 15–19 (2016) 13. SODA data: Available at: www.soda-pro.com/web-services#meteodata 14. Haykin, S., Lippmann, R.: Neural networks, A Comprehensive Foundation. Int. J. Neural Syst. 5, 363–364 (1994) 15. Yadav, A.K., Chandel, S.S.: Solar radiation prediction using artificial neural network techniques: a review. Renew. Sustain. Energy Rev. 33, 772–781 (2014). https://doi.org/10.1016/ j.rser.2013.08.055 16. Ata, R.: Artificial neural networks applications in wind energy systems: a review. Renew. Sustain. Energy Rev. 49, 534–562 (2015). https://doi.org/10.1016/j.rser.2015.04.166 17. Esmaeelzadeh, S.R., Adib, A., Alahdin, S.: Long-term streamflow forecasts by adaptive neurofuzzy inference system using satellite images and K-fold crossvalidation (case study: Dez, Iran). KSCE J. Civ. Eng. 1–9 (2014)
12
H. Djeldjli et al.
18. Rezrazi, A., Hanini, S., Laidi, M.: An optimisation methodology of artificial neural network models for predicting solar radiation: a case study. Theoret. Appl. Climatol. 123(3–4), 769– 783 (2015). https://doi.org/10.1007/s00704-015-1398-x 19. Stone, R.J.: Improved statistical procedure for the evaluation of solar radiation estimation models. Sol. Energy 89, 51–91 (1993)
Machine Learning KNN Classifier for Forecasting Hourly Global Solar Irradiance over Adrar City Manal Y. Boudjella1(B) and Aissa Boudjella2 1 Department Physics Engineering, Laboratory of Analysis and Application of Radiation,
LAAR, University of Sciences and Technology of Oran Mohamed Boudiaf, Oran, Algeria [email protected], [email protected] 2 Department Electrical Engineering, Bircham International University, Miami, USA [email protected]
Abstract. In this investigation, simulations based on the K-Nearest Neighbor (KNN) classifier were performed to examine the performance metric characteristics in estimating the hourly global solar irradiance received at Adrar city/Algeria. The system is implemented and simulated in Anaconda, and its performance is evaluated using real unsupervised dataset with seven (07) features and 44872 instances for classifying the hourly global solar irradiance. For the classification, four (04) classes (4 target name labels) were created based on the captured global irradiance magnitude. The simulation results show that the performance metrics depends on both the test size and the number of neighbors k. The model perform very well in predicting the class label of hourly global irradiance magnitude when k is in the range of [7–11] and the training size is less than 25%. The model prediction accuracy is about 86%. Keywords: Hourly global irradiance · Meteorological parameters · K-Nearest neighbor classifier · Test size · Accuracy
1 Introduction The sun is the fundamental source of energy for the planet Earth. Precise knowledge of the amount of solar energy reaching the ground surface and its temporal and spatial variability is of a prime importance in different research area related to: solar energy, climatology, renewable energy …etc [1, 2]. Surface solar energy can be determined using ground observation, satellite based observation or numerical methods. During the past decade, variety of numerical methods has been established for the estimation of the surface solar irradiance such as: empirical model, physical model, and those based on the use of machine learning algorithms [3–5]. Recently, Due to the prominent progress in artificial intelligence framework, many machine learning (ML) models have been applied for forecasting the amount of solar radiation reaching the ground surface, such as the artificial neural network(ANN), regression decision tree(DT),genetic programming (GA), support vector regression (SVR), data mining, and fuzzy logic [6]. Based on the © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 13–21, 2023. https://doi.org/10.1007/978-3-031-21216-1_2
14
M. Y. Boudjella and A. Boudjella
time interval for measuring surface solar radiation, models that use machine learning algorithms to estimate the global irradiance can be divided into three categories: Monthly Average Global Solar Radiation [7], Daily Average Global,Solar Irradiance [8, 9], and Hourly Average Global Solar Radiation [10, 11]. Liexing Huang et al. [12] used 12 machine learning algorithms to predict and compare global irradiance in the Ganzhou city in China. The results showed that meteorological parameters: Such as sunshine duration and land surface temperature are essential in machine learning models. More recently, Guher et al. used four (04) different machine learning algorithms such as and KNN and a Library for Support Vector Machine (libsvmlibrary) to evaluate Hourly Average Global Solar Radiation over two geographical provinces in Turkey [11]. Note that artificial neural networks (ANN) and adaptive neuro-fuzzy inference systems (ANFIS) are the most commonly used machine learning algorithms for predicting and forecasting the amount of surface solar radiation, whereas only a few studies have investigated the use of KNN machine learning algorithm, such as Pedro et al.’s [13] application of the K Nearest Neighbors KNN algorithm for forecasting the intra-hourly horizontal global irradiance and the direct normal irradiance. Chen and Kartini proposed a model for estimating hourly global irradiance using a combination of KNN and ANN algorithms [14]. In this study, we propose to build a supervised machine learning algorithm, applied to a dataset without any label information (Unsupervised learning algorithms) that can learn from seven (07) input variables (features) which consist of date (month and day number ), time variables,and four (04) meteorological parameters whose features are known so that we may predict the range of the hourly horizontal global irradiance received at Adrar city for a new instance of seven (07) input parameters of Adrar city. We want to predict one of several options of the hourly horizontal global irradiance as classes with four (04) target label names that define the hourly horizontal global irradiance magnitude received at Adrar city. The possible outputs with different horizontal global irradiance ranges are called classes (class 1, class 2, class 3, and class 4). This investigation aims to implement a test platform for measurement and verification of the horizontal global irradianc based on the statistical performance metrics by defining a boundary analysis defined by the independent parameters such as K Nearest Neighbors KNN, test sizes. These primary simulations results can be useful to design a graphical user interface with the best performance metrics maximizing the accuracy prediction.
2 Methodology 2.1 Study Area and Datasets In this work, Adrar city was selected as the study area. Adrar is located in the south of Algeria Latitude/Longitude (27.8815°, −0.2767°).This city is characterized by a desert climate with a very high temperature exceeding 45 °C, and a very low precipitation (average annual precipitation ~ 11mm). Adrar is one of the sunniest cities in Algeria. Table 1 shows maximum hourly Global Horizontal Irradiance GHI for the years: 2016,2017,2018,2019 and 2020 as estimated by Merra-2.
Machine Learning KNN Classifier for Forecasting Hourly Global Table 1. Maximum hourly global years:2016,2017,2018,2019 and 2020.
irradiance
received
at
Adrar
city
15 for
Year
2016
2017
2018
2019
2020
MaximumGHI (W/m2 )
1046.83
1043.81
1058.8
1051.8
1049.45
the
The data that we will use in this investigation is a set of hourly meteorological data and hourly global horizontal irradiance (w/m2 ) received at Adrar city for the period of time ranging from the 1th January 2016 to 1th January 2021. [https://power.larc.nasa.gov/dataaccess-viewer/]. The dataset contains seven(07)features: X1 : Month, X2 :Day, X3 :Hour, X4 :Relative humidity(%), X5 :Temperature at two (02) meters above the surface of the earth (°C), X6 :Pressure at the surface of the earth (kPa), and X7 : Wind speed at two (02) meters above the surface of the earth (m/s), and one Output: denoted Y1 :Hourly Global Irradiance (W/m2 ). Figure 1 illustrates Hourly Global Irradiance received at Adrar city for the years 2017 and 2020. Table 2 presents maximum and minimum of the output (Hourly Global Irradiance) and of each feature from the dataset used in this study. Table 2. Minimum and maximum of data features (X1 , X2 , X3 , X4 , X5 , X6 and X7 )and output (Y1 ) X1
X2
X3
X4
X5
X6
X7
Minimum
1
1
0
2.94
0.33
96.42
0.2
Maximum
12
31
23
100
49.05
99.85
14.37
Y1 0 1058.8
Fig. 1. Hourly global irradiance received at Adrar for the year 2017 and 2020.
The aim of this study is to use the seven (07) features to predict the magnitude of hourly global horizontal irradiance indicated by each class target name label. Each class target name label is defined by the authors. We want to build a machine learning model from this unlabeled data that can forecast the Hourly Global Surface Irradiance magnitude in a specific range of new set of measurement metrological features variables in
16
M. Y. Boudjella and A. Boudjella
machine learning. Based on the magnitude of the output Y1 , Authors proposed categorizing the data into four (04) classes named: class1, class2, class3 and class4. Each class is defined by the minimum and maximum of global irradiance magnitude. Class 1 is null global irradiance (observed at noon time). Minimum, maximum and number of instances of each class are given in Table 3. The system is implemented and simulated in Anaconda, and its performance is tested on a real dataset that contains 7 features and 44 872 instances to classify the global irradiance magnitude into four (04) classes (4 target name labels). Table 3. Hourly global irradiance range for each class. Classes labels
Minimum globalirradiance (W/m2 )
Maximum globalirradiance (W/m2 )
Range of each class
Number of instances
Class 1
0
0
Class0 = [0]
21241
Class 2
2.39
352
Class1 = [2.39– 352]
8539
Class 3
352.12
704.35
Class2 = [352.12–704.35]
7252
Class 4
704.44
1058.8
Class3 = [704.44–1058.8]
6840
2.2 K Nearest Neighbors Classification The K-Nearest Neighbor algorithm KNN is a simple and non-parametric method used for classification and regression. In classification problems, the KNN classifier determines the k closest neighbors to the query data point and uses a majority vote of these k neighbors to predict a class label. In this study the Euclidean distance has been used to compute the distance between a sample x to estimate its class label and the training samples T j with a known class label: N j 2 xi − Ti d x, T j = i=1
N : is number of inputs for a given sample. xi : is the ith input of the sample to estimate its class label. j Ti : is the ith input of the training sample.
3 Results and Discussions Figures 2, 3 and 4 show the variation of training accuracy, test accuracy, precision score, recall, f1 _score, and Kappa versus the number of neighbors k, n_neighbors for fixed test
Machine Learning KNN Classifier for Forecasting Hourly Global
17
sizes in the range of [10%–50%] by keeping the random state constant at 66. For all the cases of test sizes considered in this study, the training accuracy reaches its maximum (100%) for k = 1 while the highest test accuracy (Test accuracy = 84.7%) was observed at k = 11 for test size of 10%. The variation of test accuracy and training accuracy are not monotonic, they increase or decrease when k increases depending on the test size. For k > 11The test accuracy remains approximately constant. The training accuracy is always higher than the test accuracy as illustrated in details in Figs. 2, 3, 4 and 5.
Fig. 2. Training accuracy, test accuracy, precision score, recall, f1_score, and Kappa vs k for test sizes = 10% and 15%.
Fig. 3. Training accuracy, test accuracy, precision score, recall, f1 _score, and Kappa vs k for test sizes = 20% and 25%.
Figure 5 indicates that training accuracy is in the range of (84.99%–100%) while the test accuracy varies between 78.44% and84.7%.Minimum train accuracy and test accuracy are observed under a test size of 50% at k = 20 and k = 2,respectively. When k = 1, train accuracy is unaffected by test size, the train accuracy is equal to 100%. While the test accuracy varies between 79.38% and 79.99% for k = 1. When k is in the range of [2–11], the training accuracy and the test accuracy is in the range of [87%–92.88%] and [78.44%–84.7%], respectively. When k is greater than 11, the train accuracy and test accuracy remains relatively constant in the range of [88.025% 84.99] and [84.36%–83.99%], respectively.
18
M. Y. Boudjella and A. Boudjella
Fig. 4. Training accuracy, test accuracy, precision score, recall, f1 _score, and Kappa vs k for test sizes = 40% and 45%.
Fig. 5. Train and test accuracy versus k undervarious test sizes(10%–50%).
It has been found out that Precision and Recall increase or decrease in the range of (73.5–80.96%) and (69.67–79.2%), respectively. Figure 6 shows that the highest magnitude of precision and recall are observed at k = 11 for the test size of 10% and 15%, respectively, precision_score (k = 11, test size = 10%, 80.96%), recall(k = 11,testsize = 15%,79.21%). They take lowest value under test size of 50% at k = 1 and k = 2,respectively; precision(k = 1,Test_size = 50%,73.5%), and Recall(k = 2,Test_size = 50%,69.67%). For k in the range of [3–11], the precision score and recall varies in the range of [75.43–80.96%] and [74.33%–79.21%],respectively. When k is greater than 11, the precision is in the range of [77.15%–80.65%] and recall is in the range of [74.07%–78.6%]. Figure 7 shows that F1 _score and Kappa increase or decrease when increasing the number of neighbor k.F1 _score varies between 71.5% and 79.8%; Kappa varies between 67%and 77.2%. The variation of F1 _score and Kappa depends on both k and test size. The highest F1 _score is observed when k = 11 under the test size of 15%.Kappa reaches its maximum when k = 10 for the test size 15%. For k in the range of [3–11] F1 _score and Kappa are in the range of [74.68%–79.94%] and [71.09%–77.2%], respectively.While for k higher than 11, F1 _score varies between 75.57% and 79.5%, and Kappa is in the range of [72.24–76.56%].
Machine Learning KNN Classifier for Forecasting Hourly Global
19
Fig. 6. Precision_Score and Recall versus k under various test sizes (10%–50%).
Fig. 7. F1 _score and Recall versus k under different test sizes (10%–50%).
Figure 8 illustrates the variation of the ratio of the training accuracy to Test accuracy. The ratio of the training accuracy to the test accuracy varies (1.028–1.25). It takes its maximum at k = 1 for the test size of 50% and its lowest value for a test size of 15% at k = 20. A small value of k could lead to overfitting. The model under overfitting condition performs well on the training data but has poor performance when new data is coming. In the present simulations, to prevent overfitting, we can reduce the boundary by K nearest neighbors KNN in the range of [2–20] which gives a better ratio of the training accuracy to test accuracy in the range of [1.04–1.15]. Based on the simulation results, this model is able to make accurate predictions from the training set to the test for the whole the test size and the range of k = [2–20]. When considering k in the range of [2–20] the model performs well for the training set in the range of [85%–93%]while the test accuracy is in the range of [79.38%–79.99%].When k is in the range [3–11] an improvement in test accuracy is observed in the range of [80.54%–84.77%] with a train accuracy in the range of [87%–92.88%]. For the same range of k in the range of [3–11], the precision_score, recall, f1 _score and kappa can take highest magnitude. The best performance for this model is observed when k is in the range of [7–11] and the training size less than 25%, with the training set and the test set accuracy larger than 88.5% and 83.6%, respectively. The precision, recall, kappa and f1 _score are greater than 79%, 77%,75% and 79%, respectively. We see that our model is about 86% accurate, which might still be acceptable.
20
M. Y. Boudjella and A. Boudjella
Fig. 8. Ratio training accuracy to Test accuracy.
4 Conclusion In this study, we develop a machine learning model that is applied to data that does not contain any label information (Unsupervised learning algorithms).A quantitative estimation classification based on a statistical machine learning tool based on the KNN classifier is provided to forecast the hourly horizontal global irradiance received at Adrar city by creating four(04)classes. The implemented system is tested successfully, which is able recognize the hourly horizontal global irradiance magnitude on real dataset features consisting of 7 features and containing 44872 instances. It has been found out that the model performance depends on the test size and the number of neighbor k. Based on the evaluation of the model the performance in predicting the hourly horizontal global irradiance magnitude,we conclude that the prediction of four (04) classes can be optimized in the range of k [7–11] andby keeping the test size less than 25%. With this combination, the model prediction performs well where the test and training accuracy are larger than 88.5% and 83.6%, respectively.
References 1. Gueymard, C.A., Lara-Fanego, V., Sengupta, M., Xie, Y.: Surface albedo and reflectance: Review of definitions, angular and spectral effects, and intercomparison of major data sources in support of advanced solar irradiance modeling over the Americas. Solar Energy 182, 194–212 (2019) 2. Zhang, J., Zhao, L., Deng, S., Xu, W., Zhang, Y.: A critical review of the models used to estimate solar radiation. Renewable and Sustainable Energy Reviews 70, 314–329 (2017) 3. Besharat, F., Dehghan, A.A., Faghih, A.R.: Empirical models for estimating global solar radiation: A review and case study. Renewable and Sustainable Energy Reviews 21, 798–821 (2013) 4. Kumari, P., Toshniwal, D.: Deep learning models for solar irradiance forecasting: A comprehensive review. Journal of Cleaner Production 318, 128566 (October 2021) 5. Ruiz-Arias, J.A., Gueymard, C.A.: Worldwide inter-comparison of clear-sky solar radiation models: Consensus-based review of direct and global irradiance components simulated at the earth surface. Solar Energy 168, 10–29 (2018)
Machine Learning KNN Classifier for Forecasting Hourly Global
21
6. Voyant, C., Notton, G., Kalogirou, S., Nivet, M.L., Paoli, C., Motte, F., Fouilloy, A.: Machine learning methods for solar radiation forecasting: A review. Renewable Energy 105, 569–582 (2017) 7. Martinez-Castillo, C., Astray, G., Mejuto, J.C.: Modelling and prediction of monthly global irradiation using different prediction models. Energies 14(8), 2332 (2021) 8. Feng, Y., Hao, W., Li, H., Cui, N., Gong, D., Gao, L.: Machine learning models to quantify and map daily global solar radiation and photovoltaic power. Renewable and Sustainable Energy Reviews 118, 109393 (2020) 9. Benghanem, M., Mellit, A., Alamri, S.N.: ANN-based modelling and estimation of daily global solar radiation data: A case study. Energy conversion and management 50(7), 1644– 1655 (2009) 10. Hasni, A., Sehli, A., Draoui, B., Bassou, A., Amieur, B.: Estimating global solar radiation using artificial neural network and climate data in the south-western region of Algeria. Energy Procedia 18, 531–537 (2012) 11. Guher, A.B., Tasdemir, S., Yaniktepe, B.: Effective estimation of hourly global solar radiation using machine learning algorithms. International Journal of Photoenergy 2020 (2020) 12. Huang, L., Kang, J., Wan, M., Fang, L., Zhang, C., Zeng, Z.: Solar radiation prediction using different machine learning algorithms and implications for extreme climate events. Frontiers in Earth Science 9, 202 (2021) 13. Pedro, H.T., Coimbra, C.F.: Nearest-neighbor methodology for prediction of intra-hour global horizontal and direct normal irradiances. Renewable Energy 80, 770–782 (2015) 14. Chen, C.R., Kartini, U.T.: K-nearest neighbor neural network models for very short-term global solar irradiance forecasting based on meteorological data. Energies 10(2), 86 (2017)
A Multicore and Multithreaded Microcontroller Bernard Goossens1,2(B) , David Parello1,2 , and Dushan Bikov1,2 1 DALI/UPVD, Université de Perpignan Via Domitia, 52 avenue Paul Alduy, 66860 Perpignan,
France {goossens,parello,dushan.bikov}@univ-perp.fr 2 LIRMM: UMR 5506, 860 rue St Priest, 34095 Montpellier, France
Abstract. This paper presents a new type of multithreaded and multicore microcontroller. The aim is to provide more computing power for embedded applications, like the ones developed for smart cities. Through parallelism, our microcontroller is able to run multiple tasks, either independent or cooperating, like getting data from sensors, analysing them, taking decisions and activating actuators. Parallelism is handled through multithreading, which is better suited to real-time constraints than more traditional interruptions. The microcontroller Instruction Set Architecture (ISA) is the open source RISC-V RV32I. A prototype of the microcontroller has been implemented through High-Level Synthesis (HLS) tools on a Field Programmable Gate Array (FPGA). The implementation has been tested and evaluated on a set of benchmarks from the embedded application domain. This evaluation shows that multithreading is an effective technique to hide latencies with an average 1.09 Cycle Per Instruction (CPI) on a set of 16 benchmarks taken from the embedded application domain. The microcontroller speed-up has been measured on a distributed version of the matrix multiplication, varying the number of threads to distribute the computation. This measure shows that a 4 core processor running a total of 8 threads is 5.73 times faster than a sequential run. Keywords: Smart city · Microcontroller · Parallelism · RISC-V · High-Level synthesis · FPGA
1 Introduction For wikipedia, a smart city is “a technologically modern urban area that uses different types of electronic methods, voice activation methods and sensors to collect specific data. Information gained from that data is used to manage assets, resources and services efficiently; in return, that data is used to improve operations across the city”. A rather striking aspect of this definition is that the data collection is clearly separated from the utilization of the data. It seems implied that there should be at least 2 different systems, one being devoted to collect the data and the second to act intelligently from the collected data. However, there are many situations in which collecting data and using them should be done within a unique system. For example, autonomous vehicles observe their environment, and from this data take the correct decisions regarding the control of the vehicle. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 22–36, 2023. https://doi.org/10.1007/978-3-031-21216-1_3
A Multicore and Multithreaded Microcontroller
23
Usually, the data collection is done through sensors connected to a microcontroller. The microcontroller reads the sensors periodically and sends the read values to some centralized gathering station, where they are saved in a data base. Some nomadic devices like smartphones may access the data base through their installed applications. These nomadic devices themselves embark System-on-Chip (SoC) processors which are a combination of multiple components including processors and microcontrollers. For some reasons related to real-time constraints, the microcontrollers available on the market are mainly built around a single processing unit (single core). The constructors do sell a few high-end multicore microcontrollers. They are more SoCs linking multiple single core processors than true multicore. Moreover, they are too expensive to be used when millions of them are needed. In this paper, we propose a multicore multithreaded microcontroller to allow running a full smart city application, from the data acquisition on multiple sensors to their exploitation through the launching of actuators. The multiple concurrent or cooperating tasks are handled through the multithreading mechanism [1] embedded in the microcontroller pipeline design. As we show in this paper, multithreading is a way to run concurrent tasks better suited to real-time constraints than traditional interrupt based systems. The proposed microcontroller design aims to keep simple to become the new reference in the domain of the low-end, high volume microcontrollers. Instead of using n parts, each running a single task, our design allows to use n/8 parts, each running 8 tasks. The proposed microcontroller should be more expensive than actual single core ones probably but maybe less expensive than the needed set of components to run an equivalent set of tasks (multiple single core microcontrollers, printed circuits and cables).
2 The Performance Comes from Parallelism 2.1 Multicore and Parallelism Parallelism is not very popular in the domain of microcontrollers. To our opinion, the reason is probably that safety is difficult to ensure when multiple concurrent real-time tasks are run. It is very difficult, if not impossible, to precisely bound the time between a sensor read and an actuator write when other tasks may interfere through interrupts. The universal method to implement concurrency is through processor interrupts. In classical MicroProcessor Units (MPU), a single core may run multiple tasks through interrupt based interleaving. The interruption mechanism is also used to deal with I/Os. While a first task is waiting for an I/O controller, the core runs a second task. A multiple core processor also relies on interrupts. The cores pick their tasks from a shared pool. When a task is waiting, it is placed back in the pool. When a core needs to suspend a task, it picks up a replacing one from the pool. This parallelizing method is convenient on traditional Operating Systems like Linux, Windows or MacOS. However, for real-time applications, the interrupt technique has a major drawback. As the running task can be interrupted, it is impossible to associate a fixed running time to it, as illustrated on Fig. 1.
24
B. Goossens et al.
Fig. 1. Interrupts make timing non deterministic.
The lw load instruction reads a data from a sensor. The data is saved into register s0. Then, some computation is done using the data as a source and producing a result into register s1. This result is sent to an actuator with the sw store instruction. On Fig. 1a, the computation time is fixed and can be deduced from the machine instructions latencies. On Fig. 1b, the run may be interrupted multiple times at any moment between the sensor read and the actuator write, leading to an unpredictable delay. Timing is no more deterministic. The uncertainty on Worst Case Execution Time (WCET) is not acceptable in many safety critical real-time applications like autonomous vehicle driving. This is why, on microcontrollers running time critical tasks, interrupts are mainly used to do asymmetric I/Os rather than doing time-sharing for multiple tasks. The processor runs a single time-critical task which sleeps while waiting for an I/O completion. When this is done, the I/O controller interrupts the processor to resume the waiting task, which may not be interrupted. During the wait, a low priority task may run but should be replaced by the time-critical one as soon as the waiting condition is over. 2.2 Multithreading and Parallelism In this paper, we propose to replace the interrupt mechanism by multithreading. Multithreading is both a software and a hardware technique. In software, multithreading divides an application into multiple concurrent threads of computations. In hardware, multithreading is the interleaving of multiple HARdware Threads, or harts, in the processor pipeline. A multihart processor is composed of multiple hart slots. On Fig. 2, there are 2 hart slots. Each hart slot has a program counter (pc0 and pc1) and a register file (register file 0 and register file 1). The execute stage has 1 instruction register per hart (ir0 and ir1). The memory stage has 1 result register per hart (re0 and re1). The write back stage has 1 value register per hart (va0 and va1). The stage selects one of the harts to provide the instruction to be treated (on Fig. 2, the vertical lines joining the pcx, irx, register file x, rex, and vax boxes, with x ranging
A Multicore and Multithreaded Microcontroller
25
from 0 to 1, represent the selection mechanism). Hence, at each cycle, each stage runs 1 instruction of any hart (e.g. fetch from pc0, execute from ir1, accesses the data memory with execution result re1 and writes back final value va0 to register file 0). All the harts are run in parallel. A multihart processor, instead of interleaving threads through interrupts, runs all its harts in true parallelism. The major difference is that the hart parallelism gives deterministic timings (because they do not depend on any external factor) but the interrupt based thread interleaving does not (because of unknown added timings due to external interrupts).
Fig. 2. A pipeline built for 2 harts.
Multithreading is not only a technique ensuring deterministic timings. It is also a cheap way to tolerate latencies. In a multicycle pipeline, while the execution stage is running a long latency instruction for a given hart, instead of staying idle until the computation completion, it selects other harts. With multithreading, even though there are long latency pipeline stages, the CPI can keep close to its 1.0 peak value. For example, the multithreading mechanism can be used to replace caches because the processor runs other harts during multiple cycle memory accesses. The same remark applies to branch predictors and complex arithmetic operators. If at first sight multithreading seems a costly technique because of the register file duplication, it turns out to be cheap because many units necessary to keep the pipeline filled in a single thread processor can be removed in a multithreaded one (e.g. caches, predictors and pipeline improvements like bypassing).
3 The Microcontroller Market and the State-of-the-Art The MicroController Units (MCU) market was about 20 billion dollars in 2021, with a CAGR (Compounded Annual Growth Rate) of 14% [2]. This is to be compared to the 90 billion dollars MicroProcessor Units (MPU) market with a CAGR of 4% [3]. In terms of unit sales, in 2021 more than 30 billion MCUs were sold [4], to be compared to the 2.5 billion of sold MPUs [5]. 46% of the MCUs are general purpose (smartphones and consumer products) and 40% are used in the automotive industry. The remaining 14% are used in smartcards. In the automotive segment the demand is clearly for high-end MCUs: 77% of 32 bit MCUs, versus 18% for 16 bits MCUs and 6% for 8 bit MCUs [6]. 64 bit MCUs are still a niche.
26
B. Goossens et al.
The main producing companies for 32 bit MCUs are NXP Semiconductors (PowerPC e200, ARM Cortex M), Microchip Technology (PIC32), Renesas Electronics (ARM Cortex M, RISC-V), STMicroelectronics (PowerPC e200, ARM Cortex M) and Infineon Technologies (TriCore). ARM Cortex M MCUs are the most popular ones, followed by Microchip PIC32. There is a rising market around RISC-V based microcontrollers (Renesas RZ/Five, Andes Technology HPM6000, Gigadevice GD32VF103). High-performance pipelining techniques used in microprocessors like out-of-order execution are carefully avoided in microcontrollers. Moreover, none of the actual MCUs are multithreaded (except for the XMOS Xcore-200 [7]). When they are claimed to be multicore, they are in fact built around 2 rather independent cores, being more SoCs than multicore processors (the Parallax Propeller is an 8-core MCU though) [8]. The PIC32MX is a single core MCU [9]. It has a 5 stage in-order pipeline. The ARM Cortex M family [10] ranges from the low-end M0 with a 2 stage in-order pipeline to the high-end M7 with a 6 stage, dual issue, branch prediction, in-order pipeline. Concerning multicore MCUs, the NXP Mac57D5 [11] is a 3 core MCU combining a Cortex A5 (used as the application processor), a Cortex M4 (defined as a vehicle processor) and a Cortex M0 + (I/O processor). The STMicroelectronics STM32H7 [12] is a dual core combining a Cortex M7 (480MHz) and Cortex M4 (240MHz) processors. RISC-V based microcontrollers represent a small proportion of the market. It was 1.3% of the total shipments in 2021 but should reach 3.8% in 2022 [13]. The growth is expected to be high in the next few years. RISC-V based microcontrollers are competitive for at least 2 reasons. First, new companies may easily enter the market because the RISC-V architecture is open source [14, 15]. Second, the RISC-V ISA is designed as a combination of various purpose subsets. For example, the “E” subset (“E” stands for “Embedded”) restricts the number of registers to 16 instead of 32 in the base “I” subset. The “E” subset does not include floating-point instructions, nor integer multiplication or division. Hence, a constructor may develop a product line with increasingly complex processors, starting from the most basic ones using the “E” subset to the most general ones using the “G” subset.
4 A New Microcontroller 4.1 A Multihart Pipeline In this paper, we propose a new microcontroller design to improve performance through parallelism without sacrificing safety through the elimination of interrupts, replacing them with multithreading. To further allow the quick adoption of our proposition by microcontroller producing companies, our design is built around the open source RISC-V architecture.
A Multicore and Multithreaded Microcontroller
27
The base microcontroller pipeline has a 6 stage multicycle structure. This structure is vectorized to implement multithreading, as shown on Fig. 3.
Fig. 3. A 4-hart pipeline.
On Fig. 3, the pcx, dx, ix, ex, mx, and wx rectangles, with x ranging from 0 to 3, represent hart slots. The design shown has 4 harts, however, this can be varied from 2 to 8. The 6 stages are ordered from the left to the right on the upper part and from the right to the left on the lower part (the issue stage is followed by the execute stage). On Fig. 3, each stage has 4 slots (e.g. rectangles named i0 to i3 for the issue stage). Each slot may host 1 instruction. In the fetch stage, the slots are name pc0 to pc3. Each can host the code address of a running thread. Each stage includes a hart selection process in 2 steps. The first step is represented as a vertical line facing the stage 4 slots (e.g. the line joining the 4 dx slot outputs). It selects one of the hosted threads in the 4 hart slots. The second step is represented as a second vertical line after the first one (e.g. in the decode stage, the line joining the first line output and the decode stage bottom line input). It selects either the first step selection if any, or else the incoming instruction (or the 2 incoming pc for the fetch stage). Each selection vertical line represents a multiplexer to choose 1 of its inputs. A thread can be chosen if the instruction held in the stage or input is ready, i.e. fulfills some stage related condition. For example, an instruction in the issue stage is ready if its register sources and destination are not locked. The selection process follows a fixed priority order. The first step has priority over the second one. In the first step, harts are increasingly ordered (i.e. hart 0 has the highest priority and hart 3 has the lowest one). In the fetch stage, the pc incoming from the
28
B. Goossens et al.
decode stage (jal instruction target) has priority over the one incoming from the execute stage (branch or jalr instruction target). Hence on the figure the highest input on the first line has the highest priority and the lowest input on the second line has the lowest priority. This deterministic selection policy ensures the determinism of the hart interleaving. To test our design, we have implemented a set of prototypes on a Xilinx XC7Z020 FPGA [16]. Our implementations were done with the Vitis HLS tool [17]. High-Level Synthesis is particularly suited to rapid prototyping. It takes less than a month to develop a multithreaded RISC-V processor from scratch. 4.2 A Multicore Processor We have implemented a single core and single hart version. The multihart version has 2 harts, 4 harts and 8 harts. The multicore version was limited to a total of 8 harts not to exceed the available resources on the FPGA, either as a 2 cores 4 harts IP (Intellectual Property) or as a 4 cores 2 harts IP. The different cores are interconnected through an AXI interconnect IP provided by the Vivado library, as shown on Fig. 4. (The axi_interconnect IP connects 1 or more AXI memory-mapped master devices to 1 or more memory-mapped slave devices. The Advanced eXtensible Interface (AXI), is an on-chip communication bus protocol developed by ARM.) On Fig. 4, from the left to the right, there is a Zynq IP (processor embedded in the FPGA, which is used as an interface between the host machine and the other IPs connected to the AXI interconnect). Under the Zynq is the reset and clock management IP. On the right of these 2 IPs is the AXI interconnect which routes the communications between the connected IPs. The third column is made of 2 multihart cores (multihart_ip_0 and multihart_ip_1) and 2 RAM controllers (axi_bram_ctrl_0 and axi_bram_ctrl_1). The 4th column contains 2 RAM blocks (blk_mem_gen_0 and blk_mem_gen_1).
Fig. 4. A 2-core 4-hart processor.
A Multicore and Multithreaded Microcontroller
29
The microcontroller itself is the combination of the 2 multihart IPs, the 2 RAM blocks and the AXI interconnect IP. To run a program on the 2 core processor IP, the code is sent from the Zynq to the code RAM embedded in each multihart IP through the AXI interconnect. Initial data may be written to the data RAM blocks through the RAM controllers. Once this initialization phase is done, the processor IP is started (a start signal is sent from the Zynq to the 2 multihart IPs). The code to be run on each hart is a main function. When the function returns, the hart halts. When all the harts on a core have halted, the IP sends a done signal back to the Zynq. Once all the core IPs have sent their done signal, the result of the run can be observed by reading the data RAM blocks through the AXI interconnect. The data memory is shared, i.e. each hart has access to the whole memory. The local access latency is 1 processor cycle (direct access from the core IP to its RAM IP) and the remote access latency through the AXI interconnect is 5 processor cycles. Thanks to the multicycle pipeline, no other unit is needed in the core to tolerate the variable latency. Thanks to multithreading, the CPI keeps close to 1.0 with other harts being run while a remote memory access is in progress. 4.3 Independent Codes The harts can be used to run independent programs (see Fig. 5). In this case, each hart in each core IP receives its individual main function (e.g. 4 main functions on a 4 hart core). Each program accesses the hart partition in the local RAM block (no remote access through the AXI interconnect; a hart data memory partition is made of a global space, labeled with a g, and a stack space, labeled with an s). The runs on the different cores are done in parallel. The harts on a core are interleaved on a cycle basis.
Fig. 5. The code and data memories of a 2-core 4-hart processor.
4.4 Parallelized and Distributed Code The harts can be used to run concurrent programs sharing some data (see Fig. 6). For example, a matrix multiplication can be divided into subtasks (1 per hart). Each subtask multiplies a subset of a first source matrix X with a second source matrix Y, producing a subset of the result matrix Z.
30
B. Goossens et al.
On the figure, source matrix X is partitioned. Each partition is made of 1/8 of the lines of the X matrix. It is the same for matrix Y and for matrix Z. Hence, the code of hart h multiplies its local lines of matrix X by all the columns of matrix Y (1/8 of local accesses and 7/8 of remote accesses). The result is saved in the local partition of the Z matrix. With this algorithm, 30% of the accesses are local. Even though the number of remote accesses is high, i.e. the average memory access latency is 3.8 cycles, the measured CPI is 1.04, very close to the 1.0 peak. This shows that hiding latencies with multithreading is acceptable, compared to techniques to shorten latencies like caching or predicting.
Fig. 6. The shared data memory of a 2-core 4-hart processor to run a distributed matrix multiplication.
5 Experimental Evaluation of the Proposed Microcontroller 5.1 Evaluation of the Efficiency of the Multithreading Technique on the CPI Multithreading is a technique to hide latencies. It is in contrast with techniques to reduce the latencies like caches and predictors. With a memory hierarchy based on caches, the memory access latency is reduced from the memory access time to the first level cache access time. With a branch predictor, the branch latency is reduced from the time to compute the branch target to the time to predict it. The latency reduction is more effective when the success rate (of the cache or of the predictor) is closer to 100%. Multithreading does not reduce the latency in any way. However, when multiple threads are active, the pipeline can be filled by active threads while other threads are waiting for the termination of long latency operations. The efficiency of multithreading does not rely on the pattern of the thread run (e.g. the succession of cache accesses or of branches run, impacting the hit rates) but only on the number of active threads (e.g. to hide an n cycles latency, it is enough to have n active harts). To evaluate the capability of the multithreading mechanism to hide the latencies in our microcontroller design, we have run our processor on a set of benchmarks taken from the mibench suite [18] and from the riscv-tests provided by the RISC-V organization [19]. Not all the benchmarks of the 2 suites could be run, either because of the size of the code or data (the memory size on the FPGA is limited to 540KB), or because of the utilization of OS calls like malloc, not available on the FPGA environment.
A Multicore and Multithreaded Microcontroller
31
Table 1 shows the list of the benchmarks which were used to measure the CPI, the number of instructions of the run and the distribution of the three main categories of instructions: memory accesses (i.e. loads and stores), control (i.e. branches and jumps) and computations (i.e. Arithmetic and Logic Unit, ALU instructions). Table 1. The benchmarks. Name
Number of instructions
Memory accesses
Control
ALU
basicmath_mibecnh
30,897,739
5%
31%
65%
bitcounts_mibench
32,653,239
6%
24%
71%
6,683,571
6%
28%
66%
stringsearch_mibench
549,163
20%
17%
63%
rawcaudio_mibench
633,158
13%
28%
59%
rawdaudio_mibench
468,299
13%
26%
61%
qsort_mibench
crc32_mibench
300,014
20%
10%
70%
fft_mibench
31,365,408
3%
30%
67%
fft_inv_mibench
31,920,319
3%
30%
67%
median_riscv_tests
27,892
39%
42%
19%
157,561,374
3%
31%
67%
417,897
1%
32%
66%
qsort_riscv_tests
271,673
33%
32%
36%
spmv_riscv_tests
1,246,152
5%
26%
69%
403,808
62%
5%
33%
16,010
37%
12%
50%
mm_riscv_tests multiply_riscv_tests
towers_riscv_tests vvadd_risc_tests
The set of runs is varied enough in size and in instruction category distribution. Table 2 shows the number of processor cycles to run each benchmark and the CPI. Table 2. The benchmark CPI. Name
Number of cycles
CPI
basicmath_mibench
62,723,992
2.03
bitcounts_mibench
57,962,065
1.78
qsort_mibench
12,845,805
1.92
stringsearch_mibench
1,240,390
2.26
rawcaudio_mibench
1,363,673
2.15 (continued)
32
B. Goossens et al. Table 2. (continued) Name rawdaudio_mibench crc32_mibench
Number of cycles
CPI
942,834
2.01
660,028
2.20
fft_mibench
64,979,537
2.07
fft_inv_mibench
66,054,232
2.07
median_riscv_tests mm_riscv_tests multiply_riscv_tests
53,141
1.91
328,860,252
2.09
745,904
1.78
qsort_riscv_tests
491,648
1.81
spmv_riscv_tests
2,426,687
1.95
510,511
1.26
24,016
1.50
towers_riscv_tests vvadd_risc_tests average
1.92
The CPI ranges from 1.26 to 2.26, with an average value of 1.92. What affects the CPI in the 6 stage multicycle pipeline is the control instructions delay (1 cycle for a jal instruction, 3 cycles for a branch or a jalr instruction) and the dependency delay (the delay of a Read-After-Write, or RAW dependency; for example, if an instruction writes to register r, the next instruction using r must wait in the issue stage until r has been written back; if the using instruction is back-to-back to the writing one, the delay is 3 cycles). As memory accesses are done in a single processor cycle, they have the same impact on the CPI as ALU instructions. The CPI is rather high compared to optimized state-of-the-art pipelines. For example, the PIC32MX microcontroller is built around a MIPS-like 5 stages pipeline and the CPI is 1.0. On this pipeline, control instructions imply a delay cycle, which the compiler can fill with a useful instruction to hide the delay or else with a NOP. In this case, the CPI is not impacted but the number of instructions run is. In codes having many control instructions run, the number of NOPs run may be high. Moreover on the PIC32MX, RAW dependencies are handled through a bypass mechanism which eliminates any delay between the producer and the consumer. In our design, we did not include a bypass (which simplifies the hardware) and instead, we rely on multithreading to hide the producer to consumer delay. Table 3 shows the CPI for a single core and multihart implementation. The experience is a run of the same benchmark on all the available harts (e.g. 4 runs of the basicmath benchmark in a 4 hart processor). These runs are done in parallel, with a cycle interleaving based on a selection of the highest priority active hart. The 4 harts average CPI is 1.09, showing that multithreading is efficient to reduce the CPI. The 8 harts average CPI is not improved, showing that 4 harts is a better choice for a multihart design.
A Multicore and Multithreaded Microcontroller
33
Table 3. The multihart CPI. Name
CPI 2 harts
CPI 4 harts
CPI 8 harts
basicmath_mibench
1.44
1.10
1.11
bitcounts_mibench
1.35
1.10
1.09
qsort_mibench
1.40
1.09
1.09
stringsearch_mibench
1.57
1.09
1.09
rawcaudio_mibench
1.56
1.13
1.12
rawdaudio_mibench
1.48
1.11
1.10
crc32_mibench
1.60
1.03
1.03
fft_mibench
1.46
1.10
1.11
fft_inv_mibench
1.46
1.10
1.11
median_riscv_tests
1.36
1.11
1.11
mm_riscv_tests
1.47
1.10
1.11
multiply_riscv_tests
1.32
1.13
1.07
qsort_riscv_tests
1.36
1.12
1.10
spmv_riscv_tests
1.41
1.09
1.09
towers_riscv_tests
1.12
1.00
1.01
vvadd_risc_tests
1.25
1.03
1.04
average
1.41
1.09
1.09
5.2 Evaluation of the Speed-Up on a Multicore Design To evaluate the efficiency of our multicore design, we have compared the run time of the matrix multiplication benchmark presented in section IV, D, applied to the multiplication of two 96x96 square matrices of integer numbers, on different implementations involving an increasing number of harts (the sizes of the matrices were chosen to fit in a 128KB RAM limit). The base RV32I ISA has been extended with the M extension (multiplication instruction) and the HLS implementation has been modified to integrate the new integer multiplier.
34
B. Goossens et al.
Table 4 shows the speed-up when distributing the run on multiple cores (with a maximum of 8 harts). The speed-up is the ratio of the number of cycles of the run on a single hart microcontroller (the first line in Table 4) on the number of cycles of the run on a multihart microcontroller (the other lines). Table 4. The speed-up on a matrix multiplication (ratio single hart/multihart). Number of cores
Number of harts
Number of instructions
Number of cycles
Speed-up
1
1
6,257,962
12,488,275
-
2
2
6,509,120
4,171,582
2.99
2
4
6,792,968
3,459,050
3.61
4
2
6,792,968
2,181,072
5.73
The speed-up shows that the multithreading technique is efficient to hide the remote memory accesses latency and to provide more performance. Figure 7, shows the speed-up (lower line) and the size increasing factor (LUTs, upper line) for 2 cores and 2 harts, 2 cores and 4 harts and 4 cores and 2 harts, with the 1 core and 1 hart reference. Figure 8. is the histogram of the size (number of LUTs) for the designs varying the number of cores and harts (RV32I, no multiplier, Vivado 2022.1). Figure 9 is the histogram of the number of instructions run (left) and cycles of the run (right).
Fig. 7. The speed-up, the size increasing factor, the number of instructions and cycles.
A Multicore and Multithreaded Microcontroller
35
Fig. 8. The number of LUTs.
Fig. 9. The number of instructions and the number of cycles.
6 Conclusion This paper presents a multihart and multicore microcontroller design in which parallelism is used to increase performance. Multithreading is the main technique used to tolerate latencies. Our experiments based on an FPGA prototype show that multithreading is an efficient way to keep a pipeline filled and that multicore and multihart parallelism does give significant speed-up. Moreover, we propose in this paper to replace interrupt based parallelism on microcontrollers with more secure multithreading based parallelism. Multithreading parallelism can provide time determinism, which interrupt based concurrency cannot.
36
B. Goossens et al.
References 1. Tullsen, D.M., Eggers, S.J., Levy, H.M.: Simultaneous multithreading: Maximizing on-chip parallelism. 22nd ISCA. IEEE. pp. 392–403. ISBN (1995) 2. https://www.factmr.com/report/4556/microcontroller-market?utm_source=adwords&utm_ medium=ppc&gclid=CjwKCAjwj42UBhAAEiwACIhADkHuBk3JSS9cIwzOGaNjEEJd NkNoEJply25EGmLSZ15XL0NpKieBzhoCji8QAvD_BwE 3. https://www.precedenceresearch.com/microprocessor-market 4. https://www.icinsights.com/news/bulletins/Microcontrollers-Get-A-Lift-From-AutomotiveAfter-2021-Rebound/#:~:text=IC%20Insights’%20forecast%20shows%20total,final%20y ear%20of%20the%20forecast 5. https://www.icinsights.com/news/bulletins/Microprocessor-Sales-Will-Continue-Double Digit-Growth-In-2021/#:~:text=With%20MPU%20shipments%20reaching%202.5,this% 20year%20(Figure%201) 6. https://www.eetasia.com/automotive-mcu-market-to-surge-23-in-2021-despite-shortages/ 7. https://www.xmos.ai/xcore-200/ 8. https://en.wikipedia.org/wiki/Parallax_Propeller 9. https://www.microchip.com/en-us/products/microcontrollers-and-microprocessors/32-bitmcus/pic32-32-bit-mcus/pic32mx#Parametric%20Chart 10. https://en.wikipedia.org/wiki/ARM_Cortex-M 11. https://www.nxp.com/products/processors-and-microcontrollers/arm-microcontrollers/mac 57d5xx-mcus/ultra-reliable-multi-core-arm-based-mcu-for-clusters-and-display-manage ment:MAC57D5xx 12. https://www.st.com/en/microcontrollers-microprocessors/stm32h7-series.html 13. https://www.i-micronews.com/products/microcontroller-quarterly-market-monitor/?cn-rel oaded=1 14. https://riscv.org/ 15. https://github.com/riscv/riscv-isa-manual/releases/download/Ratified-IMAFDQC/riscvspec-20191213.pdf 16. https://www.xilinx.com/products/silicon-devices/soc/zynq-7000.html 17. https://docs.xilinx.com/r/en-US/ug1399-vitis-hls 18. https://vhosts.eecs.umich.edu/mibench/ 19. https://github.com/riscv-software-src/riscv-tests
Brain Tumor Classification Using Convolutional Neural Networks and Transfer Learning Cherifi Dalila(B) , Cherifi Zakaria, and Belkadi Wassim Institute of Electrical and Electronic Engineering, University of Boumerdes, Boumerdes, Algeria [email protected], [email protected], [email protected]
Abstract. Brain tumors are one of the top causes of mortality in both children and adults across the world. Early detection of the tumor can give the patient a new chance in life to undergo effective treatment to save them. Despite the great medical and technological advances, the current test methods for diagnosing and classifying brain tumors are prone to human error, since human-assisted manual classification can result in incorrect prognosis and diagnosis. These drawbacks highlight the need of employing a completely automated system for the detection of brain tumors. The emergence of deep learning and its successes in classification of images warranted by its performance and ability to generalize on various data, led us naturally to use it to solve this problem. This work aims to be a concise exposition of deep learning architectures applied to medical imaging, with a focus on the analysis of MRI images for the automatic classification of brain tumors for the early diagnosis purposes. We consider classification as a supervised learning problem and we address it by means of Convolutional Neural Networks (CNN). Two different CNN models are proposed for two separate classifications, with changing and tuning various hyper-parameters. Two datasets were used, the first dataset of brain MRI Images provided by Navoneel Chakrabarty and the second dataset acquired from the Kaggle platform under the name BT-multiclass. The Using the first proposed model, brain tumor detection is accomplished with 91% percent accuracy. With an accuracy of 92% percent, the second proposed model can classify brain tumors into four types: non-tumor, glioma, meningioma, and pituitary. Using transfer learning, the proposed CNN models for both classifications are then compared to other popular pre-trained CNN models such as Inception-v3, ResNet-50, and VGG-16; and satisfactory findings are obtained. Thus, the inclusion of this type of methodologies favors both the patient and the physician, making it possible to carry out more precise quantitative diagnoses. Keywords: Brain tumors · IRM · CNN · Transfert learning
1 Introduction Tumors are blocks of cells characterized by uncontrollable division. They can be benign or malignant (cancer), depending on how fast they grow and whether they can be resected or cured by neurosurgical treatment. We can classify brain tumors into two types according to their nature, origin, growth rate and stage of progression. The first type, tumors © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 37–48, 2023. https://doi.org/10.1007/978-3-031-21216-1_4
38
C. Dalila et al.
that originate from cells in the brain. Metastatic brain tumor occurs when cancer cells spread into the brain from a primary cancer located in another part of the body, this is the second type. Among all types of brain tumors, gliomas suppose most of them and are usually cancerous. Despite the great medical and technological advances, the diagnosis and prognosis of these tumors continues to be poor, especially gliomas, for which the life expectancy is less than two years and whose treatment, extremely aggressive, can cause severe problems to the patient. Many lives could be saved if cancer is detected early with rapid and cost-effective diagnostic techniques. Small tumors are much easier to remove, but small tumors become large tumors. Once symptoms appear, it is usually too late to treat the tumor. However, it is very difficult to treat cancer at higher stages where survival rates are low. The diagnosis of these pathologies has improved markedly thanks to the introduction into clinical practice of Magnetic Resonance Imaging (MRI) along with algorithms and diagnostic aid systems that are demonstrating great potential, not only to improve this, but also the treatment of this type of diseases. However, these tests can detect areas with high tumor suspicion, the diagnosis of which must be confirmed by performing a biopsy. These images are not easy to interpret, which means that the professional in charge of analyzing them, despite his experience, is not able to detect a significant percentage of tumors [1–6]. The objective of the proposed work is to minimize false alarms, increase the performance of early detection and to help reduce cancer mortality. The work relies on an algorithm and depends on imaging systems, feature extraction and classification using one type of artificial neural networks which are Convolutional Neural Networks (CNN). The algorithm analyzes the medical image and tries to detect areas suspected of containing an abnormality. The radiologist will then be able to interpret the information contained in the image with the information contained in the medical image with less difficulty. This work depicts two separate classifications, binary and multiclass, of brain tumors through different proposed and pre-trained models for classification of brain tumors. The second section gives an overview of the brain tumor. The third section introduces the convolutional neural network, its architectures and the different pre-trained models. Lastly, the obtained findings and the discussion are tackled in the fourth section.
2 Brain Tumors Tumors are one of the most dangerous and complicated diseases which can be on any part of the body with an irregular shape of lump on body’s part. The most dangerous tumor is a brain tumor and they are very difficult to cure it. A brain tumor occurs when abnormal cells form in the brain. Many distinct types of brain tumors exist. Some brain tumors are benign (noncancerous), whereas some brain tumors are malignant (cancerous). Cancerous tumors can be divided into primary tumors that start in the brain and secondary tumors also known as metastasis tumors that have spread from other parts of the body to the brain [1–4]. The influence of the brain tumor on the nervous system’s function is directly related to its rate of growth and location. The type of brain tumor along with the size and location influence the treatment options [5, 6]. Brain tumors that start in the brain are called primary brain tumors, they can be benign or malignant. However, we can find another type of brain tumors which are called secondary brain
Brain Tumor Classification Using Convolutional Neural Networks
39
tumors which make up the majority of brain cancers and are always malignant. These tumors start in one part of the body and spread, or metastasize, to the brain [6]. For medical diagnosis, we need to take the medical image information of the brain. To obtain the internal structure of the brain, Brain Scan medical technique is used. The most used brain scan technique is MRI because of its high resolution. The MRI has a lot of information about the brain structure and it also displays any abnormalities within the brain cell. Neural networks have become very famous in the medical field due to their results in the detection and classification of different diseases. They have been implemented in the detection and classification of different types of diseases especially cancer diseases, skin diseases, and other different infections. The detection of the type of the tumor is a very tough process that requires a lot of experience in the cancer diseases.
3 Classification Using Convolutional Neural Networks For image classification, artificial neural networks are widely used and their architecture can represent complex relations. Convolution Neural Networks (CNN) is a method which used for patterns recognizing and image classification. CNN’s are a collection of neurons with learnable weights and biases, and it is used to achieve a good accuracy in image classification. It can able to learn complex features automatically from images. There are many advanced methods in Machine Learning and Deep Learning ready to be used for image processing. Convolutional Neural Networks (CNN) is an algorithm belonging to deep learning, a new branch of machine learning (one of the fundamental areas of artificial intelligence). CNNs are inspired by the findings of Hubel and Wiesel regarding two basic types of cells identified in the visual cortex of cats. In analogy to the visual cortex, CNNs use a deep architecture characterized by alternating layers of convolution and subsampling. CNNs are used for automatic two-dimensional pattern recognition problems such as the detection of objects, faces and logos in images or document analysis. The choice of this algorithm is due to the fact that the performances obtained in some problems related to computer vision are superior to those obtained with other methods in most of the previous researches [7–12]. This work presents the implementation of convolutional neural networks for the detection and classification of brain tumor infections. The interest in developing a robust and automatic approach to achieve this is fueled by the need to use it to provide an automatic system in the early diagnosis and prognosis of brain tumors which is important to help medical doctors in their decisions. We perform binary classification at first and then multi-classification [13–16], In addition, we have used three different pre-trained deep convolutional neural networks which are: ResNet-50, VGG-16 and Inception V3.
4 Experements and Results This section tackles the implementation of Brain Tumor Classification from MRI Images using Deep Neural Networks. At first, we will use the dataset that contains tumor and nontumor images to perform a binary classification. After that, we will use a different dataset that contains four different types of brain tumor to perform a multi-classification. We
40
C. Dalila et al.
have used CNN to implement the algorithm and interpret it using Python with changing some parameters to track the performance. We have come up to different results for the two classifications which are discussed in details in this chapter. 4.1 Dataset Acquisition We have performed a set of experiments on two different brain MRI datasets which are publicly available for the tasks of brain tumor classification The first dataset of brain MRI Images provided by Navoneel Chakrabarty as shown in Fig. 1. The dataset was downloaded from the Kaggle platform under the name BT-binary and contains 253 Brain MRI images divided into 2 folders entitled: “yes” and “no” with each containing 155 and 98 Brain MRI Images respectively.
Fig. 1. Examples of brain MRI images in BT-binary dataset [17].
The second dataset consists of 3064 images. It was also acquired from the Kaggle platform under the name BT-multiclass. The examples of brain MR images in BT-binary, BT-multi datasets are shown in Fig. 1. The dataset is divided into three different folders according to the three distinct types of brain tumors known as Gliomas, Meningiomas, and Pituitary tumors as depicted in Fig. 2.
Fig. 2. Examples of brain MR images in BT-multiclass dataset [17].
4.2 Training The data set is divided into three sections: training, validation, and testing. To calculate the loss and train the network, the training set is used. After each training phase, the validation set is utilized to evaluate the network. It is used to figure out when the network has reached a point of convergence. Finally, the accuracy of the network is assessed using the test set.
Brain Tumor Classification Using Convolutional Neural Networks
41
4.3 Evaluation Metrics An ideal test rarely overlooks the thing you are looking for (i.e., it is sensitive) and rarely mistakes it for something else (i.e. it is specific). Therefore, when evaluating diagnostic tests, it is important to calculate the sensitivity and specificity for that test to determine its effectiveness [18, 19]. A diagnostic test’s sensitivity is defined as the probability that a sample tests positive given that the patient has the disease whereas specificity is expressed as the probability that a test returns a negative result given that the patient does not have the disease, other metrics are used which are: Accuracy Average accuracy, Precision, Recall, F1-score, respectively of a given test. 4.4 Experiments 1) Binary Classification: CNN Model In the first classification, the dataset is split into three sets: training, validation and testing sets. The training set constitutes 70% of the dataset whereas the test and the validation sets represent each 15%. The output classes are ‘tumor’ and ‘non-tumor’. For each class, the accuracy, specificity, and sensitivity are calculated. After performing data augmentation, the number of samples in the train, validation, and test sets are 1444, 310 and 310 respectively. • Experiment 1 In this first experiment, we have designed a CNN of 4 convolutional layers. To prevent overfitting, four convolutional layers are followed by one max pooling layer and dropout layer with a dropout rate of 0.27. After that, the architecture end with two fully connected layers, with 256 units for the first layer and 2 units for the last layer; the latter represent the two output classes for classification. A dropout layer with a dropout rate of 0.2 exists between the two fully connected layers. The batch size is set to 32 with 25 epochs. The optimizer algorithm used here is Adam, with a learning rate of 0.0001. As revealed the training accuracy increases dramatically whereas the validation accuracy rises steadily for a short time before it reaches a plateau. As a result, we can deduce that the model is highly overfitting despite the utilization of numerous dropout layers. After plotting the confusion matrix, we have used its values to compute the three evaluative metrics; sensitivity, specificity and accuracy. The results are summarized in Table 1 below:
Table 1. Training results of the first proposed model for binary classification. Accuracy
Sensitivity
Specificity
77%
89%
73%
42
C. Dalila et al.
• Experiment 2 In this second experiment, we have designed a CNN architecture of 5 convolutional layers with one max pooling layer followed by one dropout layer with a dropout rate of 0.3 both located after every two convolutional layers. Following that, there is one fully connected layer of 256 units, followed by a last fully connected layer of 2 units that represent the two outputs. Between the two fully connected layers, there is one dropout layer with a dropout rate of 0.5. The batch size and the number of epochs remain untouched as regard to the last experiment with values of 32 and 25 respectively. The optimizer algorithm used is Adam, with a learning rate of 0.0001. We plotted the accuracy after that the training was completed, and the results are as follows: The evaluation metrics are calculated based on the confusion matrix and the results are in the following table: (Table 2).
Table 2. Training results of the second proposed model for binary classification. Accuracy
Sensitivity
Specificity
87%
90%
82%
• Experiment 3 In this third experiment, we retain the same structure of the architecture used in the second model with adding batch normalization after each convolutional layer. The batch size is increased to 128 and the number of epochs is set to 27. The optimizer algorithm used is again Adam, however, the learning rate is increased to 0.001. The resulted evaluations metrics are given in the following table: (Table 3). Table 3. Training results of the third proposed model for binary classification. Accuracy
Sensitivity
Specificity
91%
91%
92%
2) Binary Classification: CNN Model with Transfer Learning After that we have worked on the proposed model and tried to change and tune different parameters to improve the accuracy of the model, we moved to use a different approach using some known pre-trained models on ImageNet. We have frozen the weights of bottleneck layers of the pre-trained CNN models on the ImageNet dataset. In addition to that, we have converted the images to the size 224 × 224 (or 299 × 299) pixels as the pre-trained networks used in our experiments require the input images to be 224 ×
Brain Tumor Classification Using Convolutional Neural Networks
43
224 in size except for the Inception V3, which requires the input images with size 299 × 299. The Accuracy, Sensitivity and Specificity of the pre-trained models: VGG-16, ResNet-50, and Inception-v3 are shown in Table 4: Table 4. Training results of the three pre-trained models. Model
Accuracy
Sensitivity
VGG-16
92%
93%
Specificity 80%
ResNet-50
85%
96%
75%
Inception-v3
64%
63%
100%
3) Multi-classification: CNN Model In this second classification, as we have used another dataset, we have made some changes on the model comparing to the last two experiments by changing some parameters and algorithms that are more compatible with the new dataset. We have changed the input shape to match the images used for training and we have changed the output shape to meet the number of classes desired. • Experiment 1 In this first experiment, we have trained our 4 conv layers model already used in the first application of the binary classification. We have taken the new dataset and we made the proper changes to meet the compatibility. To prevent overfitting, the four convolutional layers are followed by one max pooling layer and one dropout layer with a dropout rate of 0.27. In addition to that, we have added two fully connected layers with 256 and 4 units respectively with the latter representing the four output classes. A dropout layer with a dropout rate of 0.2 exists between the two fully connected layers. The batch size and the number of epochs are set to 32 and 25 respectively. The optimizer algorithm used is Adam, with a learning rate of 0.0001. The resulted evaluations metrics are given in the following table: (Table 5). Table 5. Training results of the first proposed model for multi-classification. Accuracy
Precision
Recall
F1-Score
68%
54%
53.5%
54%
• Experiment 2 In this second experiment, we have added one convolutional layer to the last model so that we have 5 convolutional layers. Moreover, we have increased the dropout
44
C. Dalila et al.
probability to avoid overfitting. The resulted evaluations metrics are given in the following table: (Table 6). Table 6. Training results of second proposed model for multi-classification. Accuracy
Precision
Recall
F1-Score
88%
76%
71%
73.4%
• Experiment 3 In this third experiment, we maintained the same algorithm used in the second model; however, we have added batch normalization after each convolutional layer. We have increased both the batch size and the number of epochs to 128 and 30 respectively. The optimizer algorithm used is still Adam, except that the learning rate has been increased to 0.001. The resulted evaluations metrics are given in the following table: (Table 7). Table 7. Training results of the third proposed model for multi-classification. Accuracy
Precision
Recall
F1-Score
91%
79%
71%
75%
4) Multi-classification: CNN Model & Transfer Learning After we had worked on our proposed model through changing different hyperparameters of the model, we have moved to using some pre-trained models whose weights are already pre-trained on ImageNet in the sake of improving the performance. We have evaluated the classification performance using the pre-trained architectures (VGG16, Inception-v3 and ResNet-50) for different values of epochs. The objective is to increase the classification accuracy and avoid the problem of overfitting. This can be achieved through using different transfer learning pre-trained models for different values of epochs. The accuracy, precision, recall and f1-score results are given in the following Table: (Table 8). 5) Discussion From the two first applications of each classification task, we can conclude that adding convolutional layer to the architecture enhances the performance in terms of accuracy. However, removing any of the middle layers results in the network’s accuracy degrading. Hence, the depth of the architecture really is critical to achieving our results. In terms
Brain Tumor Classification Using Convolutional Neural Networks
45
Table 8. Training results of the three pre-trained models. Architectures Accuracy Precision Recall F1-Score VGG-16
91%
85%
76%
80%
ResNet-50
82%
61%
60%
61%
Inception-v3
76%
53%
50%
52%
of accuracy, the model built in the third application outperforms the previous models significantly. The combination of dropout and batch normalization significantly reduces overfitting and improves the performance of the model. Surprisingly, the increase in batch size (32 to 128) and learning rate (0.0001 to 0.001) from the second to third model does not degrade the model’s quality. With small datasets, satisfactory classification results are obtained. For example, brain tumor detection (first classification) is achieved with a highly satisfactory accuracy of 92% using the first designed CNN model. In addition, the brain tumor type classification (second classification) is performed with an accuracy of 92.66%. It is worthwhile to compare the results of the proposed CNN models with the outcomes of existing popular pre-trained CNN models. The same tests are carried out with the same dataset utilizing popular pre-trained CNN models: Inceptionv3, ResNet50, and VGG-16 using the transfer learning approach. In terms of accuracy, the proposed CNN models outperform the other pre-trained models in both classification task. In brain tumor detection task, the application of the VGG-16 architecture which achieved 97% accuracy on training set and 92% validation set is the closest model to the proposed model, while ResNet-50 obtained 96% on training set and 85% on validation set and lastly, Inception-v3 achieved 91% and 64% on training and validation sets respectively. On the other hand, in brain type classification, the pre-trained VGG-16 model achieved 99% classification accuracy on the training set and 91% on the validation set and is the closest model to the proposed CNN model, while ResNet-50 achieved 82% on both sets whereas Inception-v3 obtained 76% and 78% on training and validation sets respectively. The time taken by the model to train is another important attribute for performance. We compiled all the models for 30 epochs to be consistent and better model comparison, the three pre-trained models are slow compared to our proposed model. One possible explanation for the outperformance of the proposed CNN models comparing to the pre-trained models is that such pre-trained models are built and trained on general datasets for general image classification tasks. The proposed CNN models, on the other hand, are intended for more specialized tasks such as brain tumor detection and tumor-type classification. Furthermore, the proposed models are trained and tested using MRI images of brain tumors. Another reason why the proposed CNN models outperform the pre-trained models is because the proposed CNN architectures have been tuned for the specific purposes and have employed the hyper-parameters that produce the best results for the specific tasks in question. Looking at the literature, one can see that some researchers have classified the MRI images into tumor and non-tumor images, while other researchers have made brain tumor type classification. Because these two tasks are accomplished using the proposed model, they are compared to individual research in the literature. Different researchers have presented different systems to perform either of the
46
C. Dalila et al.
two classifications and considerable results have been found. From the recent approaches obtained in the literature in terms of performance evaluation and classification type we found that in Abiwinanda et al. proposed 4-layered CNN and they got 84.19% [20], Hossam et al. proposed 16- layered CNN and they got 96.13% [21], Badža et al. proposed 22-layered CNN and they got 96.56% [22], Ayadi et al. proposed 18-layered CNN and they got 94.74% [23]. In this proposed work, we proposed 5-layered CNN and we got 92%. After thorough consideration of the literature [24–26], the key benefits and contributions of the proposed method in this work is that it has used a simple CNN with 5 convolutional layers and produced an accuracy that outperformed the other pre-trained models for both classifications tasks. In terms of complexity, the proposed model is by far less complex than the pre-trained models which will result in less execution time and less computational specifications. Moreover, the model being less complex means that it is systematically less prone to the problem of overfitting As a result, this model does not require high computing power and highly advanced hardware to be executed on.
5 Conclusion We have unveiled the results obtained by our approach of brain tumor classification from MRI images. Despite the very limited number of image available during the training of the model, our approach achieved classification that is judged according to the similarity measures employed and the observed qualitative results as successful and very encouraging in view of the increased difficulty of brain tumor deformations. At the same time, this has allowed us to confirm that the transfer learning proved to be an effective strategy to adapt a more generic model to the database of images of brain tumors. Interpreting CNNs is challenging due to many layers, millions of parameters, and complex, nonlinear data structures. We have used a number of different structures and parameters were trained and analysed in order to explore the effects of these parameters on the outcomes of the model, and to figure out the best methods in the building of the application. The dataset is extended using data augmentation to overcome the challenges faced during the experiment implementation. The accuracy achieved by the model is exceptional and reliable compared to other transfer learning methods. The strength of the proposed method is that the model learns of the instances rapidly, which leads to high accuracy at early epochs. One limitation that hindered our experiments is the unavailability of larger datasets. Another aspect that may hinder the performance is that MRI images are vulnerable to noise, so more complicated inhomogeneity correction should be applied. Moreover, improvement of the quality of the utilized visual feature is very essential to produce better classification results that can lead to augmenting the tumor region. Our results revealed that a large, deep convolutional neural network can achieve good results on a highly challenging dataset. It is worth noting that removing a single convolutional layer reduces the performance of our network in terms of accuracy, for example, models with five convolutional layers outperform models with four convolutional layers. However, removing any of the middle layers results in the network’s accuracy degrading. Also, Our proposed model needs less computational specifications as it takes less execution time and our accuracy rate is very fine as compared to VGG16, ResNet-50, and Inception-v3 model. As ideas for future improvements, is to use
Brain Tumor Classification Using Convolutional Neural Networks
47
advanced deep learning algorithms. Finally, to overcome the crucial problem of noise in images, we propose to use different techniques of data preprocessing, which would allow to generate images that are noiseless and could be used more efficiently during training. The model existing today could be used as a point of comparison for future studies that aim to go beyond what we have provided and this is of paramount importance.
References 1. Brain Tumor: (27 April 2019). Retrieved from Mayo Clinic: https://www.mayoclinic.org/ 2. National Cancer Institute: (5 November 2018). Retrieved from www.cancer.gov 3. Anatomy of the brain: (April 2018). Retrieved from Mayfield Brain & Spine: https://mayfie ldclinic.com/ 4. Smiley, E.: The Four Lobes of the Brain (5 January 2015). Retrieved from Hubpages: https:// discover.hubpages.com/ 5. What Causes Brain Tumors? (5 May 2020). Retrieved from American Cancer Society: https:// www.cancer.org/ 6. Brain Cancer (Brain Tumor) (20 Feb 2020). Retrieved from Cleveland Clinic: https://my.cle velandclinic.org/ 7. Martelacci, M.: Why Deep Learning is important for Enerbrain (March 4 2021). Retrieved from enerbrain: https://www.enerbrain.com/ 8. Marais, F.: Machine learning algorithms in boiler plant root cause analysis (15 October 2019). Retrieved from ee publishers: https://www.ee.co.za/ 9. Everything you need to know about neural network: (10 May 2019). Retrieved from Hackernoon: https://hackernoon.com/ 10. Ashraf, M.T.H.: Brain Tumor Detection using Convolutional Neural. Dhaka, Bangladesh (June 2019) 11. What is Artificial Neural Network (ANN)? How ANN Works ? (13 Dec 2020). Retrieved from https://www.pythondotpy.com/ 12. Hidden Layer: (2019). Retrieved from DeepAI: https://deepai.org/ 13. Reynolds, M.: New computer vision challenge wants to teach robots to see in 3D (7 April 2017). Retrieved from New Scientist: https://www.newscientist.com/ 14. Xiangyu Zhang, J.Z.: Accelerating Very Deep Convolutional Networks for Classification and Detection (18 Nov 2015). Retrieved from Neurohive: https://neurohive.io/ 15. Christian Szegedy, V.V.: Rethinking the Inception Architecture for Computer Vision. University College London, United Kingdom (2016) 16. Mujtaba, H.: Introduction to Resnet or Residual Network (28 Sep 2020). Retrieved from https://www.mygreatlearning.com/ 17. Brain MRI Images for Brain Tumor Detection. (n.d.). Retrieved from Kaggle: https://www. kaggle.com/ 18. Steward, K.: Sensitivity vs Specificity (16 April 2019). Retrieved from Technology Networks: https://www.technologynetworks.com 19. Shalloway, B.: Weighting Confusion Matrices by Outcomes and Observations (7 Dec 2020). Retrieved from R Bloggers: https://www.r-bloggers.com/ 20. Abiwinanda, N., Hanif, M., Hesaputra, S.T., Handayani, A., Mengko, T.R.: Brain Tumor Classification Using Convolutional Neural Network. In: Lhotska, L., Sukupova, L., Lackovi´c, I., Ibbott, G.S. (eds.) World Congress on Medical Physics and Biomedical Engineering 2018. IP, vol. 68/1, pp. 183–189. Springer, Singapore (2019). https://doi.org/10.1007/978-981-109035-6_33
48
C. Dalila et al.
21. Mohsen, H., El-Dahshan, E.S.A., El-Horbaty, E.S.M., Salem, A.B.M.: Classification using deep learning neural networks for brain tumors. Future Comput Informat J 3(1), 68–71 (2018). https://doi.org/10.1016/j.fcij.2017.12.001 22. Badža, M.M., Barjaktarovi´c, M.C.: Classification of brain tumors from MRI images using a convolutional neural network. Appl Sci 10(6), 1–13 (2020). https://doi.org/10.3390/app100 61999 23. Ayadi, W., Elhamzi, W., Charfi, I., Atri, M.: Deep CNN for brain tumor classification. Neural Process. Lett. 53(1), 671–700 (2021). https://doi.org/10.1007/s11063-020-10398-2 24. Çinar, A., Yildirim, M.: Detection of tumors on brain MRI images using the hybrid convolutional neural network architecture. Med Hypotheses 139, 109684 (2020). https://doi.org/10. 1016/j.mehy.2020.109684 25. Rehman, A., Naz, S., Razzak, M.I., Akram, F., Imran, M.: A deep learning-based framework for automatic brain tumors classification using transfer learning. Circuits Systems Signal Process. 39(2), 757–775 (2019). https://doi.org/10.1007/s00034-019-01246-3 26. Deepak, S., Ameer, P.: Brain tumor classification using deep CNN features via transfer learning. Comput Biol Med 111, 103345 (2019). https://doi.org/10.1016/j.compbiomed.2019. 103345
Design, Implementation, and Deployment of IoT/M2M Smart City Applications Based on MCNs Rania Djehaiche1(B) , Salih Aidel2 , Massinissa Belazzoug1 , and Nasir Saeed3 1 ETA Laboratory, Electronics Department, Faculty of Technology, University of Mohamed El
Bachir El Ibrahimi, 34030 Bordj Bou Arreridj, Algeria {rania.djehaiche,m.belazzoug}@univ-bba.dz 2 Electronics Department, Faculty of Technology, University of Mohamed El Bachir El Ibrahimi, 34030 Bordj Bou Arreridj, Algeria [email protected] 3 Remote Sensing Unit, Department of Electrical Engineering, Northern Border University, Arar, Saudi Arabia [email protected]
Abstract. Recently, the widespread adoption of the Internet of Things (IoT) and machine-to-machine (M2M) has led to a significant influx of smart services and applications. This research paper addresses the deployment and implementation of IoT/M2M technologies in the smart city, one of its most popular applications. The proposed solution presents a complete system for IoT/M2M smart cities based on different mobile cellular networks (MCNs) such as 2G, 4G, or 5G; to provide safety, convenience, energy saving, and urban quality of life improvement by using efficient and low-cost components such as Arduino microcontroller and NodeMCU board with several compatible sensors, actuators, and shields. The purpose of this paper is to present a practical example of an IoT/M2M smart city system; that contains several smart applications such as smart safety that consists of three services (fire and gas detection, air quality monitoring system, and automatic railway crossing system), smart agriculture and smart parking. All these applications are designed and implemented to control and monitor the city remotely via our mobile application called “Raniso,” which provides citizens with essential daily urban services in a simple and accessible way. The app also serves as a communication platform between citizens and city authorities, facilitating collaborative processes and digital participation within the smart city. Keywords: Smart city · IoT · M2M · MCNs · Raniso App
1 Introduction The rapid evolution of information technology (IT) has given rise to a hyperconnected society, in which objects are connected to mobile devices and the Internet and can communicate with each other. Internet of things (IoT) and machine-to-machine (M2M) communication are the core component of this hyperconnected society [1]. The term © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 49–57, 2023. https://doi.org/10.1007/978-3-031-21216-1_5
50
R. Djehaiche et al.
“Internet of Things” or “Internet of Objects” refers to electrical or electronic devices of various sizes and capacities that are linked to the Internet [2]. The field of connections is expanding to include more than just M2M which allows mobile devices and machines to autonomously establish wireless communication links between them [3]. The paradigm of IoT/M2M has many applications in various fields such as smart buildings, smart healthcare, industry, intelligent energy management, smart grids, and more. The smart city is one of the emerging technologies most representative of this paradigm, which works in a challenging urban environment, including infrastructure, human behavior, technology, socio-political structures, and economics [4]. The smart city aims to make better use of public resources, improving the quality of services provided to inhabitants while lowering public administration operating expenses [5]. This chapter is the extension of our earlier published research papers [6–9]; where we’re keen in this work to deploy and implement the IoT/M2M smart city applications by using Arduino microcontroller, NodeMCU board, several compatible sensors, actuators, and modules, besides our mobile application named Raniso. By comparing the findings of this research work to other previously published papers [4, 10–12], we found several limited points in dealing with the topic of IoT/M2M smart city. While the objective of this chapter is to make a practical contribution to the rather large literature on smart city development by presenting an IoT/M2M smart city system model contains several important services under three main applications; which are smart safety that consists of three services (fire and gas detection, air quality monitoring system, and an automatic railway crossing system), smart agriculture, and smart parking. All these applications can be controlled and monitored remotely by the Raniso App containing a set of digital services needed in the daily life of the city. The Raniso app is used as a local server to control the city via different mobile cellular networks (MCNs) such as 2G, 4G, or 5G to make the proposed system more robust and flexible. This suggested IoT/M2M smart city system is in place to save lives, ensure city safety, provide comfort and reduce energy consumption by using available, cheap, simple, efficient, and more sustainable components.
2 The Proposed IOT/M2M Smart City Using Raniso App 2.1 Architecture Design The proposed architectural design for IoT / M2M smart city-based mobile cellular networks uses the Raniso app and a variety of well-known hardware such as the Arduino microcontroller which represents the brain and the NodeMCU board as the wireless communications. The main applications proposed are smart safety; which consists of (fire and gas detection, air quality monitoring system, and an automatic railway crossing system), smart agriculture, and smart parking; which citizens can remotely control and monitor the city through the Raniso App via different MCNs like 2G, 4G/5G. This IoT/M2M smart city infrastructure prevents the loss of resources and human lives, also improves operational efficiency, and provides a better quality of government service and citizen welfare. Figure 1 shows the proposed architectural design for the IoT/M2M smart city system.
Design, Implementation, and Deployment of IoT/M2M Smart City Applications
51
Fig. 1. System design architecture.
2.2 System Hardware The main components used are described in the following table (Table 1). Table. 1. The main specifications of hardware used. Components Type
Specifications
Arduino
Mega
It is a board with an ATmega2560-based microprocessor. On this board, there are 54 digital input/output pins, 16 analog inputs, 4 UARTs (hardware serial ports), a 16 MHz crystal oscillator, a USB connector, a power jack, an ICSP header, and a reset button [13]
UNO
It was created by "Arduino.cc" and is an open-source microcontroller board based on the ATmega328 processor. A 16 MHz ceramic resonator, a USB connector, a power jack, an ICSP header, and a reset button are all included on the board [14]
NodeMCU
V3
It is an open-source software and development board featuring an ESP8266 system-on-chip. It has an 8 MHz Tensilica Xtensa LX106 core with 32 bits [15]
Modules
SIM800L
It is a small GSM modem that can make calls and send messages, as well as connect to the Internet via GPRS and TCP/IP [16]
GPS
It uses satellite technology to continuously determine data such as longitude, latitude, speed, and distance traveled
SD Card
It allows to communicate with a memory card as well as write and read data from them (continued)
52
R. Djehaiche et al. Table. 1. (continued)
Components Type
Specifications
Sensors
SW-420 Vibration
It detects vibration levels above a threshold using an LM393 comparator and outputs digital data, logic low or logic high, 0 or 1. During normal operation, the sensor outputs logic low, but when vibration is detected, it outputs a logic high
TCRT5000
It’s an infrared sensor that combines a photodiode and a phototransistor [8]
Ultrason HC-SR04 It’s an electronic device that emits ultrasonic sound waves and converts the reflected sound into an electrical signal to determine the distance of a target item [6]
Actuators
Rain
It’s a rain detection instrument that consists of two modules a rain board that detects rain and a control module that compares analog values and converts them to digital values
Soil moisture
It measures the content of water and humidity in the soil [17]
DHT11
It’s used to monitor temperature and humidity amounts
MQ-2
It detects different types of gasses like LPG, Alcohol, Propane, H2, CO, and even methane [17]
MQ-135
It’s a gas sensor used for air quality that detects NOx, Nh3, CO2, Benzene, Alcohol, and Smoke for air quality
Flame
It detects the presence of a flame and fire with a range is up to 100 cm and a wavelength ranging from 760 to 1100 nm [18]
Servo motor
It’s a static force-resistant engine whose position is constantly monitored and rectified by the measurement system [6]
Water pump
This submersible pump can be used as an amphibious pump and is ideal for reliable fountains
LCD 16*2
It’s a type of tool for displaying characters in processing information. It is used to display the response of different sensors [8]
Speaker
It emits a range of sounds and includes power amplification and voice outputs
2.3 System Software The software used is defined in Table 2.
Design, Implementation, and Deployment of IoT/M2M Smart City Applications
53
Table. 2. The main specifications of software used. Software
Specifications
Raniso App
It’s a mobile application that acts as a local server installed on the smartphone that allows controlling several electrical devices remotely through different wireless networks (WSNs) [9]. In this research work, we use three interfaces of the Raniso App (see Fig. 2) to control and monitor the proposed services
Arduino IDE It’s an application written in Java inspired by the processing language. It allows to write, modify a program and convert it into a series of understandable instructions for the Arduino card [7] Proteus
It’s a circuit simulation and virtual system modeling application. It’s used to simulate all circuits before they’re built into a real system [7]
Fig. 2. The different interfaces of the Raniso App used for the proposed IoT/M2M smart city.
3 Implementation of Smart City Applications 3.1 Smart Safety The suggested smart safety system is based on flame and MQ2 sensors to detect fire and gas detection; MQ135 sensor for air quality, ultrasonic sensor to sense when the train arrives; DHT11 sensor to monitor temperature and humidity amounts, GSM and GPS modules to send SMS/calls and the location of the incident to the police, fire station or civil protection. The audio system is utilized to alert citizens in case of any danger, and all information about the city is displayed on the LCD screen and our Raniso App’s interface. This proposed smart safety system contains three main smart services which are fire and gas detection, an air quality monitoring system, and an automatic railway crossing system that aims to shut the railway gates when the train approaches it, to block vehicles from going across the track. While, in case of fire and gas leakage, the gas and electricity meter will automatically turn OFF and the servo motor will open the water sprayer. Besides, in the case of air pollution, the air purifier will automatically turn ON. Thanks to this proposed IoT/M2M smart safety system, citizens can be alerted in realtime before a catastrophic event occurs. A global view can also be obtained that allows
54
R. Djehaiche et al.
authorities to make data-driven policy and infrastructure planning decisions. Figure 3 shows the proposed system for the smart safety application.
Fig. 3. Smart safety system.
3.2 Smart Agriculture The proposed smart farming system is based on a soil moisture sensor used to measure the percentage of soil moisture; a DHT11 sensor used to monitor temperature and humidity amounts; an ultrasonic sensor to measure the water level inside the tank, a rain sensor to detect any rainfall falling; two pumps, one to water the plants and the second to supply water to the tank, an LCD screen to display the water level, and moisture content and the status of the pumps. The rainfall detection system is utilized to store rainwater in a water tank for use in the irrigation process. The proposed smart farming system works as follows if the soil is dry, the watering pump operates watering the plants and switches OFF when the soil is wet in part to save water. This system can also be remotely controlled and monitored anywhere via the Raniso App, making it easy to manage irrigation systems and make necessary adjustments in real-time as shown in Fig. 4. 3.3 Smart Parking System The proposed smart parking entails an IoT/M2M-based system that delivers data on the availability of all parking spaces in real-time. NodeMCU, GSM shield, vibration sensor, infrared sensors, servo motor, LCD screen, LEDs, and a 9-V battery were used to implement this system. IR sensors detect the presence of a vehicle, and the servo motor acts as a gate to allow cars to enter and exit. All information is displayed on the LCD screen and our Raniso App’s interface. A vibration sensor is used to prevent vehicle theft which is achieved by detecting vehicle status in theft mode and by sending an SMS which is generated automatically via a GSM shield. The following figure represents the proposed solution for a smart parking system (Fig. 5).
Design, Implementation, and Deployment of IoT/M2M Smart City Applications
55
Fig. 4. Smart agriculture system.
Fig. 5. Smart parking system.
3.4 Implementation of IoT/M2M Applications in the Final Model of the Smart City This is the final stage of realizing the proposed IoT/M2M smart city, in which we have used the Arduino Mega board along with the NodeMCU to perfectly meet the requirements of the system. In this last step, we implemented all the smart applications proposed in the model, and all of them work well, as shown in Fig. 6.
56
R. Djehaiche et al.
Fig. 6. Smart city model.
4 Conclusion This research paper focuses on an IoT/M2M smart city system based on mobile cellular networks using open-source software and open hardware. Where a new approach that provides a reasonable and less expensive way to monitor and regulate a smart city system was proposed and realized utilizing the Raniso App. The suggested system has been deployed and designed to provide several smart city functionalities under three main applications; namely smart safety which consists of three services (fire and gas detection, air quality monitoring system, and an automatic railway crossing system), smart agriculture, and smart parking. Through the Raniso App connected to MCNs such as 2G, 4G, or 5G, citizens can continuously monitor any changes in their city and are alerted in real-time in case of anomalies. Besides, the app allows citizens to report any problems in the city directly to the local government. The aim of this research work is principally to design and implement an IoT/M2M smart city system providing a healthy and safe environment for citizens at a low cost and with optimal efficiency. Based on our findings in this chapter, we prove that the planned IoT/M2M smart city model was implemented and tested virtually and practically and it gave exactly the expected results. Future improvements include adding more intelligent applications in different sectors and adapting the proposed system to any city in the world. Efforts can also be made to design a methodology that allows the smart city to be easily adaptable based on citizen preferences.
References 1. Byun, J., Kim, S., Sa, J., Kim, S., Shin, Y.-T., Kim, J.-B.: Smart City Implementation Models Based on IoT Technology, pp. 209–212 (2016). https://doi.org/10.14257/astl.2016.129.41
Design, Implementation, and Deployment of IoT/M2M Smart City Applications
57
2. Miraz, M.H., Ali, M., Excell, P.S., Picking, R.: A Review on Internet of Things (IoT), Internet of Everything (IoE) and Internet of Nano Things (IoNT). arXiv (2017) 3. Chen, K.C., Lien, S.Y.: Machine-to-machine communications: Technologies and challenges. Ad Hoc Netw. 18, 3–23 (2014). https://doi.org/10.1016/j.adhoc.2013.03.007 4. Jasim, N.A., Alrikabi, H.T.S.: Design and Implementation of Smart City Applications Based on the Internet of Things. Int. J. Interact. Mob. Technol. 15(13), 4–15 (2021). https://doi.org/ 10.3991/ijim.v15i13.22331 5. Zanella, A., Bui, N., Castellani, A., Vangelista, L., Zorzi, M.: Internet of things for smart cities. IEEE Internet Things J. 1(1), 22–32 (2014). https://doi.org/10.1109/JIOT.2014.2306328 6. Djehaiche, R., Aidel, S., Benziouche, N.: Design and Implementation of M2M-Smart Home Based on Arduino-UNO. In: Hatti, M. (ed.) Artificial Intelligence and Renewables Towards an Energy Transition. ICAIRES 2020. Lecture Notes in Networks and Systems, vol 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_66 7. Djehaiche, R., Aidel, S.: Application of M2M Communication based on ZigBee to Control Smart home automation. figshare. Conference contribution (2021). https://doi.org/10.6084/ m9.figshare.14748486.v1 8. Djehaiche, R., Aidel, S., Saeed, N.: Implementation of M2M-IoT Smart Building System Using Blynk App. In: Hatti, M. (ed.) IC-AIRES 2021. LNNS, vol. 361, pp. 439–449. Springer, Cham (2022). https://doi.org/10.1007/978-3-030-92038-8_44 9. Djehaiche, R., Aidel, S., Benhamimid, K.: A Smart Home Management based on M2M/IoT Technologies. figshare. Conference Contribution (2022). https://doi.org/10.6084/m9.figshare. 19103315.v1 10. Yadav, P., Vishwakarma, S.: Application of internet of things and big data towards a smart city. In: Proc. - 2018 3rd Int. Conf. Internet Things Smart Innov. Usages, IoT-SIU 2018, no. February, pp. 1–5 (2018). https://doi.org/10.1109/IoT-SIU.2018.8519920 11. Dagli, R.: Design and Implementation of Smart City using IoT. Int. Res. J. Eng. Technol., no. November, 460–465 (2018) 12. Babbar, H., Rani, S., Singh, A., Abd-Elnaby, M., Choi, B.J.: Cloud based smart city services for industrial internet of things in software-defined networking. Sustain. 13(16), 1–13 (2021). https://doi.org/10.3390/su13168910 13. Mega 2560 Rev3: https://docs.arduino.cc/hardware/mega-2560. Accessed 07 Apr. 2022 14. UNO R3: https://docs.arduino.cc/hardware/uno-rev3. Accessed 01 Apr. 2022 15. Jabbar, W.A., Member, S., Kian, T.K., Ramli, R.M., Shepelev, V., Alharbi, S.: Design and fabrication of smart home with internet of things enabled automation system. IEEE Access XX, 1–9 (2017) 16. Djehaiche, R., Benziouche, N.: Etude et Application d’un Système de Communication M2M. figshare. Thesis (2021). https://doi.org/10.6084/m9.figshare.14710710.v2 17. Marhoon, H.M., Mahdi, M.I., Hussein, E.D., Ibrahim, A.R.: Designing and implementing applications of smart home appliances. Mod. Appl. Sci. 12(12), 8 (2018). https://doi.org/10. 5539/mas.v12n12p8 18. Sisavath, C., Yu, L.: Design and implementation of a smart home system with two levels of security based on IoT technology. Procedia Comput. Sci. 183(October 2020), 4–13 (2021). https://doi.org/10.1016/j.procs.2021.02.023
Control of Three Phase Cascaded H Bridge Multilevel Inverter Supplied by a Photovoltaic System Fatima Zahra Khemili1(B) , Moussa Lefouilli1 , Omar Bouhali1 , and Lakhdar Chaib2 1 Mechatronics Laboratory (LMT), Mohamed Seddik Ben Yahia, Jijel University, Jijel, Algeria
[email protected] 2 Energy and Materials Laboratory, University of Tamanrasset, P.O. Box 10034, Sersouf, Algeria
Abstract. This work presents the control of a three phase cascaded H-Bridge Multi-Level Inverter supplied by the photovoltaic system. In order to obtain a nearly sinusoidal signal at the voltage level, we are interested in obtaining the smallest value of THD. Hence, a N-level space vector modulation (SVM) is used to control this inverter. The power source is produced from photovoltaic modules utilized as DC inputs for the cascaded H-Bridge Multilevel Inverter. The objective of this work aims to design a control strategy to supply the best output quality. The algorithm P&O is applied to extract from the panels the maximum energy. Each PV system employs with its own MPPT control. The PV system outputs are investigated as entries to the cascaded H-Bridge Multi-Level Inverter for achieving a staircase waveform output. A matlab/simulink is used to validate the system performance. The simulation results prove the efficiency of this work, which indicates the high effectiveness of the control and the superior performance of proposed scheme. Keywords: Photovoltaic system · Three phase cascaded H-Bridge Multi-Level Inverter · N-level SVM · THD
1 Introduction The Photovoltaic energy is an important renewable energy in industries. The installation of photovoltaic panels is easy and simple. Several studies have focused on developing algorithms to extract the maximum energy from the photovoltaic system. This system consists of a standard PV panel and a DC-DC converter with an MPPT controller that is connected to a so-called multi-level inverter. The most common multilevel topologies used in PV applications are the cascaded H-bridgeinverter. To control the cascaded H-bridge inverter, many modulation technique have been proposed among them the SVPWM which is widely used due to the advantages of low current ripple and good use of DC current [1, 2], as well as reduced switching losses and harmonic distortion in the multi-level inverter spectrum [3, 4]. Multilevel inverters are capable of generating output voltage waveforms consisting of a large number of steps. It is possible to manufacture higher voltages by using switching devices with © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 58–65, 2023. https://doi.org/10.1007/978-3-031-21216-1_6
Control of Three Phase Cascaded H Bridge Multilevel Inverter
59
lower voltage values, and to reduce harmonic distortion with low f dv/dt in the output voltage [5, 6]. The multi-phase multi-level inverter has gained the attention of researchers in recent years [7, 8]. The first successful implementation of a multi-stage multi-level SVPWM algorithm based on the SVM approach is investigated in [9, 10], which have proposed three-phase SVM. We focus in this work on changing the voltage from 3 levels and 5 levels and comparing the results. N3 level vectors has been used, so if 2 level, 3 level or 4 level implies 8 vectors, 27 vectors, 125 vectors are used respectively to control the three-phase cascaded H-bridge inverter. The paper is organized in the following form: Sect. 2 formulates the main mathematical models for PV cells/modules based on single-diode model; Sect. 3; reviews the HHO algorithm; Sect. 4 analyses the efficiency of the suggested methodology. Finally, Sect. 5 concludes the study.
2 Mathematical Model A three-phase PV system with CHB based SVM control is prominently investigated in this work. The main block diagram of this system is shown in Fig. 1. Maximum power point tracking (MPPT) controller with a DC-DC converter are adopted to maintain the inverter input voltage at constant value. Despite of environmental change, DC-DC converter based the nearly fixed output voltage is fed to the inverter to link with grid system. Figure 1 shows the structure of system including N-level cascaded H-bridge controlled by multi-level SVM supplied by photovoltaic application.
Fig. 1. N level structure of cascaded H-bridge for photovoltaic application
60
F. Z. Khemili et al.
Photovoltaic energy is produced from the direct conversion of a part of the solar radiation into electrical energy. Through photovoltaic cells, which are optoelectronic components manufactured using semiconductor materials and are the basis for building the photovoltaic system chain. Figure 2 shows the equivalent diagram of the photovoltaic cell [12]. iph = ID + IP + I IP =
V + IRS RP
V +IRs ID=IS e nVT − 1
(1) (2) (3)
where iph is the photocurrent, ID is the junction diode current, RS is the series resistance, RP is the parallel resistance, and IS is the reverse saturation current. To produce more power, the solar cells are assembled to form a Solar panel. Series connection of several cells increases the voltage for the same current, while paralleling increases the current while conserving the voltage. All the solar panels are assembled to form a solar generator as shown in Fig. 3.
Fig. 2. Equivalent circuit model of PV cell
Fig. 3. Solar panel.
There are several types of DC-DC converters. In this work, we are interested in the boosted chopper converter, it has a parallel type transistor so it is a voltage booster. The photovoltaic system is affected by heat and solar radiation, which negatively affects the goal of reaching the maximum power point. It is therefore necessary to find a solution
Control of Three Phase Cascaded H Bridge Multilevel Inverter
61
that makes it possible to find and continuously track the maximum power point, which is the Maximum Power Point Tracking (MPPT) system. The equipped system works to provide the maximum amount of energy continuously depending on the temperature and weather conditions. Various types of MPPT algorithm are employed in the literature such as hill climbing, perturbation and observation, fuzzy logic, and neural network [13]. In this work, we have utilized P&O technique.
3 Multilevel Three-Phase SVM The first step in the algorithm is to transform the vector of reference Vref in twodimensional plan. Figure 4 shows all the vectors of commutation of the N levels converter in the plan (g, h). − → − → V ref (g, h) = T . V ref (vab , vbc , vca )
(4)
with ⎡
⎤
⎡
sin wt
⎤
Va ⎢ ⎥ ⎢ sin wt − 2π ⎥ 2 −1 −1 N − 1 1 ⎢ ⎥ ⎥ ⎣ Vb ⎦ = r.⎢ ⎢ 3 ⎥, T = 3 2 . −1 2 − 1 ⎣ 2π ⎦ Vc sin wt + 3
(5)
N
N
Fig. 4. Commutation Vectors of the N levels converter in the (g, h) plan
The result of the transformation matrix change (d, q) → to (g, h) is as follows: − → → v ref (g, h) = T1 .− v ref (d , q)
(6)
62
F. Z. Khemili et al.
⎡
−1 ⎤ 1 √ 3 N −1 ⎢ 3⎥ ⎥ .⎢ T1 = ⎣ 2 ⎦ 2 2 0 √ 3
(7)
• Detection of the nearest three vectors (NTV) There is four vectors nearest to the reference vector can be simply identified; these vectors whose coordinates are combinations of the rounded values greater and lower than the number of the reference vector are calculated as follows: (8)
with: Vref : Indicates the upper rounded value of Vref ; and Vref : Indicates the lower rounded value of Vref. The final points of the four nearest vectors are divided into two equilateral triangles by the diagonal connecting the vectors V ul . These are always two of the NTV. The third nearest vector is one of the two remaining vectors existing on the same side of the diagonal; it is taken as a reference. For that reason, the closest third vector can be found by evaluating the sign of the expression: D = Vrefg + Vrefh − (Vu lg + Vulh )
(9)
If the variable Ð is positive, then the vector V uu is the third nearest vector. That is, the vector V ll is the nearest third vector. This concludes the identification of NTV for N-level inverters. Figure 8 explains how to obtain the closest third vector (Fig. 5).
Fig. 5. Localization of two different cases of the reference vector position of the same four nearest vectors.
Control of Three Phase Cascaded H Bridge Multilevel Inverter
63
• Calculation of the switching times of the switches To aggregate the reference voltage vector, the three closest vectors must be used as;
Vref = d1 V1 + d2 V2 + d3 V3
(10)
with the following additional constraint on the conduction times: d1 + d2 + d3 = 1
(11)
when TVP is specified, the switching times for the switches can be found by solving Eq. (11) and (12) using: ⎧− ⎧− → − → − →⎫ →⎫ ⎪ ⎪ V1 = Vul ⎪ V1 = Vul ⎪ ⎪ ⎪ ⎪ ⎪ ⎨ ⎨ ⎬ ⎬ → → − → − − → − (12) V2 = Vlu and V2 = Vlu ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎩− ⎩− ⎭ ⎭ →⎪ → − → −→⎪ V3 = Vu V3 = Vuu The solutions are the partial parts of the coordinates: ⎧ ⎧ ⎫ ⎫ d = Vrefg − Vug ⎪ d = −(Vrefh − Vuuh ) ⎪ ⎪ ⎪ ⎨ ul ⎨ ul ⎬ ⎬ − → −→ − → − → and If V3 = Vuu then dlu = −(Vrefg − Vuug ) If V3 = Vu then dlu = Vrefh − Vuh ⎪ ⎪ ⎪ ⎪ ⎩ ⎩ ⎭ ⎭ du = 1 − dul − dlu du = 1 − dul − dlu
(13)
4 Simulation Results In this section, the simulation results of proposed scheme were presented (Fig. 6).
Fig. 6. Result of the simulation of the characteristic of the generator I (V) and P(V) at T = 25 C and E = 1000 W/m2
The proposed control scheme is developed in MATLAB/Simulink to demonstrate the design response and to evaluate the performance. Since, there are many different H-bridges, so N-level-shifted carriers are required for this SVM configuration. We have focused in this work on changing the three-phase based voltage from 3 levels and 5
64
F. Z. Khemili et al.
Fig. 7. The simulation result of the modulated voltages with parentage of the THD for three level H-bridge
Fig. 8. The simulation result of the modulated voltages with parentage of the THD for five level H-bridge
levels and comparing the results. N3 level vectors has been used, so if 2 level, 3 level or 4 level implies 8 vectors, 27 vectors, 125 vectors are used respectively to control the three-phase cascaded H-bridge inverter. The THD value of the suggested configuration is compared different level space vector modulation (SVM) to control the mentioned inverter. From the Figs. 7 and 8. It can be clearly observed that our proposed H-bridge based control has better THD profile. In addition to this, the 3-level output voltage has a THD of 27.27%. Also, we can see that with the reduction in voltage output level, the increasing of THD value is appeared, so with this topology the voltage has given a high THD value. Again, the configuration with 5-level output voltage has attained the THD value of 10.16% for modulation index ma as 0.80. It is obviously depicted that for 5-level configuration of this topology, the voltage output level is decreased while the THD is increased which prove the applicability of proposed scheme.
5 Conclusion In this paper, the control of a multi-level inverter for a cascading three-phase H-bridge equipped with a photovoltaic system is presented. The simulation results prove the efficiency and usefulness of the SVM algorithm with the photovoltaic system to make the system operate in its optimum conditions. This strategy is given better signal sinusoidal
Control of Three Phase Cascaded H Bridge Multilevel Inverter
65
and little value of THD for the phase voltage and currents, especially when the level of inverter increased. From 3 level to 5 level, the simulation results show the efficiency and good performance of this system.
References 1. Lee, Y.H., Kim, R.Y., Hyun, D.S.: A novel SVPWM strategy considering DC-link balancing for a multi-level voltage source inverter. In: APEC 1999. Fourteenth Annual Applied Power Electronics Conference and Exposition. 1999 Conference Proceedings (Cat. No. 99CH36285), vol. 1, pp. 509–514. IEEE (1999) 2. Gui-Jie, Y., Li, S., Nai-Zheng, C.: Study on method of the space vector PWM. Proc. Chin. Soc. Electr. Eng. 21(5), 79–83 (2001) 3. Rodríguez, J., Morán, L., Correa, P., Silva, C.: A vector control technique for medium-voltage multilevel inverters. IEEE Trans. Ind. Electron. 49(4), 882–888 (2002) 4. Djeghloud, H., Benalla, H.: Space vector pulse width modulation applied to the threelevel voltage inverter. Electrotechnic’s Laboratory of Constantine, Mentouri-Constantine University, Constantine 25000, Algeria 5. Grandi, G., Tani, A., Sanjeevikumar, P., Ostojic, D.: Multi-phase multi-level AC motor drive based on four three-phase two-level inverters. In: SPEEDAM 2010, pp. 1768–1775. IEEE Pisa, Italy (2010) 6. Jones, M., Patkar, F., Levi, E.: Carrier-based pulse-width modulation techniques for asymmetrical six-phase open-end winding drives. IET Electr. Power Appl. 7(6), 441–452 (2013). https://doi.org/10.1049/iet-epa.2012.0372 7. Saad, K., Abdellah, K., Ahmed, H., Iqbal, A.: Investigation on SVM-Backstepping sensorless control of five-phase open-end winding induction motor based on model reference adaptive system and parameter estimation. Eng. Sci. Technol. Int. J. 22(4), 1013–1026 (2019) 8. Belkamel, H., Mekhilef, S., Masaoud, A., Abdel Naeim, M.: Novel three-phase asymmetrical cascaded multilevel voltage source inverter. IET Power Electr. 6(8), 1696–1706 (2013). https:// doi.org/10.1049/iet-pel.2012.0508 9. Kalaiselvi, J., Srinivas, S.: Bearing currents and shaft voltage reduction in dual-inverter-fed open-end winding induction motor with reduced CMV PWM methods. IEEE Trans. Ind. Electron. 62(1), 144–152 (2014). https://doi.org/10.1109/TIE.2014.2336614 10. Kong, W., Huang, J., Kang, M., Li, B., Zhao, L.: Fault-tolerant control of five-phase induction motor under single-phase open. J. Electr. Eng. Technol. 9(3), 899–907 (2014). https://doi.org/ 10.5370/JEET.2014.9.3.899 11. Sadouni, R., Meroufel, A., Djeriou, S., Khaldoune, A.: Field oriented control of dual star induction machine fed by photovoltaic solar panel with MPPT. In: Proceedings of Engineering and Technology – PET (2014) 12. Mahamudul, H., Saad, M., Ibrahim Henk, M.: Photovoltaic system modeling with fuzzy logic based maximum power point tracking algorithm. Int. J. Photoenergy 1–10 (2013) 13. Lyas, B., Bouhali, O., Khadar, S., Mohammed, Y.: A novel dual three-phase multilevel space vector modulation for six-phase multilevel inverters to drive induction machine. Model. Meas. Control A 92(2–4), 79–89 (2019). https://doi.org/10.18280/mmc_a.22-407
A Proposal of Blockchain and NFC-Based Electronic Voting System Hanane Echchaoui, Boudrali Roumaissa, and Rachid Boudour(B) Embedded Systems Laboratory, Badji Mokhtar-Annaba University, Annaba, Algeria
Abstract. One of the most significant ways for a community to make a decision and the most democratic event in today’s countries is voting. Therefore, conducting fair and trusted elections is a basic prerequires. To that end, a wide range of electronic voting systems has been proposed with great potential to minimize the cost of the process, ensure the participation of larger numbers and improve the conventional voting mechanisms. Security, reliability, secrecy and other challenges keep e-voting systems that have been implemented from being used on a large scale. Introducing new and trustworthy technologies such as blockchain and NFC to the voting process can make it faster, more efficient and less vulnerable to security breaches. In this paper, we introduce a new hybrid NFC and blockchainbased electronic voting system as a solution to address current e-voting concerns and issues. It ensures public and transparent voting process while maintaining voter anonymity. Keywords: Electronic voting · Blockchain · NFC
1 Introduction Voting is an essential mechanism for any democratic organization or government that seeks democratic decision-making among a community. It started in ancient Greece with counting raised hands and progressed into paper ballots, punishing cards and more [1]. However, it surprisingly hasn’t moved on much in most countries from the outdated traditional paper ballot system of voting that has a lot of different issues. First, this process is tedious in time and resource consumption. In addition, it is centralized and dependent on a third party to count and secure votes. Moreover, it is prone to tampering, failure and fraud such as Vote buying, ballot box stuffing, voter coercion, booth capturing, and votes cast by dead or unlawful voters [2]. They also offer no possibility for voters to check if their votes were counted or altered. To counter some of these problems, electronic voting mechanisms have been implemented through the years offering many advantages and improvements over conventional voting processes. Electronic voting is a sign of modern democratic society. Some countries have already adopted a variety of innovative solutions to make voting more efficient and cost-effective, as well as to boost public confidence at each stage of the process [3]. However, they also face some major vulnerabilities and are still a point of contention. Using new technology to bring revolutionary changes to many sectors is not unusual, and voting is no exception. Introduced in 2008, blockchain © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 66–75, 2023. https://doi.org/10.1007/978-3-031-21216-1_7
A Proposal of Blockchain and NFC
67
technology can be curative to these major issues and bring voting to the 21st century by making the process transparent, trackable, verifiable and secure. Blockchain e-voting is an effective way to conduct a fair election it ensures the integrity, legitimacy and confidentiality of the process. It prevents it from being collected and controlled by a single central institution. An e-voting system based on blockchain is convenient, automated, transparent, secure and free from corruption [4]. Decentralization, transparency, and immutability are just a few of the properties that make blockchain appealing for creating decentralized electronic voting systems. Estonia, south Korea and Sierra Leone are three countries that successfully integrated or on the process of integrating blockchain into their voting systems [5]. NFC is another innovative technology that brings a new way of interacting with the environment and person-to-person communication. NFC provides a range of benefits through its inherent advantageous characteristics: it is intuitive, secure, open and standards-based. Which makes it an interesting candidate to be implemented into e-voting systems. This research aims to combine the advantages and properties of blockchain and NFC technologies to create a secure, traceable and verifiable e-voting system. The paper is structured as follows: first, we start with an overview of the two technologies that are used in the system. Then we discuss several related works that are proposed in the literature. After that, we present our proposed systems and finish with a conclusion and future works.
2 Related Work 2.1 Preliminaries • NFC NFC, short for Near Field Communication, is a short-range wireless RFID technology that makes use of interacting electrometric radio fields instead of typical direct radio transmissions used by other technologies; it enables the exchange of data between devices over less than 4 cm distance [6]. It is meant for applications where a physical touch, or closer to it, is required to maintain security. NFC is planned for use in mobile phones to share content between digital devices, pay bills wirelessly or even use cell phones as electronic travelling tickets. It brings the touch paradigm to mobile services. Near Field Communication, being a wireless communication technology retrieved from RFID operates on short-range radio frequency. It operates on a globally available and unlicensed radio frequency band of 13.56 MHz. The technology works when NFCenabled devices are brought within close proximity. The theoretical working distance with compact standard antennas is up to 10 cm, but practically it limits to 4 cm or less [7], which means, it forms a peer-to-peer network for data communication. NFC is completely effort-free, requiring nothing more than a tap. Bringing two devices containing NFC chips together activates magnetic induction, allowing NFC-enabled gadgets to both send and receive information. This gadget could be an NFC reader, an NFC-enabled smartphone or an NFC tag. It offers new opportunities for many real-life applications. • Blockchain Nakamoto described a peer-to-peer electronic cash system called Bitcoin in [8] as the first form of money that removes the need for a central authority, where everyone
68
H. Echchaoui et al.
keeps the records of transactions and verifies the facts, so the ledger of transactions is unchangeable. Keeping money records is not the only place where decentralization can play a role. For example, Wikipedia has over 125.000 active editors to maintain its pages. Each edit is public and can be verified by anyone, keeping the information decentralized and reducing the risk of not noticing if one of them goes rogue. Blockchain technology is a solution to centralization problems. It is a decentralized system of keeping records by everybody with no need for centralized authority and maintaining a ledger that is impossible to falsify. A shared ledger with blocks of records where each block is linked to the data of the previous block. We have a chain of blocks, hence, the name blockchain. Each block comprises main data, previous hash, current hash, timestamp and other data as shown in Fig. 1 [9]. In addition to decentralization, blockchain technology offers many other benefits including transparency, immutability, verifiability, security and reliability. These properties attracted the attention of organizations and researchers and sparked the beginning of the implementation of a wide range of blockchain-based applications in different sectors to provide a strong and effective solution for securing networked ledgers.
Fig. 1. Blockchain technology structure.
• E-voting systems “Voting is a formal expression of opinion or choice, either positive or negative, made by an individual or a group of individuals.” [10]. The practice of voting aims to give legitimacy to a decision by showing that it does not come from an isolated individual. The history of the voting systems started from paper ballots to E-voting. The polling booth was the first voting system introduced by South Australia in 1856 [11] where counting votes and conducting results were done manually. It was a simple method but it was not scalable for a large-scale voting process. This led to the emergence of new voting techniques and digital voting systems. Electronic voting (e-voting) can be defined as an election system that uses electronic means and technologies to run elections, cast votes and count results. Generally speaking, electronic voting systems follow six steps: registration; authentication; authorization; vote casting; vote counting; vote verification [5]. They also should meet six criteria: anonymity, trust, verifiability, security, transparency and reliability. E-voting systems offer numerous advantages, including fraud prevention through reduced human involvement, increasing participation, reducing cost, gaining time and more [12]. EVM (Electronic Voting Machine)
A Proposal of Blockchain and NFC
69
has been used in India and other Asian countries as one of the first forms of electronic voting since 1999[11]. The first use of the electronic voting system in the U.S.A was in the year 2000. France in 2001, the UK in 2002 and Spain in 2003. Other countries followed the suit the next year [13]. While e-voting systems offer plenty of benefits and improvements to traditional methods, they also face many challenges and introduce new issues: from security and privacy to integrity and transparency. Blockchain has the potential to remedy some of these issues and create a secure trustworthy e-voting system thanks to its numerous characteristics. Thus, some governments have already begun investigating and adopting blockchain-based e-voting systems. For example, South Korea conducted a successful local government election in 2017 and Estonia is now looking toward using blockchain in a voting process after introducing it to different sectors in 2012 [12, 14]. E-voting is a broad term that encompasses a wide range of systems, solutions, and implementations. Many scholars have been interested in implementing the benefits of blockchain, NFC and other technologies in voting. In this section, we discuss some of the literature work that has been implemented in the e-voting sector. Megalingam et al. proposed in [15] a dual-factor authenticationbased e-voting system where they combined the fingerprints and the ID card unique identification number of the voters to verify their identity aiming for better security and transparency. To save time, energy, and money and provide a secure and easy-touse e-voting system, Dyta et al. incorporated NFC technology into the authorization phase of the voting process where the voter’s identity and eligibility are checked using his RFID card that contains all his information [16]. Similarly, Nikam et al. utilized NFC technology to propose a secure and remote e-voting system in [6] where voters cast their votes using their android mobile phone and their NFC tag for authentication. Komatineni and Lingala proposed in [17] a two-factor biometric authentication-based e-voting system that couples face recognition using Eigen-face based algorithm and fingerprint recognition using the minutiae algorithm. The system was designed to minimize time and effort and avoid fraud in polling stations. In [12], the authors aimed to increase the security in e-voting systems by presenting the multi-agent concept where intelligent agents are distributed by nodes in the Auditable Blockchain Voting System (ABVS). The agents are responsible for processing and transmitting votes. BCvoteMDE is a two-layer blockchain architecture-based e-voting scheme that was proposed in [18]. It was designed to be suitable for multi-district elections and evaluated according to different criteria such as eligibility, correctness and scalability. A decentralized e-voting system based on blockchain technology was proposed in [19] where authors combined blockchain technology to offer votes verifiability and secret sharing and a homomorphic encryption scheme to provide anonymity and security. The system eliminates the need for third parties and relies on voters to count and verify the votes. Another e-voting system that employs blockchain technology was introduced in [20]. It uses the Hyperledger fabric platform and RAFT consensus algorithm and offers promising results in terms of latency, response time and throughput. For Adiputra et al., the idea was to combine double envelope encryption and blockchain technology in their proposed e-voting system to remedy availability and universal verifiability issues in similar systems [21]. BroncoVote is another e-voting system that makes use of Ethereum’s blockchain, smart contracts and homomorphic
70
H. Echchaoui et al.
encryption to achieve security, transparency and accessibility at a university scale. It was presented in [22] and tested on the Ethereum test net network with different types and sizes of ballots. Combining the advantages and properties of blockchain and NFC technologies gives this system in comparison to the related work a new level of security, traceability and verifiably. it eliminates the need for the large quantity of equipment, infrastructure and personnel that traditional voting systems require. The voter or citizen, in general, will no longer need a specific electoral card, he will use its already NFC-enabled ID card. Table 1 summarizes the e-voting systems described above along with the technologies used in their development.
Table 1. E-voting systems in the literature Reference
Author
Title
Technologies used
[15]
Megalingam et al.
Voter ID card and fingerprint-based e-voting system
- Biometric - Fingerprint recognition
[16]
Dyta et al.
E-voting – secured NFC voting
- NFC - RFID
[6]
Nikam et al.
Secured E-voting using NFC technology
- NFC
[17]
Komatineni and Lingala
Secured E-voting system using two-factor biometric Authentication
- Biometric - Face recognition - Fingerprint recognition
[12]
Pawlaka et al.
Towards the intelligent agents for blockchain e-voting system
- Blockchain - Intelligent agents
[18]
Zhu et al.
BCvoteMDE: a blockchain-based e-voting scheme for multi-district elections
- Blockchain
[19]
Hsiao et al.
Decentralized e-voting systems based on the blockchain technology
- Blockchain - Secret sharing - Homomorphic encryption
[20]
AL-Maaitah et al.
Blockchain-based e-voting system for elections in Jordan
- Blockchain
(continued)
A Proposal of Blockchain and NFC
71
Table 1. (continued) Reference
Author
Title
Technologies used
[21]
Adiputra et al.
A proposal of - Blockchain blockchain-based electronic - Double envelope voting system encryption technique
[22]
Dagher et al.
Broncovote: secure voting system using ethereum’s blockchain
- Blockchain - Homomorphic encryption
3 Proposed System The goal of our work is to develop a blockchain and NFC-based electronic system as a solution to some of the challenges and issues that face existing systems. The next sections will present the components, actors and modules of the system and describe the process of the election. 3.1 System Components and Actors Our system has two prime actors: the voter (citizens or community members that are eligible for voting in a certain election) and the admin (the entity that is responsible for starting a new election and verifying the registration and authentication phases described further below). The systems consist of different components: voter’s ID card (his biometric identification card that contains his information that is framed by a public key infrastructure as on-chip data), NFC card reader, and computer to run the registration, authentication, vote casting and counting applications. These components are illustrated in Fig. 2.
Fig. 2. System component and actors.
3.2 Block Diagram Our system contains two main modules. The first is the identification module where the registration and authentication steps of the voting process are conducted. It is devised into
72
H. Echchaoui et al.
software (verification and key generation applications) and hardware (card reader, computer). The second module is the decentralization module that con-sist f the blockchain network where the casted votes are collected verified and counted. Both modules interact with the voters’ database that contains their information. The block diagram of our system is presented graphicly in Fig. 3.
Fig. 3. System’s block diagram.
3.3 Voting Process The first step is for the voter to register to the system prior to the start of the election. To do so, the voter presents their biometric ID card to the reader and the system will check their eligibility to vote and add them to the voters’ database. The system will also generate public-private key pairs for them. On the day of the election, the voter will reuse his ID card for authentication. The system will verify if he is indeed a registered voter by checking his existence in the voters’ database and also check in the blockchain if he did not already cast his vote in this specific election to prevent duplicated votes. After that, the system asks the voter to introduce their private key and redirect him to the voting page presenting him the list of the candidates to allow him to cast his vote. The vote will be signed with the voter’s private and collected. After collecting a certain number of votes from different voters they will be hashed using the SHA-256 cryptographic hash algorithm that prevents decryption back to the original data. Once votes are hashed, they will form a block after a certain elapsed time. The block will be then broadcasted to all the nodes in the network and the mining process will start. If the block is validated it will be added to the blockchain and the nodes will update their blockchain and the voter will not be able to vote again. At the end of the election, the blocks that contain the votes will form a blockchain where each block will contain the previous block hash. The structure of the block is represented in Fig. 4. After the election is over and the results are counted and displayed, the voter will have the ability to check that his vote was counted and was not tampered with using his public-private key pair. The voting process of our system is illustrated in Fig. 5.
A Proposal of Blockchain and NFC
73
DATA
Block # - Vote 1 -Public key 1 - Vote 2 - Public key 2 - Vote N - Public key N Previous hash current hash
Fig. 4. Block structure.
Voter registration
NFC authenticati on
Verification
Casting the vote
Vote hashing
Results
Vote counting
Block creation
Block mining
Block broadcasting
Fig. 5. Voting process.
4 Conclusion and Future Works Collecting ballots from different polling stations and relying on a single central entity for counting votes makes the process of voting slow and the results unverifiable. This led to the emergence of electronic voting systems that offer different possibilities to fix these problems. Despite several publications, electronic voting remains a largely unexplored area. Plus, authentication and privacy lack of transparency fraud and manipulation from hackers or malicious inside parties that leads to reduced trust are challenges that face the existing e-voting systems. Attempting to propose a solution for some of these challenges, we proposed an e-voting system idea based on two leading technologies: blockchain and NFC. Blockchain is used to create a secure and reliable e-voting system. NFC adds a security layer to the authentication phase and provides the system with the voter’s ID in a secure manner. This paper is a work in progress. The implementation of the system is ongoing. For future work, a consensus algorithm will be added to the block mining. We also tend to complete the implementation of the system, make a usable prototype, test it and analyze the results by comparing it to existing systems in term f time, cost, latency and more.
References 1. Agate, V., De Paola, A., Ferraro, P., Re, G.L., Morana, M.: SecureBallot: a secure open source e-Voting system. J. Netw. Comput. Appl. 191, 103165 (2021) 2. Yadav, J.K., Jangirala, S., Verma, D.C., Srivastava, S.K., Chaudhry, S.A.: Blockchain for foolproof e-voting systems, In: Fong, S., Dey, N., Joshi, A. (eds.) ICT Analysis and Applications, pp. 455–466, Springer, Singapore (2022)
74
H. Echchaoui et al.
3. Rajeshwari, M.: Role of technology in the development of smart and secure public voting systems–a review of literatures. Int. J. Manage. Technol. Soc. Sci. 5(1), 298–317 (2020) 4. Vivek, S.K., Yashank, R.S., Prashanth, Y., Yashas, N., Namratha, M.: E-voting systems using blockchain: an exploratory literature survey. In: 2020 Second International Conference on Inventive Research in Computing Applications (ICIRCA), pp. 890–895. IEEE, Coimbatore (2020) 5. Pawlak, M., Poniszewska-Mara´nda, A.: Blockchain e-voting system with the use of intelligent agent approach. In: Proceedings of the 17th International Conference on Advances in Mobile Computing & Multimedia, pp. 145–154. New York (2019) 6. Nikam, R., Rankhambe, M., Raikwar, D., Kashyap, A.: Secured e-voting using NFC technology. Int. J. Comput. Sci. Inform. Technol. 5(6), 8325–8327 (2014) 7. Ok, K., Coskun, V., Aydin, M.N.: Usability of mobile voting with NFC technology. In: Proceedings of IASTED international conference on software engineering, pp. 16–18. Innsbruck, Austria (2010) 8. Nakamoto, S.: Bitcoin: A peer-to-peer electronic cash system. Decentralized Bus. Rev. 21260 (2008) 9. Lin, I.C., Liao, T.C.: A survey of blockchain security issues and challenges. Int. J. Netw. Secur. 19(5), 653–659 (2017) 10. Huang, J., He, D., Obaidat, M.S., Vijayakumar, P., Luo, M., Choo, K.K.R.: The application of the blockchain technology in voting systems: a review. ACM Compu. Surv. (CSUR) 54(3), 1–28 (2021) 11. Aswale, N.S., et al.: Privacy preserved e-voting system using blockchain. In: Proceedings of the International Conference on Smart Data Intelligence. https://ssrn.com/abstract=3852951 (2021) 12. Pawlak, M., Poniszewska-Mara´nda, A., Kryvinska, N.: Towards the intelligent agents for blockchain e-voting system. Procedia Comput. Sci. 141, 239–246 (2018) 13. Ta¸s, R., Tanrıöver, Ö.Ö.: A systematic review of challenges and opportunities of blockchain for E-voting. Symmetry 12(8), 1328 (2020) 14. Poniszewska-Mara´nda, A., Pawlak, M., Guziur, J.: Auditable blockchain voting system-the blockchain technology toward the electronic voting process. Int. J. Web Grid Serv. 16(1), 1–21 (2020) 15. Megalingam, R.K., et al.: Voter ID Card and Fingerprint-Based E-voting System. In: Smys, S., Balas, V.E., Palanisamy, R. (eds.) Inventive Computation and Information Technologies: Proceedings of ICICIT 2021, pp. 89–105. Springer Nature Singapore, Singapore (2022). https://doi.org/10.1007/978-981-16-6723-7_8 16. Dyta, P., Junjare, S., Pandita, A., Ingle, D.R.: E-voting–secured NFC voting. Int. J. Sci. Res. Dev.|3 (2015) 17. Komatineni, S., Lingala, G.: Secured E-voting system using two-factor biometric authentication. In: 2020 Fourth International Conference on Computing Methodologies and Communication (ICCMC), pp. 245–248. IEEE, Erode (2020) 18. Zhu, H., Feng, L., Luo, J., Sun, Y., Yu, B., Yao, S.: BCvoteMDE: a blockchain-based E-voting scheme for multi-district elections. In: 2022 IEEE 25th International Conference on Computer Supported Cooperative Work in Design (CSCWD), pp. 950–955. IEEE, Hangzhou (2022) 19. Hsiao, J.H., Tso, R., Chen, C.M., Wu, M.E.: Decentralized E-voting systems based on the blockchain technology. In: Park, J., Loia, V., Yi, G., Sung, Y. (eds.) Advances in Computer Science and Ubiquitous Computing, pp. 305–309. Springer, Singapore (2017) 20. Al-Maaitah, S., Quzmar, A., Qatawneh, M.: Blockchain-based e-voting system for elections in Jordan. J. Theor. Appl. Inf. Technol. 100(5), 1584–1593 (2022)
A Proposal of Blockchain and NFC
75
21. Adiputra, C.K., Hjort, R., Sato, H.: A proposal of blockchain-based electronic voting system. In: 2018 Second World Conference on Smart Trends in Systems, Security and Sustainability (WorldS4), pp. 22–27. IEEE, London (2018) 22. Dagher, G.G., Marella, P.B., Milojkovic, M., Mohler, J.: Broncovote: Secure voting system using ethereum’s blockchain. In: Proceedings of the 4th International Conference on Information Systems Security and Privacy, pp. 96–107. Scitepress, Funchal, Madeira (2018)
Application of CRM Method for Reservoir Fluid Dynamic Characterization in Haoud Berkaoui Petroleum Field Mohamed Z. Doghmane1,3(B) , Sid-Ali Ouadfeul2,3 , Zakia Benaissa1,3 , and Said Eladj2,3 1 Department of Geophysics, FSTGAT, University of Science and Technology, Houari
Boumedienne, Bab Ezzouar, Algiers, Algeria [email protected] 2 Algeria Petroleum Institute, 35000 Boumerdes, Algeria 3 Department of Geophysics, FHC, University M’hamed Bougara, 35000 Boumerdes, Algeria
Abstract. Capacitance resistance modeling (CRM) approach is based on analogy between the dynamic of the current in RC circuits and the dynamic of the fluid in oil reservoirs. Wherein, the porosity of the rock can be seen as capacitance that charges the current, while the permeability of the fluid to pass through pores is seen as the electric conductivity, which is the ability of metal to permit the current pass through it. Moreover, the mathematical descriptions relating these parameters in the two different domains are identical. Therefore, it can be advantageous to use the advances in Electrical engineering in order to model the dynamic of the hydrocarbons fluid in heterogeneous reservoirs where the permeability can be very varying. Haoud Berkaoui field has been characterized by its highly changeable permeability, which do not permit obtaining a reliable model for water and gas injection in order to enhance its productivity. The main objective of this study is to apply CRM approach in order to provide much more reliable model in Haoud Berkaoui, which determines the connectivity between two injection and five production wells. The obtained results has demonstrated the superiority of CRM method over the nowadays methods used in Haoud Berkaoui field for reservoir fluid dynamic characterization. Keywords: CRM · Reservoir modeling · Haoud Berkaoui petroleum field · Fluid dynamic characterization · Wells connectivity
1 Introduction Haoud-Berkaoui region is part of the Oued-Mya basin, which is located in the central province of the Algerian Sahara, This region has the configuration of an elongated NorthEast/South-West trending depression acquired during Paleozoic [1]. It is limited, to the north by the upper zone of Djamâa–Touggourt, made up of Cambrian age; to the northwest by Talemzane pier of Hassi R’mel; and in the south-east by the Hassi Messaoud pier which extends to the north by the ridge of El Agreb-El Gassi, its width varies from © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 76–81, 2023. https://doi.org/10.1007/978-3-031-21216-1_8
Application of CRM Method for Reservoir Fluid
77
25 to 30 km to the south-west and 8 to 10 km to the north-east. Up to date, it has operated 139 wells spread across all fields including 104 oil producing wells (PPH) including 63 Gas lift wells and 41 eruptives; 16 water production wells; 19 wells water injections [2]. The cumulative production since the beginning is 79 million M (Fig. 1). The main activities of the region (HBK) essentially boil down to gross production, the recovery of flared gas (gas lift, LPG, condensate), and water injection [3].
Fig. 1. Haoud Berkaoui Field with all its potential reservoirs [4].
2 CRMT Method The aim of this work is to evaluate the efficiency of the CRMT method in modeling the reservoir based on injection and production data from wells in Benkahla reservoir of Haoud Berkaoui field. The CRM method is based on the idea of analogy between the dynamic of the fluid in petroleum reservoir and dynamic of the current in RC circuit, the method has been firstly proposed by W.A. Bruce in 1940s [5]. The first step is to identify models’ parameters using production and injection rates from the history of the field [6]. The resulting parameters will be then fixed and present a semi-physical relationship between injection rate and the production rate. In the second step, we find the optimal
78
M. Z. Doghmane et al.
input (injection scheme) that maximizes the production. In both steps, we use gradient and heuristic based algorithms. We validate the described method by using data from an Algerian oil field [7]. The CRMT method is based on general CRM principle but the number of both the injection and production wells should be higher than one, i.e. multi-injectors multiproducers approach, it is used to find the dynamic behavior of the reservoir fluid in different directions [8].
3 Results and Discussion The model parameters have been identified by history matching by using a real field data and nonlinear optimization algorithms [9, 10]. Figure 2 shows the optimal configuration of the CRMT; where the injector I1 has its biggest influence on the producer P1, while the injector I2 is influencing directly on the producer P5. Moreover, it has been also noticed that these influences have the same direction, which indicates the type of the reservoir’s heterogeneity and anisotropy [5]. The other connectivity relations are summarized in Table 1, which shows clearly that all other connectivity values are negligible in comparison to the pairs (I1-P1) and (I2-P5) (Fig. 3 and Fig. 4).
Fig. 2. Different CRM methods with their mathematical relevant models
Application of CRM Method for Reservoir Fluid
79
Fig. 3. Reservoir model of one injector and one production well without and with geological default
Fig. 4. CRMP configuration of Haoud Berkaoui Field
Table 1. Correlation ration between injectors and producers in Haoud Bekraoui Field fij
I1
I2
I3
I4
P1
0.97
0.17
0.12
0.14
P2
0.11
0.13
0.03
0.05
P3
0.00
0.09
0.07
0.06
P4
0.13
0.23
0.16
0.27
P5
0.15
0.92
0.25
0.19
Table 1 shows the obtained connectivity rates between injector and producer wells, as can be deduced the closest values to 1 indicates strong connectivity, while those closer to 0 indicates weak connectivity [11, 12].
80
M. Z. Doghmane et al.
4 Conclusion The main contribution of this study was based on the use to CRMT for dynamic fluid modeling in Haoud Berkaoui field by using production/injection history data. This method has allowed us to quantify the degree of connectivity between four injection wells and five production wells in the field [13–15]. The obtained results have confirmed that injector well I1 has big influence on Producer well P1, and I2 is much influencing P5, while the other connectivity rates were negligible. Therefore, in addition to providing a faster representation of the connectivity and the state of flow between the different wells, this CRMT model will provide the uncertainties analysis of the injection model and the robustness and usefulness of this solution in Haoud Berkaoui field. Moreover, it can even be used for reservoir monitoring and implementation of real time control of the reservoir, in the so-called smart digital oil and gas field. Thus, it is highly recommended to consider the proposed method (CRM) in that research topic.
References 1. Nam, T., Pardo, T.A.: Conceptualizing smart city with dimensions of technology, people, and institutions. In: Proceedings of the 12th annual international digital government research conference: digital government innovation in challenging times, pp. 282–291 (2011) 2. Eladj, S., Lounissi, T.K., Doghmane, M.Z., Djeddi, M.: Lithological characterization by simultaneous seismic inversion in Algerian south eastern field. Eng. Technol. Appl. Sci. Res. 10(1), 5251–5258 (2020) 3. Meghraoui, M., Bouraoui, S., Bougdal, R., Cakir, Z.: Monitoring of ground deformation in the Haoud Berkaoui oil field (Sahara, Algeria) using time series analysis of SAR images. In: American Geophysical Union, Fall Meeting 2012, abstract id. G51B-109. 2012AGUFM.G51B1099M (2012) 4. Bacetti, A., Doghmane, M.Z.: A practical workflow using seismic attributes to enhance sub seismic geological structures and natural fractures correlation. In: Conference Proceedings, First EAGE Digitalization Conference and Exhibition, vol. 2020, pp. 1–5 (2020) 5. Doghmane, M.Z., Belahcene, B., Kidouche, M.: Application of improved artificial neural network algorithm in hydrocarbons’ reservoir evaluation. In: Hatti, M. (eds.) Renewable Energy for Smart and Sustainable Cities. ICAIRES 2018, Tipasa, Algeria, Lecture Notes in Networks and Systems, vol. 62. Springer, Cham (2018) 6. Yousefi, S.H., Rashidi, F., Sharifi, M., Soroush, M., Ghahfarokhi, A.J.: Interwell connectivity identification in immiscible gas-oil systems using statistical method and modified capacitanceresistance model: a comparative study. J. Petrol. Sci. Eng. 198, 175–180 (2021) 7. Wei, L., Hui, Z., Guanglong, S., Huazhou, A.L., Lingfei, X., Yuhui, Z.: A rapid waterflooding optimization method based on INSIM-FPT data-driven model and its application to threedimensional reservoirs. Fuel 292, 120219 (2021) 8. Doghmane, M.Z., Belahcene, B.: Design of New Model (ANNSVM) Compensator for Saturation calculation Based on Logging Curves for Low Resistivity Phenomenon. In: Conference Proceedings, EAGE/ALNAFT Geoscience Workshop, vol. 2019, pp. 1–5 (2019) 9. Mamghaderi, A., Aminshahidy, B., Bazargan, H.: Prediction of waterflood performance using a modified capacitance-resistance model: a proxy with a time-correlated model error. J. Petrol. Sci. Eng. 198, 108152 (2021) 10. Zhou, Y., Sheng, L., Wei, L.: Injection-production optimization of carbonate reservoir based on an inter-well connectivity model. Energy Explor. Exploit. 39, 1666–1684 (2021). https:// doi.org/10.1177/0144598721994653
Application of CRM Method for Reservoir Fluid
81
11. Eladj, S., Lounissi, T.K., Doghmane, M.Z., Djeddi, M.: Wellbore stability analysis based on 3D Geo-mechanical model of an algerian southeastern field. In: Meghraoui, M., et al. (eds.) Advances in Geophysics, Tectonics and Petroleum Geosciences: Proceedings of the 2nd Springer Conference of the Arabian Journal of Geosciences (CAJG-2), Tunisia 2019, pp. 615–618. Springer International Publishing, Cham (2022). https://doi.org/10.1007/9783-030-73026-0_136 12. Eladj, S., Doghmane, M.Z., Belahcene, B.: Design of new model for water saturation based on neural network for low-resistivity phenomenon (Algeria). In: Meghraoui, M., et al. (eds.) Advances in Geophysics, Tectonics and Petroleum Geosciences: Proceedings of the 2nd Springer Conference of the Arabian Journal of Geosciences (CAJG-2), Tunisia 2019, pp. 325– 328. Springer International Publishing, Cham (2022). https://doi.org/10.1007/978-3-03073026-0_75 13. Eladj, S., Doghmane, M.Z., Aliouane, L., Ouadfeul, S.-A.: Porosity model construction based on ANN and seismic inversion: a case study of Saharan field (Algeria). In: Meghraoui, M., et al. (eds.) Advances in Geophysics, Tectonics and Petroleum Geosciences: Proceedings of the 2nd Springer Conference of the Arabian Journal of Geosciences (CAJG-2), Tunisia 2019, pp. 241–243. Springer International Publishing, Cham (2022). https://doi.org/10.1007/9783-030-73026-0_55 14. Cherana, A., Aliouane, L., Doghmane, M., Ouadfeul, S.-A.: Fuzzy Clustering algorithm for Lithofacies classification of ordovician unconventional tight sand reservoir from well-logs data (Algerian Sahara). In: Meghraoui, M., et al. (eds.) Advances in Geophysics, Tectonics and Petroleum Geosciences: Proceedings of the 2nd Springer Conference of the Arabian Journal of Geosciences (CAJG-2), Tunisia 2019, pp. 277–279. Springer International Publishing, Cham (2022). https://doi.org/10.1007/978-3-030-73026-0_64 15. Doghmane, M.Z., Ouadfeul, S.A., Benaissa, Z., Eladj, S.: Classification of ordovician tight reservoir facies in algeria by using neuro-fuzzy algorithm. In: Hatti, M. (ed.) IC-AIRES 2021. LNNS, vol. 361, pp. 889–895. Springer, Cham (2022). https://doi.org/10.1007/978-3030-92038-8_91
Embedded Machine Learning for Fault Detection and Diagnosis of Photovoltaic Arrays Using a Low-Cost Device M. Bouzerdoum, A. Mellit(B) , N. Djazari, and M. Laissaoui Renewable Energy Laboratory, University of Jijel, 18000 Jijel, Algeria [email protected]
Abstract. In this work, an embedded system (ES) for fault detection and diagnosis of photovoltaic (PV) arrays is presented. Two machine learning (ML) classifiers have been developed for PV fault detection and classification based on the I-V curves. The developed classifiers have been then integrated into a Raspberry Pi 4 to detect and classify faults occurred on a PV array. An open source IoT platform (ThingSpeak™) of MathWorks is used to remotely monitor the PV array parameters. Users could be alerted about the state of the PV array by phone message (SMS) using a GSM module and also by email. The whole system was designed and verified experimentally at the Renewable energy laboratory, the University of Jijel (Algeria). Simulation and experimental results demonstrated the feasibility of the developed ES for fault detection and identification of the inspected PV array. Furthermore, a dedicated guide user interface has also been developed. Keywords: Photovoltaic · Fault diagnosis · Embedded machine learning · Embedded system · IoT technique · Raspberry Pi 4 · GUI
1 Introduction With reference to the International Energy Agency (IEA) more than 940 GW [1] of photovoltaic (PV) capacity were installed at the end of 2021, which means a large number of PV plants were installed worldwide. To keep these plants safe and efficient, they should be equipped with monitoring and fault detection systems [2, 3]. Large-scale PV systems should have the capability to identify faulty areas with minimal human involvement. In most commercialized large-scale PV plants monitoring systems are always integrated, but without fault diagnosis system. PV fault diagnosis system, including fault detection, fault localization and fault identification play a vital role in the reliability of such PV plants [4]. Therefore, to address this issue smart remote-monitoring and automatic fault diagnosis system should be developed. In this regard, a good number of remote monitoring PV system based on the Internet of Things (IoT) have recently been published [5–9]. Currently, machine learning (ML) algorithms, including decision tree (DT), random forest (RF), K-nearest neighbor (K-NN), shallow neural networks (S-NN) and other have gained popularity in dealing with PV fault classification problems (binary and multiclass © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 82–90, 2023. https://doi.org/10.1007/978-3-031-21216-1_9
Embedded Machine Learning for Fault Detection
83
classification) [10–15]. Actually, very limited attempts have been made to implement such methods into electronic devices for possible development of embedded systems (ES) [16]. Running ML algorithms on embedded devices is defined as embedded ML (EML). To overcome the discussed problem, here we propose an embedded system for remote monitoring and fault diagnosis of PV arrays using ML algorithms and the IoT technique. The idea is to integrate the fault diagnosis method-based ML into a Raspberry Pi 4 for real-time application. The ES will be able to detect faulty, classify fault and notify users regarding the state of their PV array using the IoT technique. Data (such as current, voltage, solar irradiance, cell temperature and the state of the system) related to the PV array are then automatically stored and can be visualized via an open source IoT platform (ThingSpeakTM ). A simple graphical user interface (GUI) is also developed.
2 Dataset and Features Selection 2.1 Dataset A dataset was collected at renewable energy laboratory (RELab) of the university of Jijel. It consists of measured I-V characteristics (626 curves) under various working conditions (126 samples belong into a normal class and 500 samples belong into a faulty class) of a PV array (three PV modules connected in parallel, the power capacity of the string is 180 Wp, as one PV module produce 60 Wp at STC). The I-V characteristics were taken using a Prova-210 I-V tracer (See Fig. 1a). Fig. 1.b shows an example of measured I-V characteristics (e.g. Normal condition).
Fig. 1. a) Test facility at the University of Jijel and b) example of measured I-V characteristic at normal working condition using Prova-210 I-V tracer.
2.2 Features Extraction From the I-V characteristics five main features have been extracted, which are: voltage at open circuit (Voc ), current at short circuit (Isc ), voltage at the maximum power (Vmp ), current at the maximum power (Imp ), maximum power (Pmp ) and Fill factor (FF). As example, Table 1 shows the calculated values of features.
84
M. Bouzerdoum et al. Table 1. Example of extracted features from the I-V curves without normalization
# of I-V curve
G (W/m2 )
T (°C)
Isc (A)
Voc (V)
Imp (A)
Vmp (V)
Pmp (W)
FF ()
1
215
19
4.13
19.11
3.67
13.66
50.54
0.64
2
250
20
4.85
18.81
4.35
13.36
58.16
0.65
3
300
21
6.60
18.61
5.44
13.16
71.61
0.58
4
310
25
6.54
18.41
5.87
12.96
76.13
0.63
5
315
22
7.27
18.21
6.39
13.03
83.34
0.63
6
320
25
7.75
18.01
6.82
12.83
87.53
0.62
…
…
…
…
…
…
…
…
3 Machine Learning and IoT Technique 3.1 Machine Learning ML is a subset of artificial intelligence (AI) technique. It comprises three major kinds of learning: supervised learning, unsupervised learning and reinforcement learning. Numerous ML algorithms were developed to address various issues in real-world such as regression, classification, clustering, control, dimensionality and reduction. In this work, two easiest and common classification supervised algorithms (decision tree and random forest which belong in the ensemble learning category) are employed over various of ML and ensemble learning (EL) algorithms [17]. Both ML algorithms have been selected for the three main reasons: 1) simplicity implementation, 2) easy to understand (they mimic human thinking), and 3) good accuracy in solving classification problem with limited dataset. However, the performance is mainly related to the quality of the dataset. Here we are not intended to descript theoretical development of such algorithms, since most ML and EL algorithms are nowadays implemented in various libraries (e.g. Sklearn) and toolboxes (statistics and machine learning of MathWorks). The basic flowchart of a supervised ML algorithm for the classification topic is shown in Fig. 2.
Classification topic
Supervised ML algorithms
Raw data
Preprocessing and data preparation (scaling, normalization and features extraction)
Apply learning algorithm to data Training and evaluation
Candidate model?
Iterate to find the best model
Fig. 2. Basic structure of a ML algorithm for classification topic
Deploy chosen model
Embedded Machine Learning for Fault Detection
85
3.2 Internet of Things IoT is simply the connection of things using sensors and networks. Then collected information or data could be analyzed via a platform in real-time [18] (See Fig. 3a). This can help users to access and visualize their data remotely without additional effect (e.g. reduce time and cost). While in solar energy field, this technique is in progress, particularly for monitoring of large scale plants. So it can make PV energy plants and facility more visible and cost-effective. IoT architecture is summarized in Fig. 3b, it regroups four stages. There are various free IoT platforms such as ThingSpleakTM , Blynk, Google cloud, OpenRemote, etc. In this work, an open source IoT ThingSpeak platform to upload and visualize data. Object # 1
(a)
Sensor # 1 wireless
Object # 2
wireless
Internet of things
Sensor # 2
Sensor # n
Object # n
wireless Cloud and platform
(b) Sensors/ actuators (wired, wireless)
Internet Gateways, DAS (A/D, data aggregation, measurement and control)
Edge IT (Analytics and preprocessing)
2nd stage
3rd stage
1st stage
Data center/Cloud (Analytics, managements, archive, etc)
4th stage
Fig. 3. a) Basic IoT configuration and b) Stages of the IoT architecture.
3.3 Embedded System The flowchart of the developed embedded system is shown in Fig. 4. The essential part of this flowchart is the embedded fault detection and classification method. It consists of two ML algorithms, the first one is a DT-based binary classifier aims to check if the PV array is healthy or not. The second one is a RF-based multiclass classifier used to identify the type of the occurred fault. This later should be immediately started in order to make a prompt decision. In this study four faults are considered, which are: partial shading (F1), disconnected PV modules (F2), dust accumulation (F3) and shunted PV module (F4). The dataset is used to train and test both classifiers. A part of 80% from the dataset is used to train the classifiers, while the rest part of 20% is used for testing the classifiers. The whole smart system contains: a) A Raspberry Pi 4 used to implement the developed fault detection and classification codes, b) A Data-Acquisition System (DAS) based on an ArduinoTM microcontroller, used to measure data (I-V characteristics), c) Posting the measured data on the cloud via the IoT ThingSpeakTM platform, and c) A SIM800 GSM module used to notify users about the state of the PV array by phone SMS. Codes are developed under C and Python languages. Figure 5 shows the electronic circuit of the proposed system.
86
M. Bouzerdoum et al.
Measured I-V characteristics
PV array comprises three PV modules connected in parallel
Features extraction form the I-V characteristics
creating of an CSV file of features to feed the models
Embedded ML algorithms into the Raspberry Pi 4 for PV fault diagnosis and remote monitoring
Store and remotely display data into ThingSpeak TM App
Display the measured data locally on an LCD displayer
T sensor
Raspberry Pi 4, 4G
PV modules
GSM module SIM808
Fig. 4. The proposed embedded system for fault detection and classification of PV arrays.
Resistor
V and I sensors
Reference solar cell
ADC 16 bits
LCD-1604
Fig. 5. Electronic schematic of the proposed system.
4 Results and Discussion 4.1 Simulation Results To evaluate the performance of the developed classifiers (detection and identification), confusion matrix is calculated. The results are shown in Fig. 6, and the calculated precision, F1-score, recall and accuracy are reported in Table 2. With reference to Fig. 6a, only one sample is misclassified as normal class. From Fig. 6b it can be seen that two samples of class F1 are misclassified in F3, and one sample of class F3 is misclassified in F1.
Embedded Machine Learning for Fault Detection
87
Fig. 6. The confusion matrices of a) detection classifier based on DT algorithm and b) identification classifier based on RF algorithm.
Table 2. Calculated error metrics: Precision, recall, F1-score and accuracy Faults’ classes
Precision (%)
Recall (%)
F1-score (%)
Accuracy (%)
Faut detection using DT algorithm Normal Fault
96
100
98
100
99
99
99
Fault classification using RF algorithm F1
96
93
94
F2
100
100
100
F3
93
96
94
F4
100
100
100
97
With reference to Table 2, the detection accuracy is 99%, while the classification accuracy is 97%. It can be concluded that the classifier can easily identify the disconnected PV module (F2) and shunted bypass diode (F4) with a very good precision (100%), while other two faults: partial shading and dust accumulation with low precision, which is mainly due to the good similarity between the I-V characteristics of both faults (F1 and F3). In such a situation more effective algorithms should be developed.
88
M. Bouzerdoum et al.
4.2 Experimental Results Figure 7 shows a photo of the designed PV fault detection and classification system. It comprises of an I-V tracer circuit for measuring and saving the I-V characteristic into CSV format (Fig. 7a) [19], a Raspberry Pi 4, including codes: fault diagnosis, SMS, email, connecting with the ThingSpeakTM platform (Fig. 7b), and GSM module (Fig. 7c) for sending SMS. The developed codes are embedded into the Raspberry Pi 4 (See Fig. 6d). Figure 8a represents the posted data on the IoT ThingSpeakTM platform. In this example, a fault of class 2 (i.e., F2: disconnected PV module) is tested experimentally. Figure 8b shows the GUI developed for PV fault detection and identification. The results confirm the viability of the proposed embedded system for fault detection and classification of PV arrays in real-time.
Fig. 7. Designed PV fault detection and classification system: a) I-V tracer circuit for measuring and saving data into CSV format, b) Embedded codes into the Raspberry Pi 4, c) GSM module, and d) The performed codes into Raspberry Pi 4.
Embedded Machine Learning for Fault Detection
89
Fig. 8. Experimental results: a) posted data on the IoT ThingSpeak® platform and b) the developed GUI for PV fault detection and identification
5 Conclusion and Future Work A smart embedded system for fault detection and classification of PV arrays was designed and verified experimentally. Simulation results showed a good accuracy for both ML algorithms (detection is 99%, and classification is 97%). Actually, the main objective was to check the feasibility of designing an embedded system, by integrating classifiers (ML-based algorithms) into a low-cost Raspberry Pi 4 (cost around 35$). It is worth noting that only single faults are considered in this article (i.e. one defect on the IV characteristic). Experiment tests demonstrate the capability of such an ES to detect and classify accurately the investigated PV array faults. We believe that the designed system could contribute to reduce effort and investment in this field and such embedded technologies will certainly contribute to expand this topic. Our future works will focus on techno-economic, optimization and performance analyses of the developed system, taking into account all costs and uncertainties.
References 1. Snapshot of Global PV Markets. Report IEA-PVPS T1-42:2022 (2022). https://iea-pvps.org/ snapshot-reports/snapshot-2022/. Accessed 25 Apr 2022 2. Hernández-Callejo, L., Gallardo-Saavedra, S., Alonso-Gómez, V.: A review of photovoltaic systems: design, operation and maintenance. Solar Energ. 188, 426–440 (2019) 3. Hong, Y.Y., Pula, R.A.: Methods of photovoltaic fault detection and classification: a review. Energy Rep. 8, 5898–5929 (2022) 4. Mellit, A., Kalogirou, S.: Artificial intelligence and internet of things to improve efficacy of diagnosis and remote sensing of solar photovoltaic systems: Challenges, recommendations and future directions. Renew. Sustain. Energy Rev. 143, 110889 (2021) 5. Kumar, N.M., Atluri, K., Palaparthi, S.: Internet of Things (IoT) in photovoltaic systems. In: IEEE 2018 National Power Engineering Conference (NPEC), pp. 1–4 (2018)
90
M. Bouzerdoum et al.
6. Sharma, M., Singla, M.K., Nijhawan, P., Ganguli, S., Rajest, S.S.: An application of IoT to develop concept of smart remote monitoring system. In: Haldorai, A., Ramu, A., Khan, S.A.R. (eds.) Business Intelligence for Enterprise Internet of Things. EICC, pp. 233–239. Springer, Cham (2020). https://doi.org/10.1007/978-3-030-44407-5_15 7. Pereira, R.I., Dupont, I.M., Carvalho, P.C., Jucá, S.C.: IoT embedded linux system based on Raspberry Pi applied to real-time cloud monitoring of a decentralized photovoltaic plant. Measurement 114, 286–297 (2018) 8. Deshmukh, N.S., Bhuyar, D.L.: A smart solar photovoltaic remote monitoring and controlling. In: 2018 Second International Conference on Intelligent Computing and Control Systems (ICICCS), pp. 67–71 (2018) 9. Li, Y.F., et al.: November. On-line monitoring system of PV array based on internet of things technology. In: IOP Conference Series: Earth and Environmental Science, vol. 93, p. 012078 (2017) 10. Eskandari, A., Milimonfared, J., Aghaei, M.: Fault detection and classification for photovoltaic systems based on hierarchical classification and machine learning technique. IEEE Trans. Ind. Electron. 68(12), 12750–12759 (2020) 11. Mellit, A., Kalogirou, S.: Assessment of machine learning and ensemble methods for fault diagnosis of photovoltaic systems. Renew. Energy 184, 1074–1090 (2022) 12. Kapucu, C., Cubukcu, M.: A supervised ensemble learning method for fault diagnosis in photovoltaic strings. Energy 227, 120463 (2021) 13. Li, B., Delpha, C., Migan-Dubois, A., Diallo, D.: Fault diagnosis of photovoltaic panels using full I-V characteristics and machine learning techniques. Energy Convers. Manage. 248, 114785 (2021) 14. da Costa, C.H., et al.: A comparison of machine learning-based methods for fault classification in photovoltaic systems. In: 2019 IEEE PES Innovative Smart Grid Technologies ConferenceLatin America (ISGT Latin America), pp. 1–6 (2019) 15. Lu, S., Sahoo, A., Ma, R., Phung, B.T.: DC series arc fault detection using machine learning in photovoltaic systems: recent developments and challenges. In: IEEE 2020 8th International Conference on Condition Monitoring and Diagnosis (CMD), pp. 416–421 (2020) 16. Mellit, A., Herrak, O., Rus Casas, C., Massi Pavan, A.: A machine learning and internet of things-based online fault diagnosis method for photovoltaic arrays. Sustainability 13, 13203 (2021) 17. Panesar, A.: Machine learning algorithms. In: Machine Learning and AI for Healthcare, pp. 119–188. Apress, Berkeley, CA (2019). https://doi.org/10.1007/978-1-4842-3799-1_4 18. Holler, J., Tsiatsis, V., Mulligan, C., Karnouskos, S., Avesand, S., Boyle, D.: Internet of things. Academic Press (2014) 19. https://www.instructables.com/IV-Swinger-2-a-50-IV-Curve-Tracer/
Planar Micro-thermoelectric Generators Based on Cu55 Ni45 and Ni90 Cr10 Thermocouples for IoT Applications I. Bel-Hadj(B) , Z. Bougrioua, and K. Ziouche UMR 8520 - IEMN-Institut d’Electronique de Microélectronique et de Nanotechnologie, Univ. Lille, CNRS, Centrale Lille, Polytechnique Hauts-de-France, 59000 Lille, France {ibrahim.bel-hadj,katir.ziouche}@univ-lille.fr, [email protected]
Abstract. In this work, we present our novel planar micro-thermoelectric generators (μTEGs) integrating an original “folded” thermopile topology, periodically distributed on suspended membranes. The thermopile integrates eco-friendly and low-cost materials as Constantan (Cu55 Ni45 ) and Chromel (Ni90 Cr10 ) that build up thermocouples associated both in series and in parallel. This dual association allows to drastically reduce the thermopile electrical resistance (down to a few tens to a few hundred ohms) and so to electrically better adapt them. To optimize the structural dimensions of the μTEG, numerical simulations have been performed by 3D-finite element modeling using COMSOL Multiphysics© software. Several μTEG modules integrating this folded thermopile have been manufactured using Silicon micro-technologies that differ from our former expertise. In 3-membranebased modules the harvested output power can reach 108 μW/cm2 (for 1 W heat injected into the μTEG) with an output voltage up to few hundred of millivolts, which is enough to supply micro devices for IoT applications. Keywords: Energy harvesting · Thermoelectrics · Micro-generator · Planar · Silicon technology · Thermal modeling
1 Introduction Numerous technologies of the future such as smart-energy management (˙Ican and Çelik 2021) but also smart-city development (Sampathkumar et al. 2020) will undoubtedly rely on the Internet of Things (IoT). Even though, applications of IoT are growing at a rate never seen before (IoT Analytics 2022), these ones are delayed by the lack of energy solutions to supply them with no maintenance requirement as for instance the need of battery replacements. Thus, all innovative solutions contributing to improve the renewable production of energy are playing a strategic role and many of them consist to harvest energy from the direct environment to produce electricity and supply the IoT nodes (Sun et al. 2018). Due to the abundance of heat, micro ThermoElectric Generators
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 91–98, 2023. https://doi.org/10.1007/978-3-031-21216-1_10
92
I. Bel-Hadj et al.
(μTEGs) have become an actual promising solution. The active part of these devices is mainly made up of the association of a set of thermocouples (TCs) generally connected in series electrically and in parallel thermally (Rowe 2006). Their working principle is based on the Seebeck effect (Seebeck 1821) corresponding to the conversion of temperature gradients into electrical voltage. The performances of μTEGs are generally related to the nature of TE materials but also to their geometrical structures that can be classified in two main categories: vertical or planar configurations (Fig. 1). Vertical configurations provide more power and often use better performing TEs such as Bismuth and Antimony alloys (Snyder and Toberer 2008). However, these materials are expensive to develop, incompatible with Silicon technologies and are highly toxic. Planar structures, generally using thin TE films, present the advantage of allowing the thermal adaptation of μTEGs to their environment due to their high and adjustable thermal resistance (Yuan et al. 2015). This paper presents first results of such μTEGs that use a new thermopile topology made of Ni90 Cr10 (Chromel) and Cu55 Ni45 (Constantan) based TEs integrated in an original topology periodically folded and distributed on a multi-membrane template. Two configurations of the μTEG, with 2 and 3 membranes, are designed and modeled using COMSOL Multiphysics® software, and are afterward fabricated using CMOScompatible Silicon technology. The fabricated modules were tested under calibrated heat flux to measure the Seebeck voltage and the output power for various heat input.
Fig. 1. The two typical μTEG structures: (a) vertical (π), (b) planar.
2 µTEG Design The structure of the planar μTEG proposed in this work (total area AμTEG ~ 6 mm × 5.7 mm) is mainly composed of two parts (Fig. 2) made up of two etched Silicon (Si) wafers: The first part (bottom part) is made of a set of membranes hollowed out and released in a Si substrate (380 μm thick (100) oriented Si). These periodically etched membranes are build up with a low-stress SiO2 /Six Ny bilayer, obtained by stress compensation of the two layers of 800 and 600 nm (Haffar 2007) which limits bowing events and contribute to the mechanical strength of the whole structure. An innovative thermopile
Planar Micro-thermoelectric Generators 3D exploded view (not to scale) of a 2-membranes µTEG
2-pillars concentrator
93
Thermopile on suspended membranes
µTEG after assembly of the concentrator on the thermopile
Fig. 2. Design of the novel μTEG.
based on Cu55 Ni45 and Ni90 Cr10 TCs is designed with an original topology,”periodically folded”, and distributes perpendicularly on the multi-membrane template. The details of the design and the fabrication steps of the thermopile were described in Bel-Hadj et al. (2022). Finally, a thick Polyimide layer (12 μm thick) is used for the passivation, electrical insulation of the thermopile and also contributes to the robustness of the membranes. For a given strip width of 200 μm (typical example), we count a quantity of TCs proportional to the number of membranes: N TC = 50 × N m (taking into account the area used by the thermopile). The measured Seebeck coefficient of an elementary TC is about 48 μV/K. • The second part of the module (top part) corresponds to a Silicon heat concentrator (top surface ~ 5.7 mm × 5.2 mm, 380 μm thick) made of a set of N m pillars (230 μm thick, length ~ 5.1 mm, and width optimized according to number of pillars (Bel-Hadj et al. 2019). These allow to canalize the harvested heat flux, from the surface of the concentrator down towards the heat sink, through the membranes (the pillar contacts with the membranes are located at the hot junction places of the thermopile). Four mechanical supports (bosses) located at the 4 corners of the concentrator are used to ensure a rigid support of the concentrator on the substrate and avoid the breaking of the membranes. So one part of the incident heat flux that is lost through the 4 bosses (i.e., without passing through the membranes): this part is directly proportional to the total contact surface between these bosses and the heat sink wafer. This contact surface must be as small as possible to minimize these lateral losses. But a compromise must be made in order not to weaken the μTEG too much (surface too small), experimentally, this surface was fixed at 1.2 mm2 . The heat concentrator will be aligned on the suspended membranes such a way that the pillars are centered over the middle of the membranes. This is performed under optical microscopy using alignment wedges. Thermal grease is used to ensure a good wet contact between concentrator pillars and membranes and minimize thermal contact resistance.
94
I. Bel-Hadj et al.
3 Results and Descussion 3.1 3D Thermal Modeling To predict the temperature distributions across the μTEGs structures and the temperature gradients between the TC’s junctions, the 3D structure of the μTEG is modeled using COMSOL Multiphysics® software, based on the finite element method. The description of the model and the simplifying computational assumptions as well as the boundary conditions on which the μTEG is submitted are detailed in Bel-Hadj et al. (2022). The symmetry of the structure allows to model only half of the μTEG, in order to reduce the calculation time and memory requirements. The Fig. 3 shows an illustration of the temperature distribution simulated for the half structures of μTEGs with 2 and 3 membranes. A heat flux density of 3.3 W/cm2 is applied on the surface of the concentrator (surface ~ 0.3 cm2 ), corresponding to a net input heat of 1 W. A part of the input heat is lost by natural convection and radiation between the surface of the concentrator and the ambient environment. Another part is lost through the bosses. The temperature of the bottom surface of the heat sink is set at 25 °C (equal to the ambient temperature). The heat flow through the N m pillars of the concentrator, towards the membranes, creates periodic temperature gradients between the junctions of each TC constituting the thermopile, as shown in Fig. 3. The simulation results corresponding to the applied boundary conditions, allow to calculate that the temperature differences generated between the hot and cold junctions T hc , are 137 K and 120 K and those between the surface of the concentrator and the heat sink T ext , are 142 K, 126 K, respectively for the 2 and 3-membranes μTEGs. The percentages of the fluxes exchanged by convection, radiation and that lost through the bosses are respectively of 11%, 5% and 37% for 2-membranes μTEG and of 9%, 4% and 33% for 3-membranes μTEG.
Fig. 3. Temperature distribution calculated for 2- and 3-membranes μTEGs. An input power of 1 W is injected into the concentrator and the temperature of the bottom side of the substrate is kept at 25 °C.
To optimize the structural dimensions of the μTEG, simulations have been carried out for modules integrating up to 10 membranes. These studies will be presented in an upcoming paper.
Planar Micro-thermoelectric Generators
95
4 Characterization of the µTEGs The two fabricated devices (2 and 3-membranes μTEGs) were tested using a fourprobe set-up over a heat input range of 0–1.2 W. The measurement principle consists in injecting a calibrated heat input by Joule effect in the heater (resistance in gold realized directly upon the surface of the concentrator as seen in Fig. 2) with two probes, and measuring with the two other probes the Seebeck voltage generated from the device. The characteristics of the μTEG configurations realized and characterized in this work are presented in Table 1. All these modules have an identical footprint (AμTEG ); the only difference is the number of thermocouples and membranes (and the number of concentrator pillars) depending of the length of the thermoelements. Concerning the thicknesses of TE materials, the both modules have 150 nm of Ni90 Cr10 and 450 nm of Cu55 Ni45 . Table 1. Main parameters of the 2 fabricated and characterized μTEG configurations. μTEG
Number of Thermocouple Strip widths (μm) Number of Internal membranes lengths (μm) Ni Cr thermocouples electrical 90 10 Cu55 Ni45 resistance ()
2 m-μTEG 2
1060
200
150
100
305
3 m-μTEG 3
670
200
150
150
140
Each μTEG is characterized several times by adjusting the alignment between the concentrator and the bottom part of the module. The Fig. 4 shows the best measured Seebeck (V S ) voltage generated from the both devices as a function of the heat injected into the concentrator. V S increases linearly with increasing heat input and reach a maximum of about 201.6 mV obtained by the μTEG with 2 membranes for a maximum injected heat input of 1.2 W. The linear characteristics of the Seebeck voltage as a function of the input power are naturally explained by Seebeck effect: V S = N s α TC T hc = N s α TC Rth i φi where N s is the number of TCs connected in series fixed by the stripe width (N s = 25 for a stripe width of 200 μm), α TC = (α NiCr − α CuNi ) is the equivalent Seebeck coefficient of a TC, T hc = Rth i φi is the effective temperature difference between the hot and cold junctions, Rth is the internal thermal resistance of the μTEG, and φi is the i input power injected into the concentrator. The dependence of the Seebeck voltage on the thermal resistance of the μTEG, which depends on the number of membranes (fixed by the length of the TCs, see Table 1) explains the differences between the Seebeck voltages delivered by the both μTEG configurations.
96
I. Bel-Hadj et al.
Fig. 4. Seebeck voltage generated by 2 and 3-membranes μTEGs versus input power injected into the concentrator.
The maximum output power density generated by the μTEG (corresponding to the power generated to a matched load resistance) can be calculated using the measured Seebeck voltage by: P max =
V 2S 4Rint AμTEG
where Rint is the internal electrical resistance of the μTEG (305 and 140 respectively for 2 and 3 membranes μTEG). We note that the internal resistances of this new family of μTEGs with an all-metal thermopile, are clearly lower than those of the first generation modules, based on a periodically plated poly-Silicon thermopile, for whom Rint were of the order of a few hundred k to a few M for modules with 2 membranes (Yuan et al. 2015). Figure 5 shows the maximum output power density (P max ) generated by the μTEGs as a function of the input heat injected into the concentrator. The maximum generated output power density is about 125 μW/cm2 for an injected input power of ~1.07 W obtained with 3-membranes μTEG. Normalized to 1 W of injected input power, this is equivalent to the output power of 108.3 μW/cm2 . This corresponds to an efficiency factor (Strasser et al. 2004) defined by P max /T 2ext (where T ext is the external temperature difference between the two heat sources in which the device is placed) of about 6.82 × 10–3 μW·cm−2 ·K−2 when the T ext is about 126 K which correspond to 1 W of heat injected into the concentrator. These performances are much better than of stateof-the-art modules using metallic thermoelectrics (for instance see Shimizu et al. 2018 and Iezzi et al. 2017).
Planar Micro-thermoelectric Generators
97
Fig. 5. Maximum output power density generated versus input power injected into the concentrator.
5 Conclusion In this study, we have presented a novel planar μTEG for thermal energy harvesting. The technology implemented is based on an original folded thermopile topology periodically distributed onto suspended membranes. The thermopile integrates metallic TE materials based on Cu55 Ni45 and Ni90 Cr10 that build up thermocouples associated both in series and in parallel. The use of metallic TE materials allowing to reduce the internal electrical resistance of the μTEGs compared to our first generation based on polysilicon thermopile. The fabrication of these devices is implemented using CMOS-compatible process of microfabrication. For 1 W heat injected into the heat concentrator, the maximum power is 108 μW/cm2 with an efficiency factor of 6.82 × 10–3 μW·cm−2 ·K−2 for μTEG configurations with 3 membranes. These first results will be followed by other studies to improve the performances of these μTEGs.
References ˙Ican, Ö., Çelik, T.B.: A review on smart energy management systems in microgrids based on power generating and environmental costs. In: Dorsman, A.B., Atici, K.B., Ulucan, A., Karan, M.B. (eds.) Applied Operations Research and Financial Modelling in Energy, pp. 51–67. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-84981-8_4 Sampathkumar, A., Murugan, S., Sivaram, M., Sharma, V., Venkatachalam, K., Kalimuthu, M.: Advanced energy management system for smart city application using the IoT. In: Kanagachidambaresan, G.R., Maheswar, R., Manikandan, V., Ramakrishnan, K. (eds.) Internet of Things in Smart Technologies for Sustainable Urban Development. EICC, pp. 185–194. Springer, Cham (2020). https://doi.org/10.1007/978-3-030-34328-6_12 IoT Analytics: State of IoT 2022: Number of connected IoT devices growing 18% to 14.4 billion globally (2022). https://iot-analytics.com/number-connected-iot-devices. Accessed 14 Jun 2022 Sun, H., Yin, M., Wei, W., Li, J., Wang, H., Jin, X.: MEMS based energy harvesting for the Internet of Things: a survey. Microsyst. Technol. 24(7), 2853–2869 (2018). https://doi.org/10.1007/s00 542-018-3763-z
98
I. Bel-Hadj et al.
Rowe, D.M. (ed.): Thermoelectrics handbook: macro to nano. CRC/Taylor & Francis, Boca Raton (2006) Seebeck, T.J.: Ueber den magnetismus der galvanischen kette. In: Abh. K. Akad, Wiss Berlin, pp. 290–346 (1821) Snyder, G.J., Toberer, E.S.: Complex thermoelectric materials. Nature Mater. 7(2), 105–114 (2008) Yuan, Z., Ziouche, K., Bougrioua, Z., Lejeune, P., Lasri, T., Leclercq, D.: A planar micro thermoelectric generator with high thermal resistance. Sens. Actuators, A 221, 67–76 (2015) Haffar, M.: Étude et réalisation de matrices de microcapteurs infrarouges en technologie silicium pour imagerie basse résolution. These de doctorat, Université de Lille (2007). https://www.the ses.fr/2007LIL10053 Bel-Hadj, I., Bougrioua, Z., Ziouche, K.: Metal-based folded-thermopile for 2.5D microthermoelectric generators. Submitted to Sens. Actuators A-Phys. August 2022 Bel-Hadj, I., Bougrioua, Z., Ziouche, K.: Modélisation et optimisation de la structure géométrique d’un microgénérateur thermoélectrique planaire. In: TELECOM’2019 & 11èmes JFMMA, Saidia, Morocco, 12–14 June 2019. https://hal.archives-ouvertes.fr/hal-02414487 Strasser, M., Aigner, R., Lauterbach, C., Sturm, T.F., Franosch, M., Wachutka, G.: Micromachined CMOS thermoelectric generators as on-chip power supply. Sens. Actuators, A 114(2–3), 362– 370 (2004) Shimizu, Y., Mizoshiri, M., Mikami, M., Sakurai, J., Hata, S.: Fabrication of Copper/CopperNickel thin-film thermoelectric generators with energy storage devices. J. Phys. Conf. Ser. 1052, 012032 (2018) Iezzi, B., Ankireddy, K., Twiddy, J., Losego, M.D., Jur, J.S.: Printed, metallic thermoelectric generators integrated with pipe insulation for powering wireless sensors. Appl. Energy 208, 758–765 (2017)
Edge Detection of MRI Brain Images Based on Segmentation and Classification Using Support Vector Machines and Neural Networks Pattern Recognition Zouhir Iourzikene(B) , Djamel Benazzouz, and Fawzi Gougam Laboratoire Mécanique des Solides et Systèmes (LMSS), Université M’Hamed BOUGARA de Boumerdes, Boumerdes, Algeria {z.iourzikene,d.benazzouz,f.gougam}@univ-boumerdes.dz
Abstract. Brain tumor (brain cancer) is a mass of abnormal cells that grow in the brain in an uncontrolled way. Brain CT and brain MRI are the most frequently performed examinations. The objective of this paper is to develop a method for the classification of brain MRI images of healthy cases and tumor cases. MRI brain database is obtained by preprocessing, segmentation, feature extraction. Feature extraction based on support vector machines (clustering) is used in this research. The objective of this method is to create several vectors and each vector contains a number of features of each image, so that we can make the classification by these features. Keywords: Neural networks pattern recognition · Support vector machine · Segmentation · Brain image
1 Introduction In the brain, there are nerve cells, called neurons, and around the neurons, glial cells. Brain tumors can develop from different types of glial cells [1]. There are different surveillance techniques for detecting brain tumors. X-ray, magnetic resonance imaging (MRI), computed tomography (CT) and radiology. MRI, on the other hand, is the most common technique for the diagnosis of brain tumors. Image processing is a branch of computer science and applied mathematics that studies digital images and their transformations [2], in order to improve their quality or to extract information from them (feature extraction), is also important for the identification and classification of disease types [3]. The goal of medical image processing is to develop a system to help doctors solve medical diagnostic problems with the help of computers [4].
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 99–105, 2023. https://doi.org/10.1007/978-3-031-21216-1_11
100
Z. Iourzikene et al.
Many works have been done by different researchers for the detection and classification of brain tumors. Among them Support Vector Machine and neural networks pattern recognition, which we have used in this article. In order to make the detection and classification between healthy and tumor images.
2 Overview Support Vector Machine (SVM) et Neural Pattern Recognition App 2.1 Support Vector Machine (SVM) The application of classification is an important responsibility suitable for different applications such as, information retrieval, computer vision, data classification, image recognition problems. Support vector machine, is a supervised machine learning algorithm that can be used for classification purposes. In this paper, the training data includes MRI brain images with tumors and without tumors. The training samples have data structured as vectors such that the number of rows in each vector indicates different medical images and the number of columns represents the set of features. Based on training samples, the classifier was able to classify images with and without tumors, and was also able to predict from our model which class the remaining images belonged to [4, 7]. 2.2 Neural Networks Pattern Recognition In pattern recognition problems, a neural network classifies inputs into a set of target categories. For example, classifying a tumor as benign or malignant. The Neural Pattern Recognition application will allow us to select data, train a network and evaluate its performance using cross-entropy and confusion matrices [5, 6].
3 Methodology The figure below (Fig. 1) summarizes the procedure that was followed to make the classification. 3.1 Image Database Binary Image All brain images obtained by magnetic resonance in this are taken from the kaggle database [11], 390 images without tumor and 390 with tumor JPG format, (Fig. 2(a)) shows two normal brain images, and (Fig. 2(b)) shows two abnormal brain MRI images. 3.2 Pre-treatment First, the input MRI image is converted to a grayscale one (Fig. 5(a)), followed by adjustment (Fig. 5(b)), and I added the size padding [3 3], and the images were resized [200 200].
Edge Detection of MRI Brain Images Based on Segmentation
101
Input IRM image
Pre-processing
Segmentation
Feature extraction
Neural networks pattern recognition and SVM
Output prediction Fig. 1. Diagram of the brain image classification based on the neural networks pattern recognition [2].
Fig. 2. Examples of IRM images of the brain.
102
Z. Iourzikene et al.
3.3 Segmentation and Region Boundaries in a Binary Image OTSU is a nonparametric and unsupervised automatic threshold selection method for image segmentation is presented an optimal threshold (Fig. 3) [8, 9].
Fig. 3. Bimodal histogram with selected threshold T.
We used a segmentation according to Otsu’s algorithm thanks to Matlab (Fig. 4(c)). And finally we draw the boundaries of a region in a binary image The BW must be a binary image with non-zero pixels belonging to the object and zero pixels representing the background. The color map converts the label matrix of the objects into an RGB image (Fig. 4(d)), by specifying optional parameters. We obtained the following results:
Fig. 4. Pre-processing results, segmentation and contours.
Edge Detection of MRI Brain Images Based on Segmentation
103
3.4 Extraction of Characteristics In this step, we used the bag of visual words object function (bagOfFeatures) [10]. We used 70% of our database as input samples (two sets, the first contains 273 images without tumor and the second contains 273 images with tumor), for feature extraction and create a bag of features object [3]. 3.5 Classification Using SVM We train the dataset we use a support vector machine (SVM), 70% of our feature bag, we have two subsets, the first set contains 390 brain images without tumor, the second contains 390 brain images with tumor, we take for training then 70% of first set (273 images), and 70% of second set (273 images). And for our test set we used 30% of our database which is 30% of first set (117 images without tumor), and 30% of second set (117 images with tumor). 3.6 Classification Using Neural Networks Pattern Recognition We used the same training data of SVM, but for this time we built a matrix of size 500x546 as the input of our neural networks, such that the number of line represents the characteristics of our images extracted by the bag function, and the number of colony represents the number of images that we are going to classify by this neural network, and we made two output for our networks such as 0 for the brain images without tumor and 1 for the brain images with tumor. We used 70% for the training of our network, these are presented to the network during the training, and the network is adjusted according to its error, and for the validation we used 15% (82 images), they are used to measure the generalization of the network and to stop the training when the generalization doesn’t improve anymore, finally we tested our network with 15% of our data (82 images), these have no effect on the training and therefore provide an independent measure of the performance of the network during and after the training, such as for the division of the data they have randomly.
4 Results From the result, 167 of 234 images were correctly evaluated by SVM classification. As 94 non-tumor images were correctly classified and 73 tumor images, the test accuracy is 71% (Table 1). Table 1. The confusion matrix for the SVM test. Test
TP
TN
FP
FN
Accuracy
234
94
73
44
23
71%
104
Z. Iourzikene et al.
TP (True Positive), TN (True Negative), FP (False Positive), FN (False Negative). Accuracy =
TP + TN TP + TN + FP + FN
(1)
The following Fig. 5 shows the confusion matrices for training, testing, and validation, and the three types of data combined. The network outputs are very accurate, as you can see by the high number of correct responses in the green squares and the low number of incorrect responses in the red squares. The blue squares in the lower right illustrate the overall accuracies. Notice that the classification accuracy for training is 96.9%, validation is 85.8%, testing is 81%, the three data combined 93%.
Fig. 5. Confusion matrices for training, testing and validation, and the three types of data combined.
Table 2 shows a comparison results.
Edge Detection of MRI Brain Images Based on Segmentation
105
Table 2. Comparison results. Methods
Accuracy
SVM
71%
Neural networks pattern recognition
81,7%
5 Conclusion The proposed method can help medical personnel such as surgeons and radiologists to diagnose brain cancer from MRI images. The brain images were classified using Neural networks pattern recognition, with the help of mathematical morphology and OTSU’s threshold. The database that was used in this study, obtained by algorithm developed can detect the contours of our images and objects with precision in all the places of the brain where it can be present. The algorithm gives a rate of precision of the classification 81,7% .
References 1. Holland, E.: Glioblastoma multiforme, the terminator. Proc. Natl. Acad. Sci. 97(12), 6242– 6244 2. Van den Boomgard, R., van Balen, R.: Methods for fast morphological image transforms using bitmapped images. Computer Vision, Graphics, and Image Processing: Graphical Models and Image Processing 54(3), 254–258 (May 1992) 3. Gonzalez, R., Eddins, S.L.: Digital Image Processing Using MATLAB. Gatesmark Publishing (2009) 4. Christianini, N., Shawe-Taylor, J.: An Introduction to Support Vector Machines and Other Kernel-Based Learning Methods. Cambridge University Press, Cambridge, UK (2000) 5. Abiodun, O.I., Comprehensive review of artificial neural network applications to pattern recognition. Rev. IEEE 7, 158820–158846 (Oct 2019) 6. Burges, C.J.C.: Un didacticiel sur la machine à vecteurs de support pour la reconnaissance de formes. Data Min. Knowl. Discov. 2(2), 121–167 (1998) 7. Bankman, I.N.: Handbook of Image Processing and Analysis. 2nd edn. Elsevier (2009) 8. Gonzalez, C., Woods, R.E.: Digital Image Processing, 2nd edn. Addison-Wesely (2004) 9. Cheriet, M., Saïd, J.N., Suen, C.Y.: Une technique de seuillage récursive pour la segmentation d’image. IEEE Trans. Process. Image 7, 918–921 (1998) 10. Csurka, G., Dance, C.R.: Visual Categorization with Bags of Keypoints. Workshop on Statistical Learning in Computer Vision. ECCV 1(1–22), 1–2 11. https://www.kaggle.com/datasets/sartajbhuvaji/brain-tumor-classification-mri
Determination of Intrinsic Parameters of PV Module Using Pattern Search Mohamed Rezki1(B) , Ghania Ouadfel2 , Hamza Houassine1 , and Samir Bensaid1 1 Electrical Engineering Department, Bouira University, Bouira, Algeria
[email protected] 2 Faculty of Technology, University Yahia Fares, 26000 Médéa, Algeria
Abstract. It is a paper that deals with the modelling of photovoltaic cells/modules based on the use of techniques from Artificial Intelligence. The model sought to be determined is the classical model widely commented on in the literature, which is that of a single diode and five parameters (Saturation current, ideality factor, series and shunt resistances). These parameters are intrinsically related to the photovoltaic cell model. As far as our work is concerned, it is to apply an intelligent technique in order to extract these parameters and then calculate the statistical error compared to the data given by the manufacturer. The technique in question is pattern search. To give a plus to this study, simulations on the effect of the variation of these parameters on the characteristics of the PV cell/modules were carried out. Finally, the application of different techniques offered by artificial intelligence can only be beneficial as long as we have not had a final and definitive model PV cell/module. Keywords: PV modelling · Artificial intelligence · Intrinsic parameters · Pattern search
1 Introduction The energy challenge remains a crucial issue and with the current geopolitical context, the interest of renewable energies and especially that of photovoltaic has increased [1, 2]. The exploit of solar energy and the increase in its effectiveness has attracted the interest of many scientists via various studies [3]. The modeling of photovoltaic cells or their grouping as modules is still relevant and until now we still hesitate between the model of a single diode or the model of two diodes or even that of three diodes [4, 5]. Indeed, each one of them has advantages and disadvantages but the model of a single diode still finds its interest given its simplicity [6]. The problem of this modeling comes from the fact of the presence of nonlinearity’s term in the governing equation which explains the operation of the photovoltaic cell. And to solve this equation, the researchers used either traditional techniques based on iterative methods or techniques derived from artificial intelligence, especially those inspired by nature, such as Genetic Algorithm (GA) [7], PSO algorithm [8], Differential evolution © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 106–112, 2023. https://doi.org/10.1007/978-3-031-21216-1_12
Determination of Intrinsic Parameters of PV Module
107
(DE) algorithm [9], Cuckoo search (CS) [10], Flower pollination algorithm (FPA) [11], and so on. To see the effectiveness of such a technique or another the calculation of errors such as the Root Mean Square Error RMSE is required [12]. If we take the widely used model, that of a single diode, we will have the representative diagram of the following photovoltaic cell (Fig. 1). This model gives as a governing equation, the following: q(v+R ·I) S q(v + RS I) AkT (1) −1 − I = Iph − Irs e Rsh For the module which is a grouping of PV cells, the equation will be the next: q(v+R ·I) S q(v + RS I) I = Np Iph − Np Irs e A.k.T.NS − 1 − Np NS .Rsh
(2)
where that ‘Iph’ is the photocurrent, series resistor noted “Rs”, saturation current “I0 ”, parallel resistor “Rsh” and the ideality factor “A”. And Np with Ns are the number of solar cells connected in parallel and in series respectively.
Fig. 1. Single diode model of a PV cell.
From the equations above, we see the problem of non-linearity due to the presence of the diode openly. And that’s a good reflection of the problem. To discuss this topic, this paper will be organized as follows: Sect. 2, which presents effect of variation of the mainly PV cell/module parameters. In Sect. 3, we present the pattern search technique, followed by the different results and discussion that goes with it in Sect. 4. Finally, we end this paper with a short explanatory conclusion.
2 Effect of Variation of the Mainly PV Cell/Module Parameters 2.1 Effect of Series Resistance (Rs) Due to Changing Materials on the Performance of PV One of the objectives is to see the effect of changing the series resistance from changing the material, whether it is the rear and top metal contacts or changing the materials used in the metal-semiconductor junction. Indeed, its impact is seen through the reduction of the fill factor or simply the maximum power point. As a first result, the Fig. 2 clearly shows this effect, which is harmful
108
M. Rezki et al.
because the greater this resistance, the more the power of the module decreases. To increase the performance of the photovoltaic module, the value of the series resistance must be reduced as much as possible, ideally being equal to zero.
Fig. 2. I-V & PV curves of BP SX 150S PV module across different values of Rs.
2.2 Effect of Changing Number of Serial Cells on the Performance of PV We have simulated different numbers of cells to be associated in series for the module BP SX 150S to see the curve visualizing the output of this module (I (V)), see Fig. 3. As a remark, we can deduce that the more we increase the number of cells connected in series, the more we will have a high voltage at the output but the output current remains unchanged.
Fig. 3. I-V curve of BP SX 150S PV module across association of different numbers of cells in series
Determination of Intrinsic Parameters of PV Module
109
2.3 Effect of Changing of Material Type on the Performance of PV As we know, the majority of photovoltaic modules are built from semiconductors and according to Eq. (2), the ideality factor which characterizes this semiconductor influences the output current of the PV module, knowing that ideally this factor is equal to 1. We changed this factor from 1 to 2 (most used upper limit), the result of this application can be seen in the following curve (Fig. 4):
Fig. 4. I-V curve of BP SX 150s PV module according to the change of the type of the material
Figure 4 shows the variation of the I-V curve according to changing type of material and as it can be seen the more the ideality factor more the maximum point of the power decreases and therefore the efficiency of the PV module decreases too. 2.4 Effect of Changing Number of Cells in Parallel on the Performance of PV This time, we changed the number of cells with parallel mounting (Fig. 5), this type of assembly gave us a stabilization of the voltage but the output current has clearly increased.
110
M. Rezki et al.
Fig. 5. I-V curve of BP SX 150S PV module across association of different numbers of cells in parallel.
3 Extarction of Intrinsec Parameters of PV Module – Results and Discussion The PV module that we have chosen is a polycristalline module (DT050P-12) from DENGTAL Solar manufactorer wth the following characteristics (Table1): Table 1. Manufacturing datasheet of DT050P-12 PV module— at standard test conditions (STC) (AM 1.5G, 1000 W/m2 and 25 °C) Type of electrical characteristics
Value
Max. Power (Pm)
50 W
Max. Power Voltage (Vmp)
17.4 V
Max. Power Current (Imp)
2.87 A
Open Circuit Voltage (Voc)
22.0 V
Short Circuit Current (Isc)
3.16 A
Cell efficiency
14.3%
No. of Cells (pcs)
36
Determination of Intrinsic Parameters of PV Module
111
For resolving the non linear equation (Eq. (2)) in order to get the intrinsec parameters that characterise the single diode model, we have used as a method the pattern search. Let’s recall that this latter also named direct search is an optimisation technique based on the convergence. The pattern moves at each itteration to the point which best minimizes its objective function. Tne inventors of this technique were robert Hooke and T.A.Jeeves in 1961 [13]. By applying the program with taking into account the data collected from the manufactorer of the DT050P-12 PVmodule, we get the following curve (see Fig. 6):
Fig. 6. Pttern search model of the single diode model the DT050P-12 PV module.
The applied model search method gives us as optimal solution the following parameters (Table 2): Table 2. Determined parameters from application of Pattern search Ideality factor
Series resistance []
Shunt resistance []
0.362
1.042
635016
To validate our results, we calculated the Root Mean Square Error (RMSE) which is widely used in this kind of cases.$ We get the following: RMSE = 0.0911. It is clearly seen that the calculated error is minimal which is in favor of our work.
112
M. Rezki et al.
4 Conclusion A determination of intrinsic parameters of a photovoltaic module through a computational technique has been made. The technique used was the Pattern Search. The technique used has proven its effectiveness because we had minimal errors when we calculated RMSE. As future perspective, we plan to diversify our techniques by using different algorithms and doing by the way a comparison between them.
References 1. Sinke, W.C.: Development of photovoltaic technologies for global impact. Renew. Energy 138, 911–914 (2019) 2. Sharma, V.K., et al.: Imperative role of photovoltaic and concentrating solar power technologies towards renewable energy generation. Int. J. Photoenergy 2022, 13 (2022) 3. Rezki, M., et al.: Opportunities of the silicon technology in algeria. Int. J. Res. Eng. Sci. (IJRES) 1, .01–04 (2013) 4. Harrag, A., Daili, Y.: Three-diodes PV model parameters extraction using PSO algorithm. Revue des Energies Renouvelables 22(1), 85–91 (2019) 5. Prakash, S.B., et al.: Modeling and performance analysis of simplified two-diode model of photovoltaic cells. Front. Phys. 9, 1–9 (2021) 6. Senthilkumar, S., et al., Analysis of single-diode PV model and optimized MPPT model for different environmental conditions. Int. Trans. Electr. Energy Syst. 2022, 17 (2021) 7. Zhang, L., Bai, Y.F.: Genetic algorithm-trained radial basis function neural networks for modelling photovoltaic panels. Eng. Appl. Artif. Intell. 18, 833–44 (2005) 8. Rezki, M., Bensaid, S., Griche, I., Houassine, H.: Five PV model parameters determination through PSO and genetic algorithm, a comparative study. In: ICAIRES 2019, LNNS 102, pp. 14–21 (2020) 9. Shanka, N., Saravanakumar, N., Indu Rani, B.: Solar photovoltaic module parameter estimation with an enhanced differential evolutionary algorithm using the manufacturer’s datasheet information 224, 16 (2020) 10. Maand, J., et al.: Parameter estimation of photovoltaic models via cuckoo search. J. Appl. Math. 2013, 8 (2013) 11. Alam, D.F., Yousri, D.A., Eteiba, M.B.: Flower pollination algorithm based solar PV parameter estimation. Energy Convers. Manag. 101, 410–422 (2015) 12. Askarzadeh, A., Rezazadeh, A.: Parameter identification for solar cell models using harmony search-based algorithms. Sol. Energy 86, 3241–3249 (2012) 13. Hooke, R., Jeeves, T.A.: “Direct search” solution of numerical and statistical problems. J. ACM 8(2), 212–229 (1961)
Sensing and Communication in Renewable Energy
Development of a Supervision/Control Interface for an Experimental Wind-Storage-Grid-Diesel Microgrid System Djohra Saheb-Koussa(B) , Mustapha Koussa, Saida Makhloufi, Naserdine Belhaouas, Farid Hadjrioua, Azzedine Aissaoui, and Khaled Bakria Centre de Développement des Energies Renouvelables, BP. 62 Route de l’Observatoire Bouzareah, 16340 Algiers, Algeria
Abstract. Wind energy source with storage system coupled to diesel or grid offers a promising prospect for covering the fundamental needs of power without interruption. In this context, this study proposes and demonstrates an energy management, operation and control concepts of the micro-grid system (wind-storagediesel-grid) in both stand-alone and interconnected mode in Bouzareah (Algeria). The micro-grid system is composed by two wind turbines, storage system, diesel generator and grid. In order to ensure an optimum exploitation of the produced energy without interruption, a novel power management algorithm is developed to control the different energy flows exchanged among the system components. This algorithm supervises the batteries state of charge and determines which source ensure the continuous supply of the installation by favoring wind energy. The instantaneous simulation of the micro-grid system with the proposed algorithm of the energy supervision algorithm are carried out by new graphical interfaces developed by using the MATLAB package. These interfaces facilitate the simulation and display the different curves describing the micro-grid system behavior. Therefore, the developed control software is open source in order to implement different intelligent techniques.The obtained results indicate that the developed control strategies provide good installation autonomy. Keywords: Controller · Supervisor · Interface · Experimental · Microgrid system
1 Introduction The development and the use of renewable energies has grown significantly in recent years. Naturally decentralized, it is interesting to exploit them locally, by transforming them directly either into heat or into electricity according to needs. Decentralized production of electricity by renewable energy sources offers greater secure energy supply to the consumers all with good respect for the environment. So, this study will focus on wind in the microgrid System as a power generation source because it seems that wind energy is the best shared resources of energies and therefore those that lend themselves best to the decentralized production of electricity [1–9]. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 115–125, 2023. https://doi.org/10.1007/978-3-031-21216-1_13
116
D. Saheb-Koussa et al.
In the literature, there are a lot of studies related to energy management of microgrid System [10–14]. Therefore, previous studies have shown the usefulness of the experimental part to lead to concrete conclusions [15–21]. It was in this context that is registered the present work realized in the CDER (Renewable Energies Development Center), which will encourage and raise awareness of the use of wind energy. Therefore, the present study proposes and demonstrates an energy management, Operation and control concepts of the micro-grid system (wind-storage-diesel-grid) in both standalone and interconnected mode in Bouzareah (Algeria). Therefore, an algorithm was developed and implemented in ARDUINO to ensure proper and intelligent supervision, which guarantees the optimal use of energy without interruption by favoring wind energy and lead to the obtaining of an intelligent network allowing for the security of the complete system components, with the integration of preventive maintenance [15, 22, 23]. Therefore, for the real time supervision of the system behavior and by using the registered data, the computing code is implemented in MATLAB software and an open source graphical interface is designed in order to simplify the program manipulation and testing.
2 The Proposed Algorithm 2.1 The Experimental Micro Grid Description The following modules [15, 24, 25] compose the prototype that is currently in service at Bouzareah, Algiers, (see Fig. 1). Two wind turbines Whisper 200 and Whisper 100, the batteries, a diesel engine, the electrical Grid, a novel control strategy and two inverters: the first one operates in standalone mode and the second one: provides the interconnection to the grid. The overall architecture adopted for the experimental platform is shown below:
Fig. 1. The experimental micro grid system
Development of a Supervision/Control Interface
117
2.2 Energy Management Algorithm • Functional details [26] The proposed microgrid is operated as a smart system by an energy management and control system. Therefore, as described in Sect. 2, the considered system contains a storage system. Thus, during its use, the battery can be in the following cases: 1. Overload when its state of charge (SOC) is greater than 80%; 2. Deep discharge when its state of charge (SOC) decreases below 30%. Based on these two cases and in the view of protecting the battery, an algorithm for managing the micro-grid system was developed. The role of the developed and proposed algorithm for the management is as follows: In this study, the type of load demands is AC to be satisfied by system. The difference between wind power generation and load demand at any time is P. Case 1: At time t if P(t) < 0, then discharge the battery with prevention of deep discharges where SOC (t) must be superior to 30%. SOC(t) = SOC(t − 1) −
P(t) PnB
(1)
With PnB is the nominal battery capacity. Case 2: At time t if P(t) > 0, then charge the battery with prevention of battery overcharging where SOC (t) must be inferior or equal to 80%. SOC(t) = SOC(t − 1) +
P(t) PnB
(2)
Case 3: At time t if P(t) = 0, then there is no power exchange and the total demand is met by the wind generation. In this case, the wind source is promoted as much as possible. Case 4: The manager directs the surplus energy to the grid when the procedure mentioned in case 2 indicated that SOC (t) is superior then 80%. Case 5: Engaging the electrical network if the procedure mentioned in case 1 indicated that SOC (t) is inferior then 30%. Therefore, if the grid is unavailable, a diesel generator will be hunted. Case 6: Completion of four attempts to start up the diesel generator, respecting its response time and the battery state of charge if the procedure mentioned in case 5 indicated that a diesel generator is engaging. Case 7: In the case of a power supply failure, an audible alarm will sound proclaiming a flawed condition. The suggested control strategy approach flowchart and the Energy management system are illustrated respectively in Fig. 2 and Fig. 3.
118
D. Saheb-Koussa et al.
Fig. 2. The flowchart of the proposed control strategy
Fig. 3. The energy management system
2.3 The Supervisor/Controller Interface Development The program interface is designed using the ToolBox ‘Guide’ of MATLAB. It has been chosen for the easy use of the analysis and the flexibility to enter the parameters by the user; so, the developed program is based on the real time recorded data by the experimental micro-grid production system, Wind / Grid/ Diesel, including an energy storage system operating in standalone mode or connected to the grid. Figure 4 shows the program main windows, which are used in the developed interface. The main menu consists of the following sub menu: – The principal technical program; Economical study; Wind resource; Temperature; Statistical study.
3 Results and Discussion More information and results can be obtained by holding on pointer over an element in diagram given in Fig. 5 below.
Fig. 4. Program main windows
3.1 The Principal Technical Program As shown in Fig. 5 the principal program is composed by different sub-system component. Furthermore, the detailed information concerning the measured parameters and
Development of a Supervision/Control Interface
119
the characteristics of each component can be obtained by holding on pointer over an element. Therefore, from Fig. 6, it can observed the detailed wind turbine characteristics where the user can choose the wind turbine type and enter capital, maintenance and O&M costs including the cost of tower, wiring, controller, labor and installation. From this sub interface, the user can also see the detailed information relative to the chosen wind turbine with the possibility to introduce a new one.
Fig. 5. The principal technical program
Fig. 6. Program windgenerator main windows (1), (2) windgenerator details, and (3) new windgenerator
The graphical program permitting to visualize the recorded three-phase current at the input of the regulator as well as the direct current at its output is given in Fig. 7 below.
Fig. 7. Program of the regulator system main windows
120
D. Saheb-Koussa et al.
Figure 8 (1 and 2) shows storage system characteristic and the corresponding measured voltage obtained by holding on pointer over the red box in Fig. 8 (1). Concerning the Program of the autonomous inverter main windows shown in Fig. 9 (1) and the inverter voltages details in Fig. 9 (2). Figure 10 shows the Algerian grid properties, the kWh price with and without TTC and the load voltage ensured by the grid. Figure 11 (1) shows the Program of the diesel generator main windows. The display of the cost details, fuels type and emissions was obtained by clicking on desired one as shown in Fig. 11(2, 3 and 4). Furthermore, the Diesel Generator voltages details shown in Fig. 11 (2.1) were obtained by clicking on the Diesel voltage button of Fig. 11 (2) and the details of the chosen fuel as shown in Fig. 11 (3.1) were obtained by clicking on the details button of Fig. 11(3).
Fig. 8. Program storage system main windows (1), (2) Batteries voltage details
Fig. 9. Program autonomous inverter main windows (1), (2) inverter voltages details
Fig. 10. The Algerian grid properties
Development of a Supervision/Control Interface
121
Figure 12 shows the load characteristics window where the user has two possibilities to choose a data: • Introducing the data manually • Download the data from a specific file Concerning, the developed Program of the managing system main windows based on the algorithm cited on the Sect. 2.2 below is showed in Fig. 13. Furthermore, the input and the output voltages details in Fig. 13 (2 and 3) were displayed by clicking respectively on the input and the output button of Fig. 13 (1). From these results, it can be concluded that the behavior of the developed control strategy system is able to meet the system requirements and conditions imposed by flowchart illustrated in Sect. 2.2. Finally and in the context of Sect. 3.1 relative to the principal technical program, we present in Fig. 14 the Program of the monitoring and diagnosis system main windows in which all information concerning the system is displayed in real time. 3.2 Economic Study Regarding the economic balance sheet, the considered factors taken into account are as follows: The choice of the site; Investment; Total price installed; Annual depreciation relative to the system without batteries and that of batteries alone; Total annual cost; Total cost discounted; The cost of kWh. Thus, results for three lifetimes and three interest rates are displayed as a window as indicated in Fig. 15. 3.3 Wind Resource Information To get the data potential of wind power, the data acquisition sky instruments of wind speed, and wind direction was used. The aim of this section is to generate a device for wind speed and wind direction with the real time condition as shown in Fig. 16 (1). With this device and by clicking on the plot button, we obtain an analysis about the potential of wind power electrical generation around the studied site as indicated in Fig. 16 (2). 3.4 Temperature Information The temperatures are also generated with the real time condition as shown in Fig. 17. Three temperatures type are presented: Ambiant temperature, the first battery temperature and the last battery temperature.
122
D. Saheb-Koussa et al.
Fig. 11. Program of the diesel generator main windows
Fig. 12. The load characteristics window
Fig. 13. Program of the managing system main windows
Development of a Supervision/Control Interface
123
3.5 The Statistical Study The objective of the statistical analysis in the context of this work is to study from recorded observations a set of events, phenomena, analyze and put into perspective. The obtained results are presented in Fig. 18. In this context, the considered factors taken into account are as follows: Statistical analysis; Daily average values obtained from hourly ones; Electrical production; Daily load information; Daily and global produced and consumed energy; Correlation coefficient between different recorded parameters.
Fig. 14. The Program of the monitoring and diagnosis system main windows
Fig. 15. Program of the economic study main windows
Fig. 16. Program of wind resource information main windows
Fig. 17. Program of the temperatures information main windows
Fig. 18. Program of the statistical study main windows
4 Conclusion In this manuscript, a supervisor/controller interface is proposed and developed relative to an experimental Wind-Storage-Grid-Diesel Micro-grid system based on an intelligent
124
D. Saheb-Koussa et al.
load management algorithm. Monitoring the functioning of the experimental platform was achieved through a graphical interface developed MATLAB software for local supervision and devices production units and storage. It also oversees the actual management of all these production and storage facilities in order to optimize the services provided to the micro-grids. A developed graphical interface not only involves the development of MATLAB software for monitoring purposes but also includes the development of the sensor system on the one hand. On the other hand, it is designed such as to provide ease of use, be practical and interactive. Therefore, the developed control software is open source in order to implement different intelligent techniques. Finally, the simulation and the experimentation results visualized by the developed interface validate the expected conception of the micro grid supervision and this tool contributes to the enhancement of education and research the field of renewable energy and distributed energy systems.
References 1. Komiyama, R., Zhidong, L., Ito, K.: World energy outlook in 2020 focusing on China’s energy impacts on the world and Northeast Asia. Int. J. Global Energy Issues 24(3–4), 183–210 (2005) 2. Global Wind Energy Council: Global wind report 2016–annual market update. Global Wind Energy Council, Brussels, Belgium (2016) 3. Stambouli, A.B., et al.: A review on the renewable energy development in Algeria: current perspective, energy scenario and sustainability issues. Renew. Sustain. Energy Rev. 16(7), 4445–4460 (2012) 4. Khiat, S.: Modeling and real time digital simulation of microgrids for campuses Malta and Jordan based on multiple distributed energy resources. Indonesian J. Electr. Eng. Comput. Sci. 21(2) (2021) 5. Dweekat, A.A., Shaaban, M., Ngu, S.S.: On the dispatch of minigrids with large penetration levels of variable renewable energy. In: IAES, vol. 21, no. 2 (2021) 6. Sami, S.A., Mahmood, A.L.: Design and simulation of stand-alone photovoltaic system supplying BTS in Iraq. Int. J. Power Electr. Drive Syst. 12(1) (2020) 7. Amin, M.N., Soliman, M.A., Hasanien, H.M., Abdelaziz, A.Y.: Hybrid PSO-GSA algorithmbased optimal control strategy for performance enhancement of a grid-connected wind generator. Int. J. Appl. Power Eng. 1(10) (2021) 8. Wang, X.L., et al.: A two-stage optimal dispatching model for provincial and regional power grids connected with wind farms to promote accommodation of wind power. Power Syst. Technol. 39(7), 1833–1828 (2015) 9. Huang, C., Li, F., Jin, Z.: Maximum power point tracking strategy for large-scale wind generation systems considering wind turbine dynamics. IEEE Trans. Industr. Electron. 62(4), 2530–2539 (2015) 10. Shafiullah, G.M., et al.: Potential challenges of integrating large-scale wind energy into the power grid – a review. Renew. Sustain. Energy Rev. 20, 306–321 (2013) 11. Vautard, R., et al.: Regional climate model simulations indicate limited climatic impacts by operational and planned European wind farms. Nat. Commun. 5, ncomms4196 (2014) 12. Xiang, H., et al. Generating units maintenance scheduling considering peak regulation pressure with large-scale wind farms. In: 2016 China International Conference on Electricity Distribution (CICED), IEEE (2016) 13. Badger, J., Volker, P.J.H.: Efficient large-scale wind turbine deployment can meet global electricity generation needs. Proc. Natl. Acad. Sci. USA 114, E8945 (2017)
Development of a Supervision/Control Interface
125
14. Dursun, E., Kilic, O.: Comparative evaluation of different power management strategies of a stand-alone PV/Wind/PEMFC hybrid power system. Electr. Power Energy Syst. 34(1), 81–89 (2012). https://doi.org/10.1016/j.ijepes.2011.08.025 15. Aissou, S., et al.: Modeling and control of hybrid photovoltaic wind power system with battery storage. Energy Convers. Manage. 89, 615–625 (2015) 16. Tankari, M.A., et al.: Use of ultra capacitors and batteries for efficient energy management in wind–diesel hybrid system. IEEE Trans. Sustain. Energy 4(2), 414–424 (2013) 17. Tani, A., Camara, M.B., Dakyo, B.: Energy management in the decentralized generation systems based on renewable energy ultracapacitors and battery to compensate the wind/load power fluctuations. IEEE Trans. Industry Appl. 51(2), 1817–1827 (2015) 18. Carta, J.A., et al.: Preliminary experimental analysis of a small-scale prototype SWRO desalination plant, designed for continuous adjustment of its energy consumption to the widely varying power generated by a stand-alone wind turbine. Appl. Energy 137, 222–239 (2015) 19. Gan, L.K., Shek, J.K.H., Mueller, M.A.: Analysis of tower shadow effects on battery lifetime in standalone hybrid wind-diesel-battery systems. In: IEEE Transactions on Industrial Electronics (2017) 20. Olatomiwa, L., et al.: Energy management strategies in hybrid renewable energy systems: a review. Renewable Sustainable Energy Rev. 62, 821–835 (2016) 21. https://www.connaissancedesenergies.org/qu-est-ce-que-le-petit-eolien 22. Saharia, B.J., Manas, M.: Viability analysis of photovoltaic/wind hybrid distributed generation in an isolated community of Northeastern India. Distrib. Gener. Altern. Energy J. 32(1), 49–80 (2017) 23. Saheb-Koussa, D., et al.: Fuzzy logic management supervisor for wind-diesel-battery hybrid energy system. In: Renewable Energy Congress (IREC), 2016 7th International, IEEE (2016) 24. Koussa, D.S., et al.: Simulation of a wind generator coupled to a diesel generator. In: Renewable Energy Congress (IREC), 2016 7th International, IEEE (2016) 25. Pan, H., Hou, E., Ansari, N.: M-NOTE: a multi-part ballot based-voting system with clash attack protection. In: 2015 IEEE International Conference on Communications (ICC), London, pp. 7433–7437 (2015) 26. Pan, H., Hou, E., Ansari, N.: Re-note: an e-voting scheme based on ring signature and clash attack protection. In: 2013 IEEE Global CommunicationsConference (Globecom), IEEE, pp. 867–871 (2013)
Design of Smart Irrigation System in the Greenhouse Using WSN and Renewable Energies Achouak Touhami1,3,4(B) , Sana Touhami3,5 , Nawal Touhami2,6 , Khelifa Benahmed3 , and Fateh Bounaama2,4 1 Department of Mathematics and Computer Science, Ali Kafi University Center, Tindouf,
Algeria [email protected] 2 Department of Electrical Engineering, Tahri Mohamed University, Bechar, Algeria 3 Department of Mathematics and Computer Science, Tahri Mohamed University, Bechar, Algeria 4 Energetic in Arid Zones Laboratory, Tahri Mohamed University, Bechar, Algeria 5 Information and Telecommunication Laboratory, Tahri Mohamed University, Bechar, Algeria 6 Smart Grids and Renewable Energies Laboratory, Tahri Mohamed University, Bechar, Algeria
Abstract. Water is becoming a high demand resource in most of the countries due to the increasing growth of population and industries. To ensure that water does not cause problems to the crops, sensors can be employed to guarantee the quality of the water used for irrigation. In this paper, we propose a design of irrigation system in the greenhouse using wireless sensor networks and renewable energy. In addition, the system is composed of algorithms that are responsible for controlling soil moisture and detection of water tank level. Keywords: Water · Greenhouse · Irrigation system · Wireless sensor networks · Renewable energy · Soil moisture
1 Introduction Over recent years, the demand of fruits and vegetables is increasing [1]. From where several countries have directed to agriculture under the greenhouses [2]. A greenhouse is an enclosure in which plants are grown [3] with good health anyplace and before the developing season [2, 4]. Agriculture uses 85% of available freshwater resources worldwide, and this percentage will continue to be dominant in water consumption because of population growth and increased food demand [5]. There is an urgent need to create strategies based on science and technology for sustainable use of water, including technical, agronomic, managerial, and institutional improvements [6]. Irrigation is the method of watering the soil. The soil water requirement depends on soil properties like moisture of soil and the crop which is grown in the soil [7]. To © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 126–131, 2023. https://doi.org/10.1007/978-3-031-21216-1_14
Design of Smart Irrigation System in the Greenhouse
127
ensure that the water does not cause any problems for the plants, sensors can be deployed to ensure the quality of the water used for irrigation. Also, to reduce water usage for irrigation, we have to use smart sensor-based systems. Wireless sensor networks (WSN) can be used to determine water quality and irrigation needs in irrigation [8]. In this paper, we propose a design of irrigation system in the greenhouse using wireless sensor networks, actuators, microcontroller and renewable energy. In addition, the system is composed of algorithms that are responsible for controlling soil moisture and detection of water tank level. The rest of the paper is structured as follows. The related work in this axis of research is presented in the second section. In the third section, we propose a design of smart irrigation system in the greenhouse, we also propose the algorithms that control soil moisture of our greenhouse and detect the water tank level. The last section is dedicated to the conclusion of our work with some perspectives that we hope to realize in the future.
2 Related Works There are many works and researches that have been done in the field of smart irrigation system, to mention but a few. An automated irrigation system was developed [6]. The system uses a distributed wireless network of soil-moisture and temperature sensors, a gateway unit handles sensor information, triggers actuators, and transmits data to a web application. An algorithm was developed with threshold values. It was programmed into a microcontroller. The system was powered by photovoltaic panels. The authors of [9] proposed a new water-saving intelligent irrigation system. The system is designed on the basis of information technology and communication for environmental monitoring using ZigBee wireless sensor network (WSN) and renewable energy technologies. This paper presents an intelligent algorithm for irrigation automation and management. In [7] had analyzed the profitability of photovoltaic (PV) systems for irrigating Mediterranean greenhouse crops. A standalone direct pumping PV system is proposed. A simulation model of the system was developed. The model is composed of several submodels: the photovoltaic power generation capacity submodel, the direct pumping management submodel and the submodel that evaluates the irrigation water requirements. The authors of [10] used a three-step approach to design, implement, and validate a greenhouse smart strawberry irrigation solution. They developed a small smart irrigation prototype solution using off-the-shelf hardware and software equipment. They introduced a reference network architecture specifically for smart irrigation and edge data distribution in strawberry greenhouses. They took the proposed reference architecture and implemented a complete system in a real strawberry greenhouse environment in Greece. An algorithm based on wireless sensor network technologies and linear equations model were proposed in [2]. The proposed algorithm monitors the microclimate inside a greenhouse. In addition, they also proposed a novel smart greenhouse design. They
128
A. Touhami et al.
validated their algorithm by running simulations on benchmarks based on experimental data produced at lNRA by Montfavet, France.
3 Our Suggested Approach 3.1 The System Design Our system design (Fig. 1) is composed of: – Wireless sensor network system. – Irrigation system. – Renewable energy power system. Wireless sensor network system contains soil moisture sensors (EC-5), a clusterhead (CH), a microcontroller, an actuator in electro-valve and in water pump, a sensor in water tank. – – – –
Soil moisture sensors: Measure soil moisture of the greenhouse. CH: Compares the data with the threshold. Microcontroller: Sends commands to the actuators. Sensor of the water tank: Detects the level water in the tank.
Irrigation system contains water pump, well, water tank, electro-valve and sprinklers in the greenhouse. – Water pump: Moves water from the well to the tank, if the tank is empty of water. – Electro-valve: Sprays the plants via the sprinklers. Renewable energy power system contains a solar panel, a charger controller, a battery and a converter. – Solar panel: Converts the solar radiation into electricity. The solar panel should be oriented towards the sun to maximize solar energy output. – Charger controller: Regulates the voltage coming from the solar panel to the battery, hence protecting the battery from overcharging. – Battery: Stores and accumulates the electrical energy to restore it. – Inverter: Changes the direct current from the solar panel into alternating current for the pump. Our system design is usefulness in reality exceptionally in the south of Algeria. To use it, we need: – A greenhouse. – The three proposed systems with their materials.
Design of Smart Irrigation System in the Greenhouse
129
Fig. 1. Our proposed irrigation system design.
3.2 Control System Firstly, system checks the status of our solar power (SP) and compares it with a set point. If our SP is less than the set point, we turn on another energy resource (like: wind energy). Once our system is on, the deployed soil moisture (SM) sensors (Fig. 2) collect the information and send it to the cluster-head (CH) where it will be compared with threshold values. Then, the CH sends only the information below threshold to the microcontroller.
Fig. 2. Flowchart of soil moisture control.
130
A. Touhami et al.
The microcontroller obtains the information, and sends a command to the actuator of the electro-valve. The electro-valve is going to be on, otherwise the valve stays off until the comparison gives the other result. Firstly, system checks the status of our solar power (SP) and compares it with a set point. If our SP is less than the set point, we turn on another energy resource (like: wind energy). Else, we define the level water in the tank. Then (Fig. 3), the sensor of the water tank detects the level water in the tank, and transmits the collected data to the CH. The microcontroller sends a command to the actuator of the pump to activate (if the tank is empty of water) and to deactivate (if the tank is full of water).
Fig. 3. Flowchart of detection of water tank level.
To determinate the threshold value, you should know the climatic conditions and plant types. 3.3 Advantages of the Proposed System The main benefits of this system are: – – – – – –
Clear and easy system to use it. High quality of crops. Optimize water use for agricultural crops Automation of the irrigation process. The system is based on sustainable and renewable energy. Our system helps farmers to irrigate plants exceptionally in the summer season and in the south of Algeria.
Design of Smart Irrigation System in the Greenhouse
131
4 Conclusion Life in the south of Algeria is very hard exceptionally in the summer season. Farmers need an automatic system for irrigation to help them. For this, in this paper, we have proposed a design of irrigation system in the greenhouse using wireless sensor networks and renewable energy. Also, we proposed algorithms that are responsible for controlling soil moisture and detection of water tank level. We treated, in this system, two parts. The first part is detecting the level of water in the tank and moving water from the well to the tank by the water pump. The second part is to irrigate by sprinkles the plants according to the needs. As a perspective, we plan to validate our algorithms with real data. Finally, this paper is the continuity of our published papers [2–4].
References 1. Ghosh, A., Chakraborty, S., Ghosh, A., Mondal, P., Mondal, A., Guha, M.: A smart irrigation system. In: Proceedings of 2018 IEEE Applied Signal Processing Conference, pp. 110–113 (2018) 2. Touhami, A., Benahmed, K., Parra, L., Bounaama, F., Lloret, J.: An intelligent monitoring of greenhouse using wireless sensor networks. Smart Struct. Syst. 26(1), 117–134 (2020). https://doi.org/10.12989/sss.2020.26.1.117 3. Touhami, A., Benahmed, K., Bounaama, F.: Monitoring of greenhouse based on internet of things and wireless sensor network. In: Proceedings of the 8th International Conference on Sciences of Electronics, Technologies of Information and Telecommunications (SETIT’18), vol. 2, pp. 1–9 (2020) 4. Achouak, T., Khelifa, B., Garcia, L., Parra, L., Lloret, J., Fateh, B.: Sensor network proposal for greenhouse automation placed at the south of Algeria. Network Protocols and Algorithms 10(4), 53–69 (2018). https://doi.org/10.5296/npa.v10i4.14155 5. Jury, W.A., Vaux, H.J.: The emerging global water crisis: managing scarcity and conflict between water users. Adv. Agron. 95, 1–76 (2007) 6. Gutiérrez, J., Villa-Medina, J.F., Nieto-Garibay, A., Porta-Gándara, M.Á.: Automated irrigation system using a wireless sensor network and GPRS module. IEEE Trans. Instrum. Meas. 63(1), 166–176 (2014) 7. Sanglikar, T., Puranik, V.G.: Design and implementation of automated irrigation control system using WSN: an overview. Int. J. Recent Innov. Trends Comput. Commun. 4(4), 157–161 (2016) 8. Parra, L.: Design of a WSN for smart irrigation in citrus plots with fault-tolerance and energysaving algorithms. In Network Protocols and Algorithms 10(2), 95–115 (2018). https://doi. org/10.5296/npa.v10i2.13205 9. Khelifa, B., Amel, D.: Design of a new smart irrigation system in the south of Algeria. In: International Conference on Information Technology for Organization Development (2014) 10. Angelopoulos, C.M., Filios, G., Nikoletseas, S., Raptis, T.P.: Keeping data at the edge of smart irrigation networks: a case study in strawberry greenhouses. Comput. Netw. 167 (2019)
Application of Metamaterials Based on Resonators -e- for the Design of Miniature Planar Antennas Becharef Kada1(B) , Nouri Keltouma2 , Bouazza Nadjet Nadia2 , Daoudi Wafaa2 , Abes Turkiya2 , and Saidi Amaria2 1 Division of Research in Education Technology, National Institute for Research in Education,
Al Achour, Algeria [email protected] 2 LTC Laboratory, Department of Electronic, Faculty of Technology, University of Saida-Dr. Moulay Tahar, Saida, Algeria
Abstract. The main objective of this work was to contribute to the design and simulation of patch antennas based on metamaterials. Then we associate a new resonator -e- miniature with a rectangular patch antenna. Several antenna topologies based on different resonators -e- to improve different antenna parameters. The results obtained showed an interesting variation of the antenna parameters in terms of matching, bandwidth and gain. The antenna is fed by microstrip operating in the X band, using an FR4 type substrate of thickness h = 1.6 mm, of relative permittivity εr = 4.4. Keywords: Metamaterials · Miniaturization · Negative permeability · SRR · Patch antenna · Design · HFSS · Matlab
1 Introduction The rapid development of telecommunications systems has enabled the creation and innovation of several technologies. Metamaterials are one of the new discoveries of the last decade and are an exciting area of research, emerging and promising to bring important technological and scientific advancements in many important fields such as telecommunications, radar, defense, l medical imaging, etc. [1]. Metamaterials are artificial media with unusual electromagnetic properties. Their concept was first theorized by Russian physicist Victor Veselago [2, 3]. These are periodic, dielectric or metallic structures, which behave like homogeneous materials that do not exist in nature. There are several types of metamaterials in electromagnetism, the best known of which are those liable to present both negative permittivity and permeability [4]. Since their advent in the 2000, they have enabled many advances in electromagnetism and have opened up interesting prospects for microwave frequencies, whether for circuit applications (filters, phase shifters, etc.) or for radiation applications (antennas, diffraction, stealth). © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 132–147, 2023. https://doi.org/10.1007/978-3-031-21216-1_15
Application of Metamaterials Based on Resonators
133
Among the potential benefits of these structures, let us quote for example the miniaturization of the antennas, the widening of their bandwidth, the reduction of the interelement coupling within a network or the increase of the efficiency (yield) of the antennas miniature antennas. The patch antenna made from metamaterials is designed to meet these needs, it is a metallic conductor of a particular shape placed on a substrate terminated by a ground plane; its miniature character offers the possibility of easily integrating it into transmission-reception systems. The aim of this article is to study and design printed “patch” antennas made from metamaterials. The design of the antenna will be demonstrated by determining its microwave parameters (resonant frequency, bandwidth, radiation pattern and gain) using software for electromagnetic simulation HFSS (High frequency structure simulator [5].
2 Extraction of Effective Parameters The principle of the Nicolson-Ross-Weir (NRW) method makes it possible to extract the index and the impedance of a composite medium from a simulation or an experiment [6, 7, 8]. It is valid only in normal incidence. This method was first applied in the context of metamaterials by Smith et al. [9]. Its validity is subject to the following conditions: to be able to assign an index to a material, only one propagative mode must exist in it at the frequency in question. The extraction of effective parameters is possible only in the case where the incident wavelength is much greater than the sizes and distances between the elementary constituents of the composite medium. The NRW method is simply based on the classical interference calculation giving the transmission and reflection of a layer of material according to its index (effective), its impedance (effective) and its thickness. By inverting these formulas, we deduce the values neff and Zeff depending on the thickness of the simulated layer at the transmission coefficient t = S21 and reflection r = S11 [9, 10]: (1) Re(neff ) = ±Re arccos 1 − r 2 + t 2 /2t /kd + 2π m/kd Im(neff ) = ±ImRe(neff ) arccos 1 − r 2 + t 2 /2t /kd
(2)
1/2 Zeff = ± 1 + 2r + r 2 − t 2 / 1 − 2r + r 2 − t 2
(3)
With m an integer, d is the thickness of the material and k = ω/c represents the wave vector in the vacuum of the incident plane wave from the actual index and impedance, we can also obtain the actual parameters εeff and μeff using the formulas [11, 12]: εeff =
neff Zeff
μeff = neff Zeff
(4) (5)
134
B. Kada et al.
3 Design of the Resonators Constituting the Metamaterials In this work, we proposed the design of a new e-shaped resonator which allows to have a negative permeability. The different dimensions of the resonator for operation in X band [8.2 GHz; 12.4 GHz] are given in Fig. 1. The shape of resonator ‘e’ is deposited on a RO4003C type substrate Rogers 3.38 relative permittivity and loss tangent of 0.00197.
Fig. 1. Topology and dimensions of an “e” shaped cell unit
The reflection S11 and transmission S21 coefficients of the resonator “e” obtained by means of HFSS software are presented in Fig. 2. We notice that the resonator has a transmission of –10.44 dB. For the resonant frequency 10.32 GHz. XY Plot 1
HFSSDesign1
ANSOFT
0.00 -5.00 Name
-10.00
m1
X
Y
m1
10.3200 -10.4484
Curve Info
-15.00 Y1
dB(S(1,1)) Setup1 : Sw eep dB(S(2,1)) Setup1 : Sw eep
-20.00 -25.00 -30.00 -35.00
0.00
2.50
5.00
7.50
10.00 Freq [GHz]
12.50
15.00
17.50
20.00
Fig. 2. Simulations of -e- resonator with E along the y axis:–reflection and transmission in dB.
Figure 3 illustrates the variations of the real and imaginary parts of the calculated effective permeability. It can be noted that at resonance (frés = 10.37 GHz), the real part of the permeability is negative in a frequency band around the resonance and takes values varying from 0 to –9.13dB. Outside this band, Re (μeff ) is positive.
Application of Metamaterials Based on Resonators
135
Fig. 3. Resonator -e- simulations, real and imaginary parts of the effective permeability.
4 Patch Antenna Design In the beginning of this work and to see the performance of the micro-ribbon line, we designed a rectangular patch antenna of dimensions (W p × Lp) mm2 , operating in the X band around the frequency 9.40 GHz, using a substrate of type FR4 of thickness h = 1.6 mm, of relative permittivity εr = 4.4 and of width ws of length Ls. The patch antenna is powered by a coaxial probe with a characteristic 50 impedance. The distance of the feed point from the edge of the antenna is (wf , lf ). The geometry of the antenna and their dimensions are shown in Fig. 4 and in Table 1.
Fig. 4. Geometry of the patch antenna
136
B. Kada et al. Table 1. Dimensions of the patch antenna. Size
Value (mm)
Ls
35
Ws
30
Lp
14.5
Wp
15
Lf
5
Wf
1.6
This antenna was simulated with the HFSS software. Figure 5 shows the plots of parameter S11 as a function of frequency. This coefficient reaches its first peak of – 37.33 dB obtained at the frequency of 9.40 GHz and another peak S11 = –11.37 dB at the frequency 10.99 GHz. The bandwidth can be deduced from S11 by considering a certain threshold, generally –10 dB. From the following figure, it can be concluded that the antenna bandwidth is BP = 410 MHz. XY Plot 1
HFSSDesign1
ANSOFT
0.00
m2
m3
m4
dB(S(1,1))
-12.50
-25.00
Name
X
Y
m1 m2 m3 m4
9.4000 9.1900 9.6000 10.9900
-37.3375 -10.2786 -10.2903 -11.3237
Curve Info
dB(S(1,1)) Setup1 : Sweep
m1 -37.50
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
Fig. 5. Variation of the reflection coefficient S11 as a function of the frequency
The gain of the antenna as a function of Theta is also obtained using HFSS software in the E and H planes (Fig. 6.a). Figures 6.b and 6.c show the two-dimensional radiation patterns in the E and H planes and the total three-dimensional gain, respectively. We notice that this antenna has a low bandwidth. To improve the electrical performance of this antenna (gain, bandwidth and matching). To see the influence of the latter on the performance of this type of antennas, we then draw up a state of the art on some types of antennas based on metamaterials.
Application of Metamaterials Based on Resonators XY Plot 2
HFSSDesign1
137
ANSOFT
5.00 0.00 -5.00 dB(GainTotal)
-10.00 -15.00 -20.00 -25.00
Curve Info
dB(GainTotal) Setup1 : LastAdaptive Freq='9.4GHz' Phi='0deg' dB(GainTotal) Setup1 : LastAdaptive Freq='9.4GHz' Phi='90deg'
-30.00 -35.00 -40.00 -200.00
-150.00
-100.00
-50.00
0.00 Theta [deg]
50.00
100.00
150.00
200.00
-aRadiation Pattern 2
HFSSDesign1
ANSOFT
0 -30
30 0.00 -10.00
Curve Info
-60
60
dB(GainTotal) Setup1 : LastAdaptive Freq='9.4GHz' Phi='0deg'
-20.00
dB(GainTotal) Setup1 : LastAdaptive Freq='9.4GHz' Phi='90deg'
-30.00
-90
90
-120
120
-150
150 -180
-b-
-cFig. 6. (a) Evolution of the gain of the antenna as a function of the angle theta (b) radiation diagram (phi = 0°, phi = 90°) in two dimensions (c) radiation diagram in 3D at 9.4 GHz
5 Design of Patch Antennas Based on Metamaterials In order to assess the contribution of metamaterials to the antenna, a study on the antenna itself is carried out. As a first approach, the dimensions of the patch will be calculated
138
B. Kada et al.
according to the characteristics of the FR4 type substrate of relative permittivity εr = 4.4, tanδ = 0.02 and the thickness h = 1.6 mm. 5.1 Antenna Based on a Single Resonator -eIn the rest of this work, we will add an -e- type resonator to the patch antenna to design a new antenna based on metamaterials. This antenna was etched on the same substrate and fed by a coaxial cable. Figure 7 shows the geometry of the proposed antenna. The width of the substrate is 30 mm and its length is 35 mm. The size of the patch is 15 × 14.5 mm2 , the dimensions of resonator e are shown in Fig. 7.
Fig. 7. The structure of the patch antenna loaded by e-resonator (a) three-dimensional view, (b) two-dimensional view, (c) geometry of the e-resonator with r3 = 1.5 mm, g2 = 0.1 mm, g4 = 0.8 mm.
Figure 8 shows the plots of parameter S11 as a function of frequency. This coefficient reaches its first peak of –33.77 dB obtained at the frequency of 9.33 GHz. Showing good matching to this frequency. The bandwidth of this –10 dB antenna is around 0.37 GHz. Figure 9 (a) shows the variation of the total gain of this antenna as a function of the angle θ in the H and E planes (ϕ = 0° and ϕ = 90°). The maximum gain is 4.63 dB. XY Plot 1
HFSSDesign1
ANSOFT
0.00 -5.00 m2
-10.00 Name
X
m3
Y
Curve Info
dB(S(1,1))
m1 9.3300 -33.7701 m2 9.1400 -10.2468 m3 9.5100 -10.2693
-15.00
dB(S(1,1)) Setup1 : Sw eep
-20.00 -25.00 -30.00 m1
-35.00
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
Fig. 8. Variation of the reflection coefficient S11 as a function of the frequency
Application of Metamaterials Based on Resonators
139
The curves in Fig. 9 (b) and (c) respectively show the radiation patterns representing the total gain for ϕ = 0° and 90° of the two- and three-dimensional antenna. XY Plot 2
HFSSDesign1
5.00
Radiation Pattern 1
ANSOFT
Curve Info
0.00
HFSSDesign1
ANSOFT
0
dB(GainTotal) Setup1 : LastAdaptive Freq='9.33GHz' Phi='0deg'
-30
30 Curve Info
-2.00
dB(GainTotal) Setup1 : LastAdaptive Freq='9.33GHz' Phi='90deg'
dB(GainTotal) Setup1 : LastAdaptive Freq='9.33GHz' Phi='0deg'
-9.00 -60
-5.00
dB(GainTotal) Setup1 : LastAdaptive Freq='9.33GHz' Phi='90deg'
60
dB(GainTotal)
-16.00
-10.00
-23.00
-90
-15.00
90
-20.00 -120
120
-25.00 -30.00 -200.00
-150
-150.00
-100.00
-50.00
0.00 Theta [deg]
50.00
100.00
150.00
150
200.00 -180
-b-
-a-
-cFig. 9. (a) Evolution of the antenna gain as a function of the theta angle, (b) two-dimensional radiation pattern and (c) 3D radiation pattern
The total gain reaches a maximum value of around 4.63 dB at the 9.33 GHz resonant frequency. We see from the results from Fig. 9, that the resonator-based antenna -e- still needs optimizations in terms of gain and bandwidth. To achieve the best performance, several optimizations using HFSS of this resonatorbased antenna -e- are essential. 5.2 Sensitivity of the Response of the Resonator-Based Patch antenna -eto a Variation in the Geometric Parameters In our work, we estimated the sensitivity of the frequency response of the antenna to variations in the physical dimensions of the structure (radius r3 and width g2 ). Several simulations of the patch antenna have been obtained by changing the dimensions of the structure arbitrarily. From these simulations, we can know the most critical dimensions for a patch antenna associated with an e resonator. First, we changed the width of resonator g2 with the following steps: 0.2 mm, 0.4 mm, 0.6 mm, 0.8 mm keeping the radius r3 = 1.5 mm constant. We choose the width g2 which gives the best results then we set this width to a value of 0.2 mm, 0.4 mm, 0.6 mm, 0.8 mm and we change the radius r3 with a step of 1.5 mm, 2 mm, 2.5 mm, 3 mm. We fix the two previous dimensions which give the best results by changing the gap g4 . The different curves are shown in the following figure (Fig. 10).
140
B. Kada et al. XY Plot 11
HFSSDesign1
ANSOFT
0.00 -5.00 -10.00 dB(S(1,1))
Curve Info
-15.00
dB(S(1,1)) Setup1 : Sweep g2='0.2mm' r3='1.5mm' dB(S(1,1)) Setup1 : Sweep g2='0.4mm' r3='1.5mm' dB(S(1,1)) Setup1 : Sweep g2='0.6mm' r3='1.5mm' dB(S(1,1)) Setup1 : Sweep g2='0.8mm' r3='1.5mm'
-20.00 -25.00 -30.00 -35.00
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
a- Influence of the width of resonator g2 on the frequency response by setting the radius r3 = 1.5 mm. XY Plot 12
HFSSDesign1
ANSOFT
0.00 -5.00 -10.00 dB(S(1,1))
Curve Info
dB(S(1,1)) Setup1 : Sweep g2='0.8mm' r3='1.5mm' dB(S(1,1)) Setup1 : Sweep g2='0.8mm' r3='2mm' dB(S(1,1)) Setup1 : Sweep g2='0.8mm' r3='2.5mm' dB(S(1,1)) Setup1 : Sweep g2='0.8mm' r3='3mm'
-15.00 -20.00 -25.00 -30.00 -35.00
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
b- Influence of radius r3 on the frequency response by fixing the width of resonator g2 = 0.8 mm XY Plot 3
HFSSDesign6
ANSOFT
0.00
-5.00 Curve Info
dB(S(1,1)) Setup1 : Sweep g4='0.2mm' dB(S(1,1)) Setup1 : Sweep g4='0.4mm' dB(S(1,1)) Setup1 : Sweep g4='0.6mm' dB(S(1,1)) Setup1 : Sweep g4='0.8mm'
dB(S(1,1))
-10.00
-15.00
-20.00
-25.00
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
c- Influence of gap g4 on the frequency response by fixing g2 = 0.8 mm and r3 = 3 mm
Fig. 10. Sensitivity of the response of the antenna to a variation in the geometric parameters: g2 , r3 and g4 . (a) Influence of the width of resonator g2 on the frequency response by setting the radius r3 = 1.5 mm, (b) influence of radius r3 on the frequency response by fixing the width of resonator g2 = 0.8 mm, influence of gap g4 on the frequency response by fixing g2 = 0.8 mm and r3 = 3 mm
Application of Metamaterials Based on Resonators
141
From the results of patch antenna simulations that were obtained by changing the dimensions of the structure, we find that the best dimensions for this patch antenna are: g2 = 0.8 mm and r3 = 3 mm. Using these latter values again brings us back to optimizing our results. Figure 11, illustrates the new frequency response of the optimized resonator-based patch antenna -e-, obtained using HFSS software. XY Plot 1
HFSSDesign6
ANSOFT
0.00
-5.00 Name
X
Y
m1 10.2000 -23.0300 m2 9.8200 -10.1221 m3 10.9400 -10.2635
dB(S(1,1))
-10.00
m2
m3
Curve Info dB(S(1,1)) Setup1 : Sw eep
-15.00
-20.00 m1
-25.00
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
Fig. 11. Variation of the reflection coefficient S11 as a function of the frequency (r3 = 3 mm, g2 = g4 = 0.8 mm)
After the simulation, we notice that our antenna shows an adaptation around the resonant frequency 10.20 GHz with a bandwidth of 1.12 GHz: BP = fmax − fmin with fmax = 10.94 GHz and fmin = 9.82 GHz ⇒ BP = 1.12 GHz Figure 12 shows the radiation patterns of this antenna at the resonant frequency fr = 10.20 GHz. Radiation Pattern 2
Radiation Pattern 3
0 -30
0
30
-30
-5.00
-10.00 -60
Curve Info
-7.00
60
-60
60
-15.00
dB(GainTotal) Setup1 : LastAdaptive Freq='10.2GHz' Phi='90deg'
-13.00
-20.00
-19.00
-90
90
-120
120
-150
30 -1.00
Curve Info dB(GainTotal) Setup1 : LastAdaptive Freq='10.2GHz' Phi='0deg'
150
-90
90
-120
120
-150
150 -180
-180
Fig. 12. 2D radiation patterns
142
B. Kada et al.
The three-dimensional radiation pattern is shown in Fig. 13 at the frequency 10.20 GHz. The maximum gain is of the order of 5.24 dB.
Fig. 13. Variation of the total three-dimensional gain at the frequency 10.20 GHz
5.3 Antenna Based on Two Resonators -e- of Different Dimensions In order to further improve the antenna matching and bandwidth, we added a second resonator -e- to the previous antenna by reducing the size of the latter (Fig. 14).
Fig. 14. The structure of the patch antenna loaded by resonators -e- (a) two-dimensional view, (b) geometry of the resonator with R0 = 1.7 mm, g1 = 0.4 mm, g0 = 0.1 mm.
Application of Metamaterials Based on Resonators
143
The result of the simulation for the adaptation of the proposed antenna is illustrated in Fig. 15. The reflection coefficient S11 indicates an adaptation of about –25.16 dB at the frequency of 10.58 GHz and matching of –21.73 dB at the frequency 10.20 GHz. We notice that the bandwidth of this antenna is BP = 1.28 GHz at 10 dB. XY Plot 7
HFSSDesign8
0.00
ANSOFT
Curve Info dB(S(1,1)) Setup1 : Sw eep
-5.00 m2
m1
dB(S(1,1))
-10.00 Name
m1 m2 m3 m4
-15.00
-20.00
X
Y
9.5700 -10.1116 10.8500 -9.9988 10.5800 -25.1667 10.2000 -21.7372 m4
m3
-25.00
-30.00
8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
Fig. 15. Variation of the reflection coefficient as a function of the frequency
The gain of the antenna as a function of Theta is also obtained using HFSS software in the E and H planes (Fig. 16.a). Figures (16.b) and (16.c) show respectively the two and three-dimensional radiation patterns in the E and H planes. All these results are obtained respectively at two frequencies: f = 10.20 GHz and f = 10.58 GHz. We observe that this antenna shows an improvement in total gain, this gain is of the order of 5.32 dB for the frequency 10.58 GHz, and of the order of 5.11 dB for the 10.20 GHz. 5.4 Antenna Based on Three Resonators -e- of Different Dimensions The third step, we added the third resonator -e-, while reducing their size (Fig. 17). The result of the reflection coefficient S11 of the antenna loaded by resonators -e- is presented in Fig. 18.
144
B. Kada et al. XY Plot 9
HFSSDesign8
XY Plot 1
ANSOFT
5.00
HFSSDesign8
ANSOFT
2.50 Curve Info Curve Info dB(GainTotal) Setup1 : LastAdaptive Freq='10.2GHz' Phi='0deg' dB(GainTotal) Setup1 : LastAdaptive Freq='10.2GHz' Phi='90deg'
-5.00
dB(GainTotal)
dB(GainTotal)
-0.00
-10.00
0.00
dB(GainTotal) Setup1 : LastAdaptive Freq='10.58GHz' Phi='0deg'
-2.50
dB(GainTotal) Setup1 : LastAdaptive Freq='10.58GHz' Phi='90deg'
-5.00 -7.50
-10.00
-15.00
-12.50 -15.00
-20.00 -17.50 -25.00 -200.00
-150.00
-100.00
-50.00
0.00 Theta [deg]
50.00
100.00
150.00
200.00
-20.00 -200.00
-150.00
-100.00
-50.00
0.00 Theta [deg]
50.00
100.00
150.00
200.00
-aRadiation Pattern 2
H
0 -30
30 Curve Info
-1.00
Radiation Pattern 1
dB(GainTotal) Setup1 : LastAdaptive Freq='10.2GHz' Phi='0deg'
-7.00 -60
60 -13.00
0
dB(GainTotal) Setup1 : LastAdaptive Freq='10.2GHz' Phi='90deg'
-30
30 Curve Info
0.00
dB(GainTotal) Setup1 : LastAdaptive Freq='10.58GHz' Phi='0deg'
-5.00 -60
-19.00
60 -10.00
-90
90
-15.00
-90
-120
dB(GainTotal) Setup1 : LastAdaptive Freq='10.58GHz' Phi='90deg'
90
120 -120
-150
150 -180
120
-150
-b-
150 -180
-cFig. 16. (a) Evolution of the gain of the antenna as a function of the angle theta, (b) two-dimensional radiation pattern and (c) 3D radiation pattern
We obtained an matching of –49.39 dB at the 9.97 GHz resonant frequency. We note an improvement in the reflection coefficient S11 with a widening of the band compared to previously studied antennas of the order of BP = 2.03 GHz.
Application of Metamaterials Based on Resonators
145
Fig. 17. The structure of the patch antenna loaded by three e-resonators (a) three-dimensional view, (b) two-dimensional view, (c) geometry of the e resonator with R1 = 1.5 mm, W1 = 0.4 mm, g1 = 0.6 mm. XY Plot 1
HFSSDesign5
0.00
ANSOFT
Curve Info dB(S(1,1)) Setup1 : Sw eep
m2
m1
-10.00
-20.00
Name
X
Y
dB(S(1,1))
m1 9.3000 -10.3057 m2 11.3300 -10.2172 m3 9.9700 -49.3965
-30.00
-40.00
m3
-50.00 8.00
8.50
9.00
9.50
10.00 Freq [GHz]
10.50
11.00
11.50
12.00
Fig. 18. Reflection coefficient of the resonator antenna -e-
Figure 19 shows the radiation patterns of this antenna at the resonant frequency 9.97 GHz. By comparing the simulation results of the different antennas based on the resonators -e- that we studied previously. Table 2 shows the different values of matching, gain and bandwidth for the different configurations.
146
B. Kada et al. Radiation Pattern 1
XY Plot 2
HFSSDesign5
0
5.00 -30
30 Curve Info
-2.00
-9.00
dB(GainTotal) Setup1 : LastAdaptive Freq='9.97GHz' Phi='0deg'
-16.00
dB(GainTotal) Setup1 : LastAdaptive Freq='9.97GHz' Phi='90deg'
Curve Info
0.00
dB(GainTotal) Setup1 : LastAdaptive Freq='9.97GHz' Phi='0deg'
-60
60
dB(GainTotal) Setup1 : LastAdaptive Freq='9.97GHz' Phi='90deg'
dB(GainTotal)
-5.00
-23.00
-10.00 -90
90
-15.00 -20.00
-120
120
-25.00 -150
150 -180
-30.00 -200.00
-150.00
-100.00
-50.00
0.00 Theta [deg]
50.00
100.00
150.00
200.00
-b-
-a-
-cFig. 19. (a) Evolution of the antenna gain as a function of the theta angle, (b) two-dimensional radiation pattern and (c) 3D radiation pattern (9.97 GHz)
Table 2. Comparison of the simulation results of three antennas. Single resonator antenna -e-
Antenna with two resonators -e-
Antenna with three resonators -e-
–22.96
–25.16
–49.39
Gain (dB)
5.24
5.11
3.42
Bandwidth (GHz)
1.12
1.28
2.03
S11 (dB)
6 Conclusion In this article we have presented the Design of patch antennas based on metamaterials. Then we designed a patch antenna fed by a coaxial line to show their performance and limitations. This study allowed us to make a state of the art on other topologies of antennas based on metamaterials to improve the different parameters of patch antennas. we have associated with a basic antenna supplied by a coaxial line of the proposed -eform resonators: one resonator, two resonators, three resonators,….. We also presented the results of the simulations of these antennas obtained by HFSS, these are the various antenna parameters including the S11 parameter, the bandwidth and the gain.
Application of Metamaterials Based on Resonators
147
The objective of these additions is to improve the characteristic parameters of our antenna such as: S11 matching, bandwidth and gain. The application of these metamaterials has helped increase the performance of the antenna in terms of reflection coefficient, gain and bandwidth. Acknowledgments. This work was financially supported by the Directorate General of Scientific Research and Technological Development (DGRSDT) under the authority of the Ministry of higher education and scientific research MESRS.
References 1. Kada, B., Keltoum, N., Turkiya, A.: Design of bandpass filters based on metamaterials. In: 2019 International Conference on Advanced Electrical Engineering (ICAEE), Algeria, 19 Nov 2019, IEEE. https://doi.org/10.1109/ICAEE47123.2019.9014678. https://ieeexplore. ieee.org/abstract/document/9014678 2. Veselago, V.G.: The electrodynamics of substances with simultaneously negative values of ε and μ. Sov. Phys. Usp. 10, 509–514 (1968) 3. Pendry, J.B., Holden, A.J., Robbins, D.J., Stewart, W.J.: ’Magnetism from conductors and enhanced nonlinear phenomena’. IEEE Trans. Microwave Theory Tech. 47, 2075–2084 (1999) 4. Kada, B., Keltoum, N., Seddik, B.B., Mahdi, D., Chawki, B.T.H.: Design of array CSRRs band-stop filter. In: International Conference in Artificial Intelligence in Renewable Energetic Systems, pp. 87–98, 22 Oct 2017. https://doi.org/10.1007/978-3-319-73192 6_10 5. Naoui, S., Latrach, L., Gharsallah, A.: Metamaterials microstrip patch antenna for wireless communication RFID technology. Microw. Opt. Technol. Lett. 57(5), 1060–1066 (2015) 6. Kada, B., Keltoum, N., Turkiya, A.: Application of metamaterials for the design of antenna. In: The IEEE Sixth International Conference on the Image and Signal Processing and their Applications, Mostaganem, Algeria, 24 Nov 2019, IEEE. https://doi.org/10.1109/ISPA48 434.2019.8966859, https://ieeexplore.ieee.org/document/8966859 7. Nicolson, A.M., Ross, G.F.: Measurement of the intrinsic properties of materials by timedomain techniques. IEEE Trans. Instrum. Meas. 19(4), 377–382 (1970) 8. Kada, B., Keltoum, N., Seddik, B.B., Mahdi, D., Chawki, B.T.H.: Design of band-stop filter composed of array rectangular split ring resonators. J. Nano Electr. Phys. 10(2), 1–3 (2018) 9. Smith, D.R., Schultz, S., Markoš, P., Soukoulis, C.M.: Determination of effective permittivity and permeability of metamaterials from reflection and transmission coefficients. Phys. Rev. B 65, 195104 (2002) 10. Kada, B., Keltoum, N., Seddik, B.B., Mahdi, D., Chawki, B.T.H.: Design and simulation of a broadband bandpass filter based on complementary split ring resonator circular. In: CSRRs, Wireless Personal Communications, 16 Nov 2019 11. Kada, B., Keltoum, N., Turkiya, A.: Enhanced performance of substrate integrated waveguide bandstop filter based on metamaterials SCSRRs. In: IEEE Sixth International Conference on the Image and Signal Processing and their Applications, Mostaganem, Algeria, 24 Nov 2019, IEEE. https://doi.org/10.1109/ISPA48434.2019.8966811, https://ieeexplore.ieee.org/ document/8966811 12. Kada, B., Keltouma, N., Turkiya, A.: Design of patch antennas based on metamaterials CSRRs. In: 2019 International Conference on Advanced Electrical Engineering (ICAEE), Algeria, 19 Nov 2019, IEEE. https://doi.org/10.1109/ICAEE47123.2019.9014741, https:// ieeexplore.ieee.org/abstract/document/9014741
Aspect Oriented Web Service Composition Based Petri Net Model F. Khalifa(B) and B. Guelta Université des sciences et de la technologie d’ Oran Mohamed BOUDIAF USTO’MB, Oran, Algérie [email protected]
Abstract. The concept of aspect-oriented programming is an emerging programming paradigm that stretches across different development phases in different domains. Many researchers have focused on the use of this paradigm in web service composition in different research axes. However, none of them combine aspect-oriented programming and the design by contract to deal with the adaptation of the parameters in the web service composition process based on the Petri net graph technique as a formal method. This paper proposes a web service composition algorithm based on the Petri net graph that incorporates both Aspect-oriented programming and the design by contract concept. Aspect-oriented programming provides explicit support for the separation of cross-cutting concerns in web service composition, and the design by contract approach allows parameters to be executed in pre-condition and post-condition mode by using contracts in order to ensure correct service execution and adaptation to external parameters without affecting properties that can be dealt with through re-construction of web services composition. Keywords: Aspect oriented programming · Design by contract · Web service composition · Petri net · Parameters adaptation
1 Introduction Aspect-Oriented Programming (AOP), is a new programming paradigm introduced in information systems. It presents a novel element called aspect, in order to encapsulate the crosscutting concerns of the program. As opposed to reiterating the same concern multiple times in multiple code blocks, the aspect can represent all of these concerns in a single code block completely separate from the source code. The aspect contains three main elements, a joinpoint, a pointcut, and advice. AOP also introduces the notion of a weaver. Weaving behavior is the process that allows weaving the program with these different aspects [2, 3]. Researchers have studied the application of AOP to the Web service composition domain. Their research centered around increasing the adaptability of web services [12, 13] or modularizing crosscutting concerns in web service composition [6]. However, none of them has addressed the problem of parameter adaptation and conflict between input and output parameters in the composition phase using AOP techniques © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 148–159, 2023. https://doi.org/10.1007/978-3-031-21216-1_16
Aspect Oriented Web Service Composition Based Petri Net Model
149
and design by contract. Design by Contract (DbC) is an approach that uses a contract to specify and define the mutual obligations and expected parameters of the communication between services composite process, and uses assertions to check whether an application complies with a contract [4, 5]. The failure of an assertion is typically a symptom of a bug in the software. There are three different kinds of assertions [11]: 1) Pre-conditions: is a case in which some parameters must be satisfied before an operation execute. 2) Post-condition: specifies parameters conditions that must be satisfied after an operation completes, hence, post-condition is evaluated after a method completes. 3) Invariant: specifies a parameters condition that must be satisfied before and after a call of an object’s method. This paper presents a semantic formal web service composition technique that uses both AOP and DbC solutions to address the problem of parameter adaptation between input and output parameters as part of the web service composition phase, even using separation of cross-cutting concerns. To the effect that web services are applications available on the internet, each of them performs a special task [1]. Except that, the requirements of the client always exceed the demand of a single request or a single task. As an example, if a client wants to afford a vacation, he wishes to find a web service that offers him simultaneously the option of purchasing a plane ticket, making a hotel reservation, and reserving a car. As no specific web service can meet all of these requirements at the same time, it should be possible to combine several existing services to fulfill one’s needs. This is the composition of web services. However, one of the most critical issues to be addressed in the composition of web services is that some services impose certain input or output parameters that are defined by their suppliers and/or imposed by their clients. These constraints must be satisfied to ensure correct execution and appropriate interaction with the different services contained in the composition. In this context, the main contributions of our research work are focused on: Applying the AOP paradigm to web services composition to increase the adaptability of services and to modularize crosscutting concerns. When crosscutting concerns are separated from the code of each service, it becomes easy to modularize the crosscutting concerns of the composite service and then monitoring these parameters as discussed by Sk. Riazu Rahemana and al. in [17]. Additionally, we applied the DbC paradigm to avoid conflicts and exceptions in the interaction between input parameters of the new services added to the composition and the output parameters of the composite services within the composition of web services. The remainder of this paper is structured as follows: Section 2 reviews related work, Sect. 3 presents a conceptual architecture as formal foundation. In Sect. 4 an Implementation of Petri Net graph techniques is given. Finally, Section 5 concludes the paper.
2 Related Work In recent years, many types of research have been published regarding the use of aspectoriented programming in the composition of web services. They revolve around different
150
F. Khalifa and B. Guelta
areas of research, like those in [7, 8, 14, and 15]. Among them various approaches have been investigated for building a Petri net model for web service composition based on the aspect-oriented paradigm. However, none of them considered the concept of design by contract. In [9], the author presents a Petri net-based approach to support aspect-oriented modeling for web service composition. Author in this paper have the composition operation including a crosscutting operation for modeling aspects is based on Petri nets. The authors present a Petri Net model for service composition in [18]. The author does not introduce the aspect paradigm; therefore he does not discuss the crosscutting concerns comprised in web service compositions. In [19], the author presents aspect-oriented web service composition based on a Petri net-based approach. As part of this study, AOP is utilized to consider web service development as a set of aspects, which may be selected at run-time based on the service request without considering the crosscutting concerns of the service participants. Also the concepts of design by contract are not used. In [20] the author proposes an aspectoriented web service composition model based on Petri-Net where web services are developed as aspects and the services are composed through a weaving mechanism. The research does not use design by contract and does not address the problem of parameter adaptation in composing web services. In [10] the author is introducing the design by contract concept to support the software developer, although it has not yet been applied to the composition of the web service. However, none of these approaches have been applying both AOP and DbC in the same context of the Petri Net based model for web service composition. Thereby this paper is the first attempt at using both the AOP approach and DbC benefit in web service composition focused in crosscutting concers and parameters adaptation.
3 Conceptual Architecture as Formel Fondation 3.1 Concepts and Definitions Within this section, we describe how Web service composition algorithms employ the Petri net graph that combines both AOP programming and DbC concepts. A Petri net graph technique is a powerful search tool for studies in the Artificial Intelligence planning domain in order to help refine the programming paradigm. In order to illustrate some concepts necessary background on petri net graph techniques, this section contains some definitions Definition 1: A web service is a 7 tuple S = (P, T , A, W , I , O, E), where, P = {P1, P2, P3, . . . Pn} is a finite set of places, T = {T 1, T 2, T 3, . . . Tn} is a finite set of transitions representing the operations of the service, A = {A1, A2, A3, . . . An} is a set of aspects that represents crosscutting concerns of services W ⊆ (P × T ) ∪ (T × P) is a set of directed arcs I : represents the input parameters which belongs to the specifications required by the service S.
Aspect Oriented Web Service Composition Based Petri Net Model
151
O: represents the output parameters generated by a service E is a finite set of control transitions which compose atomic web services or other composite services into a composite service. 3.2 Web Services Composition as Petri Nets A composite service can be regarded as a partially ordered set of several places and transitions where we have added a several aspect and contract relation according to the flow relation. In this section, we present a construct of the basic web services composition according to several methods: Sequence, alternative, iterative and unordered specified in the control flow of the Petri net graph. Definition 2: Given two web services nets S1 = (P1 , T1 , A1 , W1 , I1 , O1 , E1 ) and S2 = (P2 , T2 , A2 , W2 , I2 , O2 , E2 ) their compositions can happen in either of the following approaches: Sequential Composition: Sc = S1 S2 represents a composite service Sc that performs the service S1 followed by the service S2 , where: Sc = (Pc , Tc , Ac , Wc , Ic , Oc , Ec ) Pc = P1 ∪ P2 ∪ {i, o} Tc = T1 ∪ T2 ∪ {t1, t2} Ac = A1 ∪ A2 Wc = W1 ∪ W2 ∪ {(i, t1), (t1, i1), {(o1, t2), (t2, i2), {(o2, t3), (t3, o)} i = i1, o = o2ando1 = i2 Ec = E1 ∪ E2 ∪ {t1, t2, t3}
S1
A1
A2
An
i=i1
S2
o1 op1
A1
A3
A2
o2
i2
opi
op1
opi
Fig. 1. Service composite S1 S2
Alternative Composition: Sc = S1 ⊕ S2 represents a composite service Sc that performs as either service S1 or service S2 . That is, only one of them can be executed, where (Figs. 1, 2 and 3)
152
F. Khalifa and B. Guelta
Sc = (Pc , Tc , Ac , Wc , Ic , Oc , Ec ) Pc = P1 ∪ P2 ∪ {i, o} Tc = T1 ∪ T2 Ac = A1 ∪ A2 Wc = W1 ∪ W2 ∪ {(i, t1), (t1, i1), {(o1, t2), (t2, i2), {(o2, t3), (t3, o)} i = i1, o = o2ando1 = i2 Ec = E1 ∪ E2 ∪ {t1, t2, t3}
S2
S1
i2
i1
A1
A1
A2
A2
A3
A3 o1
o2
Fig. 2. Service composite S1S2
Iterative Composition S = μS, represents a web service composite S that performs a certain number of times by itself.S = (P, T , A, W , i, o, E), where P = P ∪ {i, o} T = T1 A = A1 W = W 1 ∪ {(i, t1), (t1, i1), {(o1, t2), (t2, i2), {(o2, t3), (t3, o)}
Aspect Oriented Web Service Composition Based Petri Net Model
153
E = E1 ∪ E2 ∪ {t1, t2, t3}
S2
S1
i2
i1
A1
A1
A2
A2
A3
A3 o1
o2
Fig. 3. Service composite created of an iterative composition
Unordered Composition S = S1 ♦ S2, represents a composite service S that performs either the service S1 followed by the service S2, or S2 followed by S1 as shown in Fig. 4.S = (P, T , A, W , i, o, E) , where P = P1 ∪ P2 ∪ {i, o, p1, p2, p3, p4, p5} T = T1 ∪ T2 A = A1 ∪ A2
W = W 1 ∪ {(i, ti), (ti, p1), {(ti, p2), (ti, p3), (p1, t1), (p2, t3), (p3, t1), (p3, t2), (p3, to), (ti, i1), (t2, i1), (t2, i2), (o1, t3), (o2, t4), (t3, p3), (t4, p3), (t3, p4),
154
F. Khalifa and B. Guelta
(t4, p5), (p4, to), (p5, to), (to, o), } E = E1 ∪ E2 ∪ {t1, t2, t3, t4, t5}
Fig. 4. Service composite created of an unordered composition
Aspect Oriented Web Service Composition Based Petri Net Model
155
4 Recognized Petri Nets Overview of Aspect Oriented Model for Petri Extention First all crosscutting concerns are separated from each web service of the composition which will be weaved later in the composition. a final service composition can be seen as a composite web service weaved with aspects. All aspects are modularizing individually. In this section, we first give the definition of aspect. Then, we give the formal semantics for Aspect Oriented Web Service Composition based Petri net model. 4.1 Aspect oriented model Aspect-oriented paradigm is based on the concept of separation of the cross-cutting concern. Crosscutting is a symmetric relationship between a web service and its concerns. To build the model for aspect, we define certain crosscutting operation. Definition 3. Weaving Operation A is the set of different Aspect, A = {A1, A2, A3 . . . An}. where: Ai is an Aspect defined by Ai = Cc, Joinpoint, Pointcut, Advice – – – –
Cc: is crosscutting concern functionality. Advice: is a workflow code that encapsulates Cc. Joinpoint some points in the program of the service related to pointcuts of the aspect. Pointcut is a function that relates a joinpoint to a set of advice.
A weaving operation WOP represent an explicit link between a service Sa and the crosscutting concerns functionality Cc that touches this service representing by the set of Aspect {A1, A2, A3.., An} Sa = Sa {A1, A2, A3 . . . , An} The weaving operation WOP can take three forms of execution: A before weaving operation: Sa . Cc → A.advice represent that advice is executed before the execution of the web service Sa . An after weaving operation: Sa . Cc → A.advice represent that advice executed after the execution of the web service Sa . An around weaving operation: Sa . Cc → A.advice represent that advice executed around execution of web the service Sa . If an aspect A advice crosscuts a crosscutting concern of a service Sa , it gives us: S a = Sa A S a represents that the service Sa is weaved with aspect A. We describe the formal semantics of the weaving operation used in our petri net graph for the four interception operations quote above:
156
F. Khalifa and B. Guelta
1) Sa .Cc → A.advice S a = Sa A, where, Pa = P ∪ Pa Ta = T ∪ Ta Aa = Aa wa = wa ∪ {(ia , t), (t, oa )} =
ia {ia ∪ ib = {A1, A2, . . . A}|ib ∩ ia = ∅} = oa oa = Ea Ea ∪ {t} 2) Sa . Cc → A.advice S a = Sa A, where, Pa = P ∪ Pa =
Ta T ∪ Ta =
Aa Aa wa = wa ∪ {(ia , t), (t, oa )} =
ia {ia ∪ ib = {A1, A2, . . . A}|ib ∩ ia = ∅} = oa oa = Ea Ea ∪ {t} 3) Sa .Cc → A.advice S a = Sa A, where, =
Pa P ∪ Pa =
Ta T ∪ Ta =
Aa Aa wa = wa ∪ {(ia , t), (t, oa )} =
ia {ia ∪ ib = {A1, A2, . . . A}|ib ∩ ia = ∅} = oa oa = Ea Ea ∪ {t}
Aspect Oriented Web Service Composition Based Petri Net Model
157
Definition 4 Contract Relationship A contract relationship βPCr (Sa , Sb ) represents an explicit link between two Petri nets Sa = (Pa , Ta , Aa , Wa , Ia , Oa , Ea ) and Sa = (Pb , Tb , Ab , Wb , Ib , Ob , Ea ) which implies that the parameters of a service composite Sa is adapted by an contract PCr. A contract relationship has a contract method β with either one or two contract point Sc = Sa Sb A Contract method β(PCr) can take three formats: 1) @Pré: contract method β (a precondition of β) specify a contract that must hold before the execution of the input parameters of the service Sa . 2) @post: contract method β (a postcondition of β) specify a contract that must hold before the execution of the input parameters of the service Sa . 3) @Inv: contract method β (invariant) specifies a contract that must behold any time when service features are invoked.
5 Conclusion and Perspectives In this paper, a novel technique for web service composition algorithms based on the petri net graph using two new programming paradigms AOP and DbC is proposed to solve the problem of code redundant of the crosscutting concerns and parameters conflict in web service composition. Our contribution consists of two points important in the web services composition: First, using the AOP programming model all the crosscutting concerns which affect all participating services in the composition have been separated and programmed independently as entities called aspects. Second, DbC proposes a Boolean relation which imposes a contract test during the execution of the input and output parameters, in the form of pre-condition, postcondition, and invariant. Our investigation has demonstrated that the proposed technique is the best way to solve static detection of resolving conflict situation in web service composition. There are no attempts have been made in the same field to compare the estimates results. This work was the first challenge which dealt with this problem and which will open up other opportunities for researchers in this field to do further studies. Future development of the model will include the introduction of the dynamic way and add more comparison results.
References 1. Nam, T., Pardo, T.A.: Conceptualizing smart city with dimensions of technology, people, and institutions. In: Proceedings of the 12th Annual International Digital Government Research Conference: Digital Government Innovation in Challenging Times, pp. 282–291 (2011)
158
F. Khalifa and B. Guelta
2. Rao, J., Su, X.: A survey of automated web service composition methods. In: Cardoso, J., Sheth, A. (eds.) SWSWPC 2004. LNCS, vol. 3387, pp. 43–54. Springer, Heidelberg (2005). https://doi.org/10.1007/978-3-540-30581-1_5 3. Kiczales, G., et al.: Aspect-oriented programming. In: Ak¸sit, M., Matsuoka, S. (eds.) ECOOP 1997. LNCS, vol. 1241, pp. 220–242. Springer, Heidelberg (1997). https://doi.org/10.1007/ BFb0053381 4. Elrad, T., Filman, R., Bader, A.: Aspect-oriented programming: introduction, Commun. ACM 29–32 (2001) 5. Meyer, B.: Applying design by contract. IEEE Comput. 40–51 (1992) 6. Thüm, T., Schaefer, I., Kuhlemann, M., Apel, S., Saake, G.: Applying design by contract to feature-oriented programming. In: de Lara, J., Zisman, A. (eds.) FASE 2012. LNCS, vol. 7212, pp. 255–269. Springer, Heidelberg (2012). https://doi.org/10.1007/978-3-642-288722_18 7. Charfi, A., Schmeling, B., Heizenreder, A., Mezini, M.: Secure and transacted web service compositions with AO4BPEL. In: Proceedings of the 2nd International Conference on Service Oriented Computing ICSOC, pp. 23–34 (2004) 8. Shanmuga Priya, R., Rajaram, K.: AOP based QoS monitoring of dynamic web service compositions. In: IEEE International Conference on Advanced Communication Control and Computing Technologies (ICACCCT), pp. 1913–1917 (2014) 9. Zaimer, F., Yutao, M., Keping, H., Gong, P.: A requirements-driven and aspect-oriented approach for evolution of web services composition. In: Conference: Web Mining and Web-based Application (WMWA), pp. 201–204 (2009) 10. Yang, X., Hung, H.: A petri net based model for aspect oriented web service composition. In: International Conference on Management and Service Science, pp. 1–4 (2009) 11. Klaeren, H., Pulvermüller, E., Rashid, A., Speck, A.: Aspect composition applying the design by contract principle. In: Butler, G., Jarzabek, S. (eds.) GCSE 2000. LNCS, vol. 2177, pp. 57– 69. Springer, Heidelberg (2001). https://doi.org/10.1007/3-540-44815-2_5 12. Minh Le, N.: Contracts for Java: A practical framework for contract programming. http:// code.google.com/p/cofoja/. Accessed 08 Aug 2019 13. Charfi, A., Mezini, M.: AO4BPEL: An Aspect-Oriented Extension to BPEL. Springer Netherlands, pp. 309–344 (2007). https://doi.org/10.1007/s11280-006-0016-3 14. Hmida, M.M.B., Tomaz, R.F., Monfort, V.: Applying AOP concepts to increase web services flexibility. In: Proceeding of International Conference on Next Generation Web Services Practices, p. 6 (2005) 15. Braem, M., Joncheere, N.: Requirements for applying aspect-oriented techniques in web service composition languages. In: Lumpe, M., Vanderperren, W. (eds.) SC 2007. LNCS, vol. 4829, pp. 152–159. Springer, Heidelberg (2007). https://doi.org/10.1007/978-3-540-773511_12 16. Xu, Y., Tang, S., Xu, Y., Tang, Z.: Towards aspect oriented web services composition with UML. In: Proceedings of 6th IEEE International Conference on Computer and Information Science (ICIS2007), IEEE Computer Society Press, July 11–13, pp. 279–284 (2007) 17. Havinga, W., Nagy, I., Bergmans, L., Aksit, M.: A graph based approach to modeling and detecting composition conflicts related to introductions. In: Proceedings of 6th International Conference on Aspect-Oriented Software Development, pp. 85–95 (2007) 18. Raheman, S.R., Maringanti, H.B., Rath, A.K.: Aspect oriented programs: issues and perspective. J. Electr. Syst. Inf. Technol. 5(2), 562–575 (2018) 19. Muschevici, R., Clarke, D., Proenca, J.: Feature petri nets. In: Proceedings of the 14th International Software Product Line Conference (SPLC 2010), vol. 2 (2010) 20. Hamadi, R., Benatallah, B.: A petri net-based model for web service composition. In: proceedings of the 14th Australasian database conference. Australian Computer Society, pp. 191–200 (2003)
Aspect Oriented Web Service Composition Based Petri Net Model
159
21. Mallayya, D., Ramachandran, B.: Aspect-oriented web service composition: a petri net based approche. In: 2011 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery, pp. 88–95 (2011) 22. Xu, Y., Tang, D.: An aspect-based web service composition model based on petri-net. Adv. Serv. Lett. 10, 388–390 (2012)
High-Efficiency 60-GHz Printed Antenna Using a Triple-Layer Metasurface Tarek Messatfa(B) and Fouad Chebbara Department of Electronic and Telecommunications, Electrical Engineering Laboratory (LAGE), Université Kasdi Merbah Ouargla, 30000 Ouargla, Algeria [email protected]
Abstract. In this research article, a microstrip printed antenna operating at 60 GHz with an ultrathin triple-layer FSS metasurface is presented. Two different FSS metasurface structures without a dielectric substrate are proposed in order to improve antenna performance. The first structure is a cross-slot, while the second is a double circular split-ring resonator (DCSRR). The effect of their size and shape on the gain and bandwidth of the antenna has been investigated. The simulation results show that the antenna performance can be significantly improved by using a triple-layer metasurface structure with a cross-slot. This design achieves a maximum gain of 10.7 dB, a wide bandwidth of 4.9%, and an efficiency of 97%. This proposed antenna has outstanding performance in broadband and can be used in millimeter-wave wireless communication. Keywords: Microstrip printed antenna · FSS · Double circular split-ring resonator (DCSRR) · Metasurface · Millimeter-wave
1 Introduction Millimeter-wave (MMW) communications have recently attracted much attention due to the wide frequency available. Antennas with a high gain and efficiency in communication systems operating in the MMW bands are extremely attractive. The design of wireless communication antennas at 60 GHz has generated enormous interest, and many of these antennas do not conform to some 60-GHz standards, such as IEEE 802.15.3c [1, 2]. Therefore, the design of the 60-GHz antenna will remain to be a prominent research topic in millimeter-wave wireless communication in the coming years. Many antenna researchers have recently become interested in the metasurfaces concept. Metasurfaces with a converging electromagnetic wave function can be classified into two types: transmission and reflection type. This paper mainly deals with the transmission type of metasurface. Transmitarray metasurfaces are a type of artificial structured lens that is frequently used in beamforming to collimate light from a source by altering cells with independently controlled phases [3]. Additionally, they can be used in typical phased array components that have significant intricate feed networks or need many transceiver modules [4]. To
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 160–169, 2023. https://doi.org/10.1007/978-3-031-21216-1_17
High-Efficiency 60-GHz Printed Antenna
161
prevent the grating lobe, the period of the element is approximately half wavelength [4, 5]. The mutual connection between transmitarray components frequently needs to be as low as possible for reducing scan blindness and thus enable wide-angle scanning [6, 7]. Typically, the transmitarray structure is powered by a single antenna [8], which can be a horn antenna, an open-ended rectangular waveguide probe, a patch antenna, or a substrate integrated waveguide (SIW) slot antenna [9]. They have the potential to give high gain, great aperture efficiency over a wide bandwidth, and eliminate feeding obstruction [10]. Further, they can be employed as amplifiers and phase shifters to increase the power of spatial improvement or to produce reconfigurable antennas [11]. There are several techniques for designing metasurface transmitarray to control the transmission phase of each unit cell within the array. One of these techniques is the multilayer of frequency-selective surfaces (FSSs) [12–16]. By manipulating the magnitude and phase of the element transmission, the frequency-selective surfaces with multilayers increase antenna bandwidth. The phase compensation cannot be achieved with a single layer of the frequency-selective surfaces. At the same time, an air gap or a thicker substrate is required to increase the transmission phase range of multilayer FSS. Recent research has concentrated on low-cost frequency-selective surfaces based on metasurface transmitarray with no dielectric substrates. The entire unit cell structure is made of pure metal sheets, which offers two significant advantages. The first advantage is its applicability for aerospace applications, as the conductive layers are more resistant to temperature changes in outer space than the dielectric substrates. The second advantage is the low cost, where high-performance microwave substrates are not required [17]. In this work, a 60-GHz printed antenna with a triple-layer frequency-selective surface based on metasurface is proposed to improve antenna performance such as bandwidth and gain. We have adapted the structures described in [17] and [18] to operate at 60 GHz. We first proceeded by optimizing the phase and transmission coefficient of the FSS unit cell. Then we combined them on the antenna with distance to boost the output parameters of the proposed antenna. Separate performance comparisons were conducted for the antenna with the cross-slot and DCSRR metasurface structure, as well as for the antenna without any metasurface structure. The results indicated that improvements occurred following the application of the first structure, which had a gain of up to 10.7 dB, 4.9% bandwidth, and 97% efficiency, in addition to the small structure size compared to designs published in [19–23].
2 Antenna and Metasurface Unit Cell Design 2.1 60-GHz Antenna Geometry Figure 1 shows the geometry of the proposed antenna with the λ/4 transmission-lines transformer for impedance matching. The dimensions of the 60-GHz antenna were obtained through the empirical Equations in [23].
162
T. Messatfa and F. Chebbara
Fig. 1. The 60 GHz antenna design geometry
The radiating element is a rectangular patch with size 1.97 mm × 1.51 mm placed on the Rogers RT5880 dielectric substrate of size 6 mm × 9 mm with relative permittivity of 2.2, loss tangent (tan δ = 0.0009), thickness h = 0.254 mm, and is fed by a 50 . The 50 microstrip feed line and λ/4 transformer lines widths are Wf , Wt 1 , and Wt 2 , respectively. Table 1 lists the main antenna parameters values. The antenna resonates at 60 GHz and provides bandwidth from 57 GHz to 64 GHz. According to IEEE 802.15.3c standards, there are four channels in the 60 GHz spectrum [24]. Thus, the 60-GHz antenna should have a minimum channel bandwidth of 2.16 GHz. Table 1. The 60-GH antenna dimensions Parameters
Value (mm)
Ws
6
Ls
9
W
1.97
L
1.51
Wt 1
0.20
Wt 2
0.36
Wf
0.75
High-Efficiency 60-GHz Printed Antenna
163
2.2 Metasurface Unit Cell Design In order to optimize the performance of the proposed antenna, we have implemented two different slot-type metasurfaces elements without dielectric substrate due to several positive factors, such as suitability for space applications; this is because the conductive layers withstand temperature changes in outer space compared to the dielectric substrate. Besides, the cost is reduced as a result of the unnecessary use of high-performance substrates. The first unit cell is a cross-rectangular slot element, as shown in Fig. 2(a), used in [17] to enhance the gain of an antenna at 11.2 GHz. The second cell is a double circular split-ring resonator (DCSRR, Fig. 2(b)) used in [18] to increase antenna gain at 13.58 GHz with a high efficiency and no reliance on polarization angle. Initially, we reduced the size of the two metasurface unit cells to operate at 60 GHz and adjusted their dimensions in order to optimize their transmission coefficient. The three FSS metasurface layers are stacked vertically, and the air gap between the metasurface layers is H = λ0 /4 =1.25 mm, as shown in Fig. 2(c). The geometries of the cross-slot and the double circular-split ring resonator (DCSRR) structures are shown in Figs. 2(a) and 2(b), respectively. The dimensions of the two metasurface unit cells are listed in Table 2.
Fig. 2. (a) Cross-unit cell (b) DCSRR-unit cell (c) Side view of metasurface FSS layers
164
T. Messatfa and F. Chebbara Table 2. Dimensions values of the metasurface unit cells Parameters
Value (mm)
P1
3.1
W1
0.4
L1
2.5
P2
2.5
G
0.37
W2
0.2
Figures 3 and 4 show the transmission magnitude (dB) and phase (degrees) versus Frequency (GHz) of the cross-slot unit cell and double circular split-ring resonator, respectively. The Transmission coefficient |S21 | result indicates that transmission is maximum at a resonant frequency range. The phase of S21 shows a 180° phase variation near-resonant frequency (60 GHz) where the incident radiation transmission is changed with the phase of 180° via the unit cell structure. Furthermore, it indicates the presence of metamaterial properties.
Fig. 3. Transmission coefficient (magnitude and phase) versus frequency of the cross-unit cell
Fig. 4. Transmission coefficient (magnitude and phase) versus frequency of the DCSRR-unit cell
These unit cells exhibit a band-pass FSS behavior that is less susceptible to the oblique incidence angle of the electric field [25]. Each metasurface element is simulated at 60 GHz with a normal incidence plane wave to illuminate these elements. The antenna and unit cells are simulated in the CST Microwave Studio (CST MWS) simulator with Floquet ports and perfect electric and magnetic boundary conditions using the frequency domain solver [26].
3 Periodic Structures Application and Discussion In this phase, three identical conductor layers of the periodical metasurface unit cells discussed above have been implemented and separated by air gaps for quarter-wavelengths.
High-Efficiency 60-GHz Printed Antenna
165
This method was chosen based on the study of multilayer metasurfaces presented in [12] and [13], which can achieve good performance for an antenna. Equation (1) [27] and (2) [28] of EBG (electromagnetic band-gap) can be used to define the separation distance of air gap between the proposed antenna and the metasurface layers: Hp =
D 2 × tan α 0
(1)
λ0 2
(2)
Hp =
where D is the width of the metasurface periodic structure and α 0 is the antenna angular width (3 dB opening angle), in our case (α 0 = 71.4°) obtained by simulation in CST Studio Suite. λ0 is the wavelength in a vacuum at the operating frequency (60 GHz). 3.1 Cross-slot Periodic Structure The initial periodic structure consists of 3 × 3 metasurface cross unit cells with crossslots (Fig. 5). The parameters of the cross-slot metasurface array structure are simulated and optimized using CST. Table 3 reports the simulation results of the periodic structure of the cross-slot metasurface after it was applied to the antenna.
Fig. 5. Antenna with triple-layer of cross-slot metasurface
We can easily conclude from Table 3 that Eq. (1) gives the maximum efficiency (96.85%) and the widest bandwidth (4.9%) with a full opening angle. In contrast, the highest gain (10.7 dB) is achieved with the half-opening angle. Figs. 6 and 7 depict the reflection coefficient (S11 ) and realized gain diagram results of the antenna with triplelayer of the cross-slot metasurface, respectively, for the Hp values noted in Table 3. As a point of comparison, the response of the antenna alone (without the cross metasurface layers applied) is also plotted in Figs. 6 and 7. We can notice some improved performance, especially in terms of a significant gain obtained in the case of the half-opening angle (Hp = 6.5 mm) with a value of 10.7 dB.
166
T. Messatfa and F. Chebbara
Table 3. Performance results of antenna before and after using the 3 × 3 cross metasurface layers Hp (mm)
Bandwidth (GHz)
FBW (%)
Antenna without layers
2.28
3.8
8.11
95.07
1.6 (α 0 )
2.93
4.9
8.28
96.85
6.5 (α 0 /2)
2.2
3.7
2.5 (λ0 /2)
2.05
3.3
Fig. 6. S11 of the antenna with triple-layer cross-slot metasurface vs. frequency
Realized gain (dB)
10.7 9.35
Efficiency (%)
94.72 93.97
Fig. 7. Realized gain of the antenna with triple-layer cross-slot metasurface
3.2 DCSRR periodic structure In this case, the antenna performance is affected by a triple-layer of 3 × 3 double circular split-ring resonators (Fig. 8) compared to cross-slot unit cells above. As in the previous case, the DCSRR array structure parameters are also simulated and optimized in the CST simulator. The performance comparison results in Table 4 show that the most significant bandwidth (4.34%) is achieved with Eq. (1) at a full-opening angle and the maximum gain (8.95 dB) at the half-wavelength resonant frequency, in addition to the best efficiency (97.30%) performance at the half-opening angle. Compared with the first case and the antenna without metasurface layers, this structure has improved the antenna efficiency with the half-opening angle. Figures 9 and 10 illustrate the comparison of reflection loss (S11 ) and the achieved gain (from 57 GHz to 64 GHz) for various values of Hp, respectively. Table 5 compares the size and efficiency of the proposed work (antenna with DCSRR) with some recently published works. It can be concluded that the second metasurface structure (DCSRR) of this work is more efficient and has a smaller array size than the designs reported in [18–22].
High-Efficiency 60-GHz Printed Antenna
167
Fig. 8. Antenna with triple-layer of DCSRR metasurface Table 4. Performance results of antenna before and after using the 3 × 3 DCSRR metasurface layers Hp (mm)
Bandwidth (GHz)
FBW (%)
Realized gain (dB)
Efficiency (%)
Antenna without layers
2.28
3.8
8.11
95.07
1.3 (α 0 )
2.6
4.34
7.41
92.54
5.2 (α 0 /2)
2.22
3.7
8.3
97.30
2.5 (λ0 /2)
2.41
4.01
8.95
94.45
Fig. 9. S11 of the antenna with triple-layer DCSRR metasurface vs frequency
Fig. 10. Realized gain of the antenna with triple-layer DCSRR metasurface
168
T. Messatfa and F. Chebbara Table 5. Comparison of current work with recently publications
Ref
Freq (GHz)
No. of layers
Layer size (mm2 )
Efficiency (%)
This work (DCSRR)
60
3
7.5 × 7.5
97.30
[18]
13.58
4
132.2 × 132.2
77.6
[19]
9.8
4
300 × 300
52.9
[20]
11.3
3
381 × 381
74
[21]
30
4
126 × 126
47
[22]
60
3
50 × 50
53.6
4 Conclusion In this work, we have implemented two distinct ultrathin metasurface structures without a dielectric substrate. Triple layers of these periodic metasurface structures were used to optimize the performance of a 60-GHz rectangular patch antenna with a quarter-wave transformer fed by a microstrip line. According to the comparison results, it was found that the first structure (cross-slot) outperformed the second structure (double split-ring slot) in terms of gain and bandwidth. In contrast, the best efficiency was achieved with the second structure (DCSRR). This proposed antenna is compliant with IEEE 802.15.3c and suitable for usage in 5G millimeter-wave wireless communication systems.
References 1. Sheng, H., Orlik, P., Haimovich, A.M., Cimini, L.J., Zhang, J.: On the spectral and power requirements for ultra-wideband transmission. In: IEEE International Conference on Communications, 2003. ICC’03, vol. 1, pp. 738–742. IEEE (2003) 2. Liang, J., Chiau, C.C., Chen, X., Parini, C.G.: Study of a printed circular disc monopole antenna for UWB systems. IEEE Trans. Antennas Propag. 53(11), 3500–3504 (2005) 3. Hum, S.V., Perruisseau-Carrier, J.: Reconfigurable reflectarrays and array lenses for dynamic antenna beam control: a review. IEEE Trans. Antennas Propag. 62(1), 183–198 (2013) 4. Mailloux, R.J.: Phased Array Antenna Handbook. Artech house (2017) 5. Valavan, S., Tran, D., Yarovoy, A., Roederer, A.: Planar dual-band wide-scan phased array in X-band. IEEE Trans. Antennas Propag. 62(10), 5370–5375 (2014) 6. Pozar, D., Schaubert, D.: Scan blindness in infinite phased arrays of printed dipoles. IEEE Trans. Antennas Propag. 32(6), 602–610 (1984) 7. Pozar, D., Schaubert, D.: Analysis of an infinite array of rectangular microstrip patches with idealized probe feeds. IEEE Trans. Antennas Propag. 32(10), 1101–1107 (1984) 8. Qu, S.-W., et al.: Terahertz reflectarray and transmitarray. In: 2016 International Symposium on Antennas and Propagation (ISAP). IEEE, pp. 548–549 (2016) 9. Jiang, M., Chen, Z.N., Zhang, Y., Hong, W., Xuan, X.: Metamaterial-based thin planar lens antenna for spatial beamforming and multibeam massive MIMO. IEEE Trans. Antennas Propag. 65(2), 464–472 (2016) 10. Liu, G., Kodnoeih, M.R.D., Pham, K.T., Cruz, E.M., Gonz´alez-Ovejero, D., Sauleau, R.: A millimeter-wave multibeam transparent transmitarray antenna at ka-band. IEEE Antenn. Wirel. Propag. Lett. 18(4), 631–635 (2019)
High-Efficiency 60-GHz Printed Antenna
169
11. Tsai, F.-C., Bialkowski, M.E.: Investigations into the design of a spatial power combiner employing a planar transmitarray of stacked patch antennas. In 15th International Conference on Microwaves, Radar and Wireless Communications (IEEE Cat. No. 04EX824), vol. 2, pp. 509–512. IEEE (2004) 12. Abdelrahman, A.H., Yang, F., Elsherbeni, A.Z.: Analysis of multilayer frequency selective surfaces for transmitarray antenna applications. In: Proceedings of 29th Annual Revision Propogation, pp. 135–140. ACES (2013) 13. Abdelrahman, A.H., Elsherbeni, A.Z., Yang, F.: Transmission phase limit of multilayer frequency-selective surfaces for transmitarray designs. IEEE Trans. Antennas Propag. 62(2), 690–697 (2013) 14. Milne, R.: Dipole array lens antenna. IEEE Trans. Antennas Propag. 30(4), 704–712 (1982) 15. Datthanasombat, S., Prata, A., Arnaro, L.R., Harrell, J.A., Spitz, S., Perret, J.: Layered lens antennas. In: IEEE Antennas and Propagation Society International Symposium. 2001 Digest. Held in Conjunction with: USNC/URSI National Radio Science Meeting (Cat. No. 01CH37229), vol. 2, pp. 777–780. IEEE (2001) 16. Li, M., Behdad, N.: Wideband true-time-delay microwave lenses based on metallo-dielectric and all-dielectric lowpass frequency selective surfaces. IEEE Trans. Antennas Propag. 61(8), 4109–4119 (2013) 17. Abdelrahman, A.H., Elsherbeni, A.Z., Yang, F.: Transmitarray antenna design using crossslot elements with no dielectric substrate. IEEE Antennas Wirel. Propag. Lett. 13, 177–180 (2014) 18. Liu, G., Wang, H.-J., Jiang, J.-S., Xue, F., Yi, M.: A high-efficiency transmitarray antenna using double split ring slot elements. IEEE Antennas Wirel. Propag. Lett. 14, 1415–1418 (2015) 19. Clemente, A., Dussopt, L., Sauleau, R., Potier, P., Pouliguen, P.: Wideband 400-element electronically reconfigurable transmitarray in X band. IEEE Trans. Antennas Propag. 61(10), 5017–5027 (2013) 20. Abdelrahman, A.H., Elsherbeni, A.Z., Yang, F.: High-gain and broadband transmitarray antenna using triple-layer spiral dipole elements. IEEE Antenn. Wirel. Propag. Lett. 13, 1288–1291 (2014) 21. Ryan, C.G., Chaharmir, M.R., Shaker, J., Bray, J.R., Antar, Y.M., Ittipiboon, A.: A wideband transmitarray using dual-resonant double square rings. IEEE Trans. Antennas Propag. 58(5), 1486–1493 (2010) 22. Kaouach, H., Dussopt, L., Lanteri, J., Koleck, T., Sauleau, R.: Wideband low-loss linear and circular polarization transmit-arrays in V-band. IEEE Trans. Antennas Propag. 59(7), 2513–2523 (2011) 23. Balanis, C.A.: Antenna Theory: Analysis and Design. John Wiley & Sons (2016) 24. Biglarbegian, B., Fakharzadeh, M., Busuioc, D., Nezhad-Ahmadi, M.-R., Safavi-Naeini, S.: Optimized microstrip antenna arrays for emerging millimeter-wave wireless applications. IEEE Trans. Antennas Propag. 59(5), 1742–1747 (2011) 25. Kondo, A.: Design and characteristics of ring-slot type FSS. Electron. Lett. 27(3), 240–241 (1991) 26. Studios, C.M.: CST Microwave studio, CST Studio Suite (2008) 27. Ge, Y., Lin, C., Liu, Y.: Broadband folded transmitarray antenna based on an ultrathin transmission polarizer. IEEE Trans. Antennas Propag. 66(11), 5974–5981 (2018) 28. Leger, L., Serier, C., Chantalat, R., Thevenot, M., Monedière, T., Jecko, B.: 1D dielectric electromagnetic band gap (EBG) resonator antenna design. Ann. Télécommun. 59(3), 242– 260 (2004). https://doi.org/10.1007/BF03179697
Mobile User Profile in the Context of Mobile Crowd Sensing S. Ichou1 , S. Hammoudi2(B) , A. Benna3 , and A. Meziane3 1 ESI, National School of Computer Science, Algiers, Algeria
[email protected]
2 ESEO-TECH – ERIS TEAM, Angers, France
[email protected]
3 CERIST, Scientific and Technical Information Research Center, Algiers, Algeria
{abenna,ameziane}@cerist.dz
Abstract. Mobile user profiling refers to efforts to extract user characteristics from mobile activities. The purpose of generating effective user profiling is to recommend personalized services in sustainable and smart cities as well as to think deeply about the way of acquisition and management of resources, transportation, methods of waste disposal, air conditioning of buildings, and especially the energy use models. This paper aims to create and enrich the profile of the mobile user who lives in sustainable and smart cities in order to recommend personalized services while respecting the user’s privacy. First, we present the main requirements that mobile user profiling and user privacy on mobile user profiling and privacy protection. Next, we propose a methodology for mobile user profiling and protecting privacy. Then, we create a mobile user profile model that specifies relevant data on mobile users in order to recommend personalized services. After, we propose architecture and justify an organization of data. Finally, we implement a Framework that creates the mobile user profile, and then we will recommend cultural events according to this profile. Keywords: Mobile user · Privacy · User profile · Mobile crowd sensing · Recommendation service · Smart cities
1 Introduction In recent years, smart and sustainable cities have emerged as one of the most important technological developments, with various means (sensor networks, open data platforms, expert systems, and so forth). These means allow collecting and analyzing a huge quantity of data which may enrich user profiling and service recommendation. Several approaches and paradigms are explored in the literature in order to use smart city technology to recommend services, like mobile crowd sensing (MCS) and Sustainable Urban Mobility (SUM). MCS is a new form of data collection using the Internet of thing and the multitude terminals of smart cities already deployed around the world to massively collect environmental data or mobile user’s data in smart cities. This data collection aroused the © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 170–182, 2023. https://doi.org/10.1007/978-3-031-21216-1_18
Mobile User Profile in the Context of Mobile Crowd Sensing
171
interest of a large number of industrial and academic in many fields such as the study of urban mobility, environmental monitoring, health or the study of socio cultural behaviors by Gou and Wang (2015). Enriching the user profiling, can has a great impact on many aspects of the user’s life and behavioral patterns. SUM sustainable urban mobility is the Sustainable Urban Mobility Plan (SUMP) a document that sets the framework for urban mobility planning and management and is the result of a participative Sustainable Urban Mobility Planning process (SUMP process). The objective of the process should be to improve the urban quality of life by ensuring a safe, reliable, integrated, multi-modal, and effective and environment- friendly transport system by Okraszewska and Romanowska (2018). Mobile user profiling is the process of extracting, and integrating data about a user’s area of interest, with the objective of generating a profile structure. The goals of mobile user profiling are (1) better understanding the mobile user, and (2) improve retrieval needs. For many years, there have been different researches in the literature by Khanthaapha and Pipanmaekaporn (2018), Zhao and Li (2019), Wang and Fu (2019) related to users profiling for referral system such as collecting the data and classifying information according to an individual interest. However, these researches does not take into consideration all types of a user profile (Geo-Social, demographic, life style, and annotation), since each type gives more information to the user which then allows to recommend good quality services, used methods for analyzing, organizing the data and they do not take into account user privacy, because it contains sensitive information about the user, user privacy is an important criterion in user profiling. We aim in this work to discuss the issue of achieving mobile user profiling and user privacy by focusing on two points: How to define and enrich the profile of a mobile user based on their trips and/or activities, and how to ensure the privacy of a mobile user while using his profile to recommend services? More precisely, we aim to make the following contributions: 1) Identify a methodology for profiling mobile users and protecting privacy composed by a set of steps each one dedicated to a specific task; and 2) Define a mobility profile model for recommending services in smart city. In this model, we organize the obtained data and identify relationship between data. This model defines how to represented user mobility, and how to save the mobility history, and the recommended services history since these criteria are very important in the recommendation of personalized services. 3) Describe the architecture. This architecture is organizes each level in the methodology according to their role. 4) Illustrates our prototype of the mobile user profile and privacy protection for recommendation personalization services. The rest of this paper is organized as follows: Section 2 summarizes the main requirements of mobile user profiling and user privacy. Section 3, presents our Mobile User Profiling & Privacy protecting and security approach (MUP&PPS). Section 4, shows the implementation of the framework and results. Section 5, is a conclusion and future works.
2 Background In this section, we introduce the main concepts related to mobile user profiling: namely, user profiling taxonomy, creation methods.
172
S. Ichou et al.
2.1 Mobile User Profiling Mobile user profiling refers to the efforts of extracting user interests and behavioral patterns from mobile activities. Consider the existence of many mobile users in a city, each user is equipped with mobile sensing equipment moving from one location to another location and generates a mobility event stream in real time. Classical mobile user profiling collects large-scale spatial-temporal event data, and then, learns profile representations to characterize user patterns and preferences using the collected data by Wang and Wang (2022). Mobile user profiling is to learn users’ profiles from historical mobility records. Mobile user profiling has drawn significant attentions from various disciplines, such as mobile advertising, recommended system, and urban surveillance by Wang and Wang (2021a). 2.2 Mobile Crowd Sensing of Internet of Thing Mobile Crowd Sensing (MCS) refers to the wide variety of detection models by which individuals collectively share data and extracts information to measure and map phenomena of common interest by Gou and Wang (2015). The architecture of Mobile Crowd Sensing for Internet of Thing provides a large amount of data from the sensing devices, which consumes many resources. We adopt architecture of Mobile Crowd Sensing for Internet of Thing to enrich the mobile user profiling. 2.3 Privacy Protecting and Security Methods Privacy protection and security methods aim to secure users can make the spread of influence maximization and privacy disclosure minimization. Privacy protection methods are summarized as follows by Zhang and Shi (2022): • Individual Privacy Risk Evaluation Model (IPREM) since the actual multidimensional attribute data may not be completed; it is difficult to deal with the complex non- linear relationship between the individual privacy risk and the multidimensional attribute evaluation index by using the regression analysis method. However, Bayesian Network has the function of reverse reasoning. Under the premise of some serious privacy risk, the trained Bayesian Network can be used to carry out reverse operation and analyze the objective factors causing risk. • Cascade Influence Capability Evaluation Model (CICEM) is designed to evaluate the influence capability based on the cascade influence model. According to the users’ cascade influence capability, the benefits and threats for the friends’ influence capability can be measured. 2.4 Hardware Security ModuleS (HSMS) Hardware Security Modules (HSMs) are trusted machines that perform sensitive operations in critical ecosystems. They are usually required by law in financial and government digital services. The most important feature of an HSM is its ability to store sensitive credentials and cryptographic keys inside a tamper-resistant hardware, so that every operation is done internally through a suitable API, and such sensitive data are never exposed outside the device by Focardi and Luccio (2021).
Mobile User Profile in the Context of Mobile Crowd Sensing
173
2.5 Communication Profile Handler (CPH) Communication Profile Handler (CPH) is enables applications to abstract the diversity of communications. With this component, applications can transparently use multiple communication protocols and access technologies. Only applications need to do is to register their communication requirements, the type of communication, destination, quality, priority, etc. This communication requirement is mapped to each application, and then Communication by Silva and Noguchi (2014).
3 Mobile User Profiling & Privacy Protecting and Security (MUP & PPS) Given that a user needs a certain service anywhere and anytime, our goal is to enrich the mobile user profile using the last technologies in the smart city or in smartphones and to provide personal services that meet the needs . Our work focuses on: How to define and enrich the profile of a mobile user based on their trips and/or activities, (2) How to ensure the privacy of a mobile user while using his profile to recommend services.This section, to achieve this objective and answer these questions, we have proposed an approach that consists of three steps: 1. The methodology specifies the approach, the techniques used to retrieve the profile data while ensuring the privacy of mobile users; 2. The mobile user profile model specifies the relevant data on mobile users in order to make a recommendation; 3. The architecture and framework for the implementation of the methodology. 3.1 Mobile User Profiling and Privacy Protecting Methodology Figure 1 shows a multi levels structure representing our methodology for Mobile User Profiling and Privacy Protection. We adopt Mobile Crowd Sensing (MCS) for Internet of Thing, to enrich the mobile users profiling. We have focused on information and services quality that enhance the performance of user profiling and the recommendation of services while taking into account user’s privacy. To accomplish this goal, we propose the process based on five hierarchical levels. 1. Data sources level Mobile phones are also a valuable source of data for research in human behavior, environmental analysis, commuting, social networks, and industry. The use of data provided by cell phones is gaining popularity at a rapid pace, thanks to the growth and widespread availability of phones with advanced capabilities. We aim in this work to use GPS, Wi-Fi, temperature sensor, and light sensor. 2. Data collection level collecting data from data sources. There are a variety of data collection methodologies available that offered the required openness, we will adopt Location based services (LBS), and Near-field communication (NFC).
174
S. Ichou et al.
Fig. 1. Mobile user profiling and protecting privacy methodology.
• Location based services (LBS) we used LBS for get Point of interest, and the region of interest, because the scheme has become an essential part of people’s daily life, and it is widely used in various industries and cloud server applications. In LBS, users send the query regarding their current location to the cloud server by Wu and Li ( 2021). The cloud server collects the data related to the nearest hospital, restaurant, etc., corresponding to the received users’ query and sends them. • Near-field communication (NFC) we used NFC in order to obtain the location of the user from each use of the NFC service. NFC is based on a simple idea. Two coils of conductors in close proximity can exchange electrical power over short distances ( 3000 nm. The large optical losses are mainly involved by the intermediate size particles [9]. The intermediate size particles in vacuum form a composite material with an effective dielectric permittivity εeff , which can be expressed with the Maxwell-Garnett mixing rule as [10, 11] βp 2i π d1 3 βp εeff = 1 + 3f 1+ (1) 1 − βp f 3 λ 1 − βp f where the constant βp is given by βp =
εp − 1 εp + 2
(2)
εp , f and λ are the dielectric permittivity of the particle, the volume fraction of the particles and the incidence wavelength, respectively. In this study, we evaluate the impact of dust intermediate size particles deposition on the reflectivity by using the Transfer Matrix Method [12–15]. The incident and reflected waves at the input layer are related to the incident and reflected waves at the output layer by a matrix M obtained by the multiplication of the individual transfer matrices Mj . The transfer matrix M for a stack of N layers and the individual transfer matrices Mj are given by ⎡ ⎤ i sin δj cos δj eff N M M 11 12 nj ⎦ , Mj = ⎣ Mj Mou = (3) M = M−1 in eff 1 M21 M22 in sin δ cos δ j
j
j
Influence of Dust Particles Deposition on the Reflection Loss
with M−1 in
⎤ ⎡ 1 1 ⎣ 1 neff 1 1 in ⎦ and M = = ou eff neff 2 1 − n1eff ou −nou
309
(4)
in
eff
eff
eff
where nin , nou and nj are the effective refractive indices of the input layer, the output layer and the j layer, respectively. eff The effective refractive indices nj are expressed for the transverse electric polarization (TE) and the transverse magnetic polarization (TM) by
nj cos θj TE polarization eff nj = (5) nj TM polarization cos θj The phase thickness δj is defined by δj =
2π nj dj cos θj λ
(6)
where θj , λ, nj and dj are the angle of refraction, the incidence wavelength, the refractive index of the j layer and the thickness of the j layer, respectively. The angle of refraction θj is related to the incidence angle θin by the Snell’s law nin sin θin = nj sin θj
(7)
The reflection amplitude r is written in terms of the transfer matrix coefficients as r=
M21 M11
(8)
The reflection coefficient R is the square of the reflection amplitude R = |r|2 , the total reflection coefficient RTot is the average of reflection coefficients for TE polarization RTE and TM polarization RTM RTM RTot =
RTE + RTM 2
(9)
3 Numerical Simulation Results In this study, the composite material is modeled as a thin layer, with thickness equal to d_1, deposited on top of a glass substrate layer coated with a 60 nm antireflective layer of silica sol-gel. The dust particles, glass and silica sol-gel are with refractive indices of 1.53-i0.0007, 1.53 and 1.23, respectively [16]. The Transfer Matrix Method is applied to the thin-film stack air/composite material/silica sol-gel/glass. Figure 1 combines the behavior of the reflectivity under normal incidence as a function of the incidence wavelength for several particles’ diameters and different values of the volume fraction of the particles f. It can be seen from the figure that the reflectivity
310
H. Hamouche and M. M. Shabat
increases with the increasing diameter and volume fraction of the particles. Fig. 1(a) clearly shows no influence of the particles of diameter 300 nm on the reflectivity in the wavelength range 500–1000 nm. A weak dependence of the reflectivity on the incidence wavelength is observed in Fig. 1(b), Fig. 1(c) and Fig. 1(d) for particles of diameters 400 nm, 500 nm and 600 nm. This dependence vanishes for particles of diameter 900 nm, as seen in Fig. 1(e).
Fig. 1. Reflectivity under normal incidence versus wavelength at at air/dusty coated glass interface. (a) d1 = 300 nm, (b) d1 = 400 nm, (c) d1 = 500 nm, (d) d1 = 600 nm and (e) d1 = 900 nm.
Figure 2 displays the effect of the dust particles of diameters 300 nm and 600 nm with a volume fraction of 0.45 (f = 0.45) on the reflectivity under various incident angles. As ◦ it is clear from the figure, the small angles of incidence lower than 45 influence weakly the reflectivity and in contrast to the case of normal incidence, a strong influence of the particles of diameter 300 nm on the reflectivity is remarked under an incident angle of ◦ 60 .
Influence of Dust Particles Deposition on the Reflection Loss
311
Fig. 2. Reflectivity versus wavelength at air/dusty coated glass interface under various incident angles for f = 0.45. (a) d1 = 300 nm, (b) d1 = 600 nm
A linear dependence on the small incident angles at a fixed wavelength of 600 nm, is shown in Fig. 3 for several particles’ diameters.
Fig. 3. Reflectivity versus incident angles for several particles’ diameters at λ = 600 nm and for f = 0.45
4 Conclusion To study the effect of the deposition of intermediate-size dust particles on a coated glass surface of a photovoltaic module on the reflection losses, we have modeled the dust particles in a vacuum as a thin layer with an effective permittivity expressed by MaxwellGarnett mixing approach. The stack air/composite material/silica sol-gel/glass has been considered a thin-film structure.The reflectivity has been derived by the Transfer Matrix Method for both normal and oblique incident polarized light. It has been found that the reflectivity is significantly affected by dust particles with big diameters and increasing values of the volume fraction. A weak dependence on the small angles of incidence ◦ lower than 45 is also observed.
312
H. Hamouche and M. M. Shabat
References 1. El-Shobokshy, M.S., Hussein, F.M.: Effect of dust with different physical properties on the performance of photovoltaic cells. Sol. Energy 51(6), 505–511 (1993) 2. Qasem, H.: Effect of accumulated dust on the performance of photovoltaic modules. Loughborough University, Institutional Repository, Loughborough (2013) 3. Adel, A.H.: Effect of dust accumulation on solar transmittance through glass covers of platetype collectors. Renew. Energy 22(4), 525–540 (2001). https://doi.org/10.1016/S0960-148 1(00)00093-8 4. Appels, R., et al.: Effect of soiling on photovoltaic modules. Sol. Energy 96, 283–291 (2013) 5. Micheli, L., Caballero, J.A., Fernandez, E.F., Smestad, G.P., Nofuentes, G., Mallick, T.K., et al.: Correlating photovoltaic soiling losses to waveband and single-value transmittance measurements. Energy 180, 376–386 (2019). https://doi.org/10.1016/j.energy.2019.05.097 6. Xingcai, L., Kun, N.: Effectively predict the solar radiation transmittance of dusty photovoltaic panels through Lambert-Beer law. Renew. Energy 123, 634–638 (2018) 7. Al-Hasan, A.Y.: A new correlation for direct beam solar radiation received by photovoltaic panel with sand dust accumulated on its surface. Sol. Energy 63(5), 323–333 (1998) 8. Sarver, T., Al-Qaraghuli, A., Kazmerski, L.: A comprehensive review of the impact of dust on the use of solar energy: history, investigations, results, literature and mitigation approaches. Renew. Sustain. Energy Rev. 22, 698–733 (2013) 9. Mazumder, M., et al.: Optical and adhesive properties of dust deposits on solar mirrors and their effects on specular reflectivity and electrodynamic cleaning for mitigating energy-yield loss. Presented at the SPIE Solar Energy + Technology, San Diego, California, United States, p. 91750K (2014) 10. Mallet, P., Guerin, C.A., Sentenac, A.: Maxwell-Garnett mixing rule in the presence of multiple scattering: derivation and accuracy. Phys. Rev. B 72, 014205 (2005) 11. Shabat, M.M., El-Amassi, D.M., Schaadt, D.M.: Design and analysis of multilayer waveguides with different substrate media and nanoparticles for solar cells. Sol. Energy 137, 409–412 (2016) 12. Hass, G.: Physics of Thin Films Advances in Research and Development, pp. 69–81. Academic Press, New York and London (1963) 13. Hamouche, H., Shabat, M.M.: Enhanced absorption in silicon metamaterials waveguide structure. Appl. Phys. A 122(7) (2016). https://doi.org/10.1007/s00339-016-0206-5 14. Hamouche, H., Shabat, M.M., Schaadt, D.M.: Multilayer solar cell waveguide structures containing metamaterials. Superlattices Microstruct. 101 (2017). https://doi.org/10.1016/j. spmi.2016.08.047 15. Hamouche, H., Shabat, M.M.: Artificial metamaterials for high efficiency silicon solar cells. In: Abdelbaki, B., Safi, B., Saidi, M. (eds.) SMSD 2017, pp. 105–115. Springer, Cham (2018). https://doi.org/10.1007/978-3-319-89707-3_13 16. Wagner, R., et al.: Complex refractive indices of Saharan dust samples at visible and near UV wavelengths: a laboratory study. Atmos. Chem. Phys 12, 2491–2512 (2012). https://doi.org/ 10.5194/acp-12-2491-2012
An Improved Fuzzy OTC MPPT of Decoupled Control Brushless Doubly-Fed Induction Generator M. Hamidat(B) and K. Kouzi Laboratoire Matériaux, Systèmes Énergétiques, Energies Renouvelables et Gestion de l’Énergie (LMSEERGE), Laghouat, Algeria {mohamed.hamidat,k.kouzi}@lagh-univ.dz
Abstract. This work presents an improved fuzzy Optimal Torque Control (OTC) MPPT using metaheuristic Bat algorithm for brushless doubly fed generator (BDFG) introduced in wind power generation. The main advantage of OTC MPPT scheme that there is no need to use the wind speed sensors. The indicated control algorithm aims to extract a maximum of power under fluctuating wind speed. The control algorithm employs PI and fuzzy logic controllers (FLC) to perform this target. To select the right parameters of any control method the best way is using optimization methods. In this study, the Bat algorithm optimization is proposed. The two controllers were used one for generator side and one for grid side converters. The function of the generator side controller is to track the maximum power through controlling the wind turbine speed using optimized PI and FLC regulators. As for the grid side converter, active and reactive stator power controllers had been achieve by setting d-axis and q-axis current components respectively. Simulation results show that the wind turbine can operate at its optimum power point for a wide range of wind speed where power quality was greatly improved. Keywords: Brushless Dual-Fed Induction Machine (BDFM) · Vector control · MPPT · Optimal Torque Control (OTC) · Fuzzy logic controller · BAT optimization
List of symbols V ρ s pT Tm mec ωp and ωc ωr Pp Qp
Wind speed (m/s). Air density, kg/m3 . Surface area swept by the blade, m2 . Mechanical power of the turbine Watts. Mechanical torque of the turbine. Mechanical speed of the rotor, rad/s. Power winding angular frequency and control winding angular frequency. Synchronous rotor speed. Active power of the power winding Watts. Reactive power of the power winding Var.
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 313–321, 2023. https://doi.org/10.1007/978-3-031-21216-1_34
314
M. Hamidat and K. Kouzi
Vdp , Vqp , Vdc and Vqc Vdr and Vqr ψdp , ψqp , ψdc and ψqc ψdr and ψqr idp , iqp , idc and iqc idr and iqr Rp , Rc and Rr Mp Mc Lp , Lc and Lr αβ
vc
Components of the power winding voltage and the control winding voltage respectively. Components of the rotor winding voltage. Components of the power winding flux and control winding flux respectively. Components of rotor winding flux. Components of the power winding current and control winding current respectively. Components of rotor winding current. Power winding resistance, control winding Resistance and rotor winding Resistance respectively. Mutual inductance between Power winding and rotor. Mutual inductance Control winding and rotor. Power winding inductance, Control winding inductance and rotor inductance respectively. The tension in the clarke Transformation.
1 Introduction Generation of power out of renewable energy sources is more promising due for its clean features and availability. In the last two decades, research is consistently carried on wind power generation systems to capture more power at fluctuating wind speeds. With rapid development of wind turbine and power electronic technology [1], Many types of generators are existed, In recent years the research has spotlight to (BDFG) due to such characteristics 1) vector control is applied to realize the decoupling control of both active and reactive power, 2) simple and robust construction so its reliability is predicted to improve and to be more suitable to use in severe weather conditions, 3) the feeding converter only has to handle a partially rated power of BDFG (the slip power), which means significant cost savings, compared with conventional systems with fully rated converter [2]. However, variable speed WECS with BDFG need robust control under dynamic conditions, Thus fuzzy control system can be improved with the proposed BAT optimization. This work is set up as follow: Sect. 1 as an introduction in, Sect. 2 the mathematical model of the wind energy conversion system is presented. Section 3 deals with the vector control algorithm of BDFG. In Sect. 4 MPPT with Optimal Torque Control was implemented. Section 5 The fuzzy control with BAT optimization is proposed. In Sect. 6 the system performance are illustrated by simulation results.
2 Modeling of Wecs-Based BDFIG 2.1 Modeling of the Turbine and Gearbox The turbine mechanical power and torque under Betz limit is [4]: Pt =
1 cp ρsv3 , 2
Tm =
cp ρs v 3 2ωm
(1)
An Improved Fuzzy OTC MPPT
with cp is the e power coefficient expressed as: 21 Pt 116 , Cp = 0.5176 − 0.4β − 5 e λi , Cp = Pw λi
315
(2)
where the turbine and the generator are coupled shaft via a gearbox G, the torque and speed the torque and speed are expressed as: Tg =
Tm , G
ωm =
G
(3)
2.2 Mathematical Model of the BDFIG The electrical equations of BDFIG model in (d-q) given as: [5, 7] Vdp = Rp .Idp +
d ψdp − ωp .ψqp dt
(4)
Vqp = Rp .Iqp +
d ψqp + ωp .ψdp dt
(5)
Vdc = Rc .Idc +
d ψdc − ωc .ψqc dt
(6)
Vqc = Rc .Iqc +
d ψqc + ωc .ψdc dt
(7)
0 = Rr .Idr +
d ψdr − ωr .ψqr dt
(8)
0 = Rr .Iqr +
d ψqr + ωr .ψdr dt
(9)
The reactive powers and the electromagnetic torque of the PW are given as [10]: Tem =
3 3 Pp ψdp . iqp − ψqp .idp + Pp ψdc .iqc − ψqc .idc 2 2 3 Qp = Vqp .idp − Vdp .iqp 2
(10) (11)
3 Field Oriented Control of a BDFIG The PW flux orientation, is ψdp = ψp and ψqp = 0. So, the relation between the PW voltage and p is [3]. Vdp = 0,
Vqp = Vp = ωp .ψp
ψdp = Lp .idp + Mp .idr ,
ψdp = Lp .idp + Mp .idr
(12) (13)
316
M. Hamidat and K. Kouzi
3.1 Control of PW Current To find of the ratio between the currents of the PW and the CW we consider the flux of the PW as a variable fixed by the supply voltage (independent variable). The relation between ip and ic gives as [10]: Lr .Lp didp σp .Lr .Lp Rr .Lp didc Rr i + σp ψp − ωp iqp + ωp .iqc iqc , iqp , ψp = .i + dt Mc .Mp dp Mc .Mp dt dp Mc .Mp Mc .Mp
(14)
diqc Lr .Lp diqp σp .Lr .Lp Rr .Lp Lr iqp + σp idp − ωp .idc − ωp ψp idc , idp , ψp = .iqp + ωp dt Mc .Mp Mc .Mp dt Mc .Mp Mc .Mp
(15) where σp = 1 −
Mp2
Lp .Lr
3.2 Control of CW Current We obtained the voltage equation Vc in terms of ic. From the electrical equations of the BDFIG. (16) Vdc = Vxdc .idc + Vydc iqc , idp , iqp , ψp Vdc = Vxqc .iqc + Vyqc idc , idp , iqp , ψp
(17)
3.3 PW Power and Torque Control Since The PW is connected to the grid with constant voltage, so the ψp is maintained constant [6, 7]. ⎫ 3 ⎪ Qp = ωp .ψp idp ⎬ 2 (18) 3 ⎪ Tem = Pp + Pc .ψp .iqp ⎭ 2
4 MPPT with Optimal Torque Control The aim of the MPPT-OTC is controlling the Generator torque to obtain the reference torque curve according to maximum power of the turbine with a given wind speed. The electromagnetic torque of the turbine can be determined by λ and mec . If the turbine is running with speed accompany speed ratio λ = λopt , That is mean the Cp = Cp−max [9]. The MPPT-OTC can be obtained according to Eq. (19) [8]. ∗ = Kopt .2mec Tem
1 ρ.Cp−max .R5 . 2 G 3 .λ3 The block diagram in (Fig. 1) illustrate the principle of MPPT-OTC. Kopt =
(19) (20)
An Improved Fuzzy OTC MPPT Generator
317
To Load Power Converter
Controller
+ MPPT Controller
Fig. 1. MPPT with optimal torque control of wind turbines.
5 Fuzzy Logic Control of BDFG The main purpose of using fuzzy is improving the dynamic performance of BDFG, and to realize the independent control of the electromagnetic torque and the reactive power, Thus the fuzzy system consists with two paths: The control loop of reactive power uses FC1 and FC3, the other control loop of the electromagnetic torque path uses FC2 and FC4. Each fuzzy controller has two inputs linguistic variables: the error e and its variation e, and output is the reference’s consign U. As showing on (Fig. 2).
Fig. 2. Block diagram of fuzzy control of BDFM
5.1 Design of Fuzzy PI Controller for the BDFIG The structure of Fuzzy- PI controller is illustrated in (Fig. 3). error K1
∫
PI K2
controller
K3
Fig. 3. Structure of fuzzy PI controller
Control signal
318
M. Hamidat and K. Kouzi
Where K1,K2 and K3 are respectively the normalization and denormalization gain for fuzzy controller. The ISE criterion is employed as a cost function and is presented in Eq. (21). J = ISE =
n
(e)2 dt
(21)
i=0
The optimization problem will be formulated as follows: Minimize J {K1, K2, K3} ⎧ min max ⎪ ⎨ K1,i ≤ K1,i ≤ K1,i min ≤ K max i = 1, 2, 3 . . . n Subjected to K2,i 2,i ≤ K2,i ⎪ ⎩ K min ≤ K max 3,i ≤ K3,i 3,i
(22)
5.2 BAT Algorithm The Bat algorithm presented in details in [12], it is a metaheuristic algorithm for global optimization. It was developed by Xin-She Yang in 2010. BAT algorithm has a bad at exploration and exploitation. In order to tackle this problem, there is a proposed structure for the original algorithm. Bat algorithm for optimization of tuning of the adjustable parameter in fuzzy-PI controller as follows [11] (Fig. 4). start
A No
Initialize the random Bat
Is the fitness of the new Temporary bat better than Fitness of the old best bat ?
Initialize the maximum and minimum pulse frequency (fmin and fmax)
Yes Initialize the pulse rate Pi loudness factor Ri and maximum number of iteration
Is the loudness of this bat bigger than a Random number (0 to 1)
∑ No
Evaluate fitness for the initial bat population and determine the best bat
Current Interation < Maximum Number of Interation
C
Yes Select the temporary bat as new bat and increase The pulse rate and decrease the loudness of this bat
D No
yes
Replace the fitness of the old bat with Fitness the temporary bat
Generate the new bat population by updating the Velocity and pulse frequency
B
Consider Next bat
No
Keep the old bat as its new bat
Consider first Bat
∑
All the bats are Considered ?
random number 0 to 1> Pi
No
B
yes Generate a local bat around the Best bat
Save the best bat solution, pulse rate And loudness of its bat
Replace the temporary local bat with the bat Of the local search
No C Evaluate fitness of the new temporary
A
Is the stopping criterion reached ?
yes
D End
Fig. 4. Flowchart of the BAT
An Improved Fuzzy OTC MPPT
319
6 Simulation and Discussion The model of the BDFG is developed in Matlab/simulink to show the performance of it, where the system is studied with two types of controllers (PI controller and Fuzzy-PI with BAT optimization). The BDFG parameters are in (Table 1). Table 1. Simulation’s parameters BDFG
WIND TURBINE
P = 2.5 Kw
R = 5 m, G = 20
Pp = 3, Rp = 1.732 , Lp = 714.8 mH, Mp = 242.1 mH
J = 61 kg. m2
Pc = 1, Rc = 1.079, Lc = 121.7 mH, Mc = 59.8 mH
f = 0.01 kg.m/rd
Rr = 0.473 , Lr = 132.6 mH
Cpopt =0.5, λopt=9.14
J = 0.053 kg. m2 . f = 0.003 N.ms/rd
Fig. 5. Wind speed and power coefficient of rotor blades
Fig. 6. The reactive powers (a) and The electromagnetic torque (b)
Figure 5. The result shows that Cp with BAT is almost constant Cp-max = 0.5. Which mean that whether the changing of wind profile. The system yields small error and will work with the optimal power. Figure 6. Shows that, the electromagnetic torque and its reference we get from MPPTOTC with BAT represents a good track of its reference and the reactive power which is kept zero. Which indicates that the system works with optimal power and the decoupling between the electromagnetic torque and reactive power is achieved.
320
M. Hamidat and K. Kouzi
Fig. 7. D-q PW current
Fig. 8. D-q CW current
From Fig. 7 and Fig. 8 it can be seen that correspond to the currents idp , iqp of the PW and idc , iqc of the CW. These currents can increase or decrease depending on the wind conditions. Consequently. The simulation results shows that the control performances the electromagnetic torque, generated currents and stator reactive power. It is obvious that the traditional PI controller presents a satisfactory result with appear small ripple in t = 1 s, Therefore the response of fuzzy with BAT is faster and more improved contrasted to PI controller and the effect of the cross coupling is reduced with FLC (BAT).
7 Conclusion In order to increase the robustness and reliability of wind power generation based on BDFG, and maximize wind energy extraction an intelligent optimal torque control MPPT-OTC was proposed. The main advantage of OTC MPPT scheme that there is no need to use the wind speed sensors. Besides, to select an optimal parameters suggested controller, and overcome the problem of two regulators PI and PI Fuzzy scaling factors determination, it has suggested the Bat algorithm optimization algorithm which give the optimal parameters hence improve the performance of control scheme. The performance of completely proposed algorithm scheme has been tested under different changes in wind. From simulation results, one can conclude that the dynamic and static behavior of the proposed control scheme is very satisfactory. Actually, in order to enhance the performance of wind power generation based on BDFG in terms to maintain a constant power transit and to contribute in wind energy system services, (voltage regulation, frequency regulation…), our work is to develop the present work scheme with intelligent Flywheel Energy Storage System.
An Improved Fuzzy OTC MPPT
321
References 1. Tria, F., Ben Attous, D.: simulation for strategy of maximal wind energy capture of doubly fed induction generators. Int. J. Chem. Pet. Sci. (IJCPS) 1(1), 17–26 (2012) 2. Liu, G., Wang, S., Zhang, R.: Modeling and control of BDFG-based wind power generation systems under grid voltage sag. In: 2009 Asia-Pacific Power and Energy Engineering Conference, pp. 1–5. IEEE (2009) 3. Serhoud, H., Benattous, D.: Simulation of grid connection and maximum power point tracking control of brushless doubly-fed generator in wind power system. Front. Energy 7(3), 380–387 (2013) 4. Trejos-Grisales, L., Guarnizo-Lemus, C., Serna, S.: Overall description of wind power systems. Ingeniería y Ciencia 10(19), 99–126 (2014) 5. Chen, J., Zhang, W., Chen, B., Ma, Y.: Improved vector control of brushless doubly fed induction generator under unbalanced grid conditions for offshore wind power generation. IEEE Trans. Energy Convers. 31(1), 293–302 (2015) 6. Tir, Z., Abdessemed, R.: Control of a wind energy conversion system based on brushless doubly fed induction generator. Revue des Energies Renouvelables 17(1), 55–69 (2014) 7. Rahab, A., Senani, F., Benalla, H.: Direct power control of brushless doubly-fed induction generator used in wind energy conversion system. Int. J. Power Electron. Drive Syst. (IJPEDS) 8(1), 417–433 (2017) 8. Asri, A.: Intelligent maximum power tracking control of a PMSG wind energy conversion system. Asian J. Control 21(4), 1980–1990 (2019) 9. Kumar, D., Chatterjee, K.: A review of conventional and advanced MPPT algorithms for wind energy systems. Renew. Sustain. Energy Rev. 55, 957–970 (2016) 10. Madbouly, S.O., Soliman, H.F., Hasanien, H.M., Badr, M.A.: Fuzzy logic control of brushless doubly fed induction generator. German University in Cairo, Egypt, p. 14 (2010) 11. Premkumar, K., Manikandan, B.V.: Bat algorithm optimized fuzzy PD based speed controller for brushless direct current motor. Eng. Sci. Technol. Int. J. 19(2), 818–840 (2016) 12. Yang, X.S., Gandomi, A.H.: Bat algorithm: a novel approach for global engineering optimization. Eng. Comput. (2012)
Choosing the Adapted Artificial Intelligence Method (ANN and ANFIS) Based MPPT Controller for Thin Layer PV Array Elaid Bouchetob(B) and Bouchra Nadji Laboratoire d’électrification des Entreprise Industrielles, LREEI, Faculté des Hydrocarbures et de la chimie, Université M’hamed Bougara de Boumerdes, Avenue de l’Indépendance, 35000 Boumerdès, Algerie {e.bouchetob,b.nadji}@univ-boumerdes.dz
Abstract. Because of the many advantages that artificial intelligence technologies provide in comparison to more conventional methods, a rising number of solar power plants are beginning to use them in their monitoring of the MPP. When there is a sudden change in solar temperature and irradiance, it is possible that the MPP will not be tracked as accurately. As a consequence of this, these methods could make up for the deficiencies of those that are more well-established (P&O, IC, etc.). Aside from that, there is a wide range of methods to AI, each of which has a particular advantage. By making some minor adjustments to the architecture, an artificial neural network (ANN) and an adaptive neuro-fuzzy inference system (ANFIS) were used to monitor the MPP of Thin Layer panel technology at the Oued Nechou installation in Ghardaia. Each connection channel now has six panels rather than the previous maximum of 12 panels, and the junction box has 210 channels rather than the prior maximum of 105 channels. In the last step, a DCDC boost converter is used to increase the power output voltages produced by the module. Keywords: PV system · Artificial intelligence · ANN · ANFIS · MPPT · DC-DC converter
1 Introduction The SKTM central in Ghardaia is just one of several that may be found in various locations around Algeria. Algeria’s newly appointed minister of “Energy Transaction and Renewable Energies” is working hard to move the country away from its reliance on fossil fuels and toward the use of more sustainable forms of energy. There are 8 modules located in that center, and there are 4 different technologies (monocrystalline, Polycrystalline, Amorphous, and Cadmium Telluride). It is capable of producing 1.1 MW [1]. Nonlinear PV curves are the consequence of the fact that the performance of photovoltaic (PV) modules is not linear in nature with regard to the effect of external factors. When the nonlinear PV curve reaches a given point at some point, the power reaches © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 322–331, 2023. https://doi.org/10.1007/978-3-031-21216-1_35
Choosing the Adapted Artificial Intelligence Method
323
its maximum achievable level. Because of this, the solar module needs to be operated at the maximum power point regardless of the irradiance or temperature conditions that are present. To extract the most power out of a solar array, a photovoltaic power system would often need a maximum power point tracking controller [2]. This is because of the nature of how photovoltaics work. It is too difficult to follow the MPP using traditional techniques such as perturb and observe P&O [3, 4], incremental conductance IC [5, 6], and hill climbing HC [7, 8]; because the MPP has a delayed reaction to the fast-changing temperature and irradiance [9]. As a direct consequence of this, the approaches of artificial intelligence have sought to fill common gaps in functionality [10, 11].
2 Material and Method 2.1 PV Cells Modeling: P-N semiconductor junctions are the fundamental building blocks of photovoltaic (PV) cells. These junctions are necessary to convert light (photons) into electric current via photoelectric effects. To create a PV module, numerous PV cells are linked in series and parallel with one another [12]. The primary equation for determining the output current is as follows: q Vn −1 I = Np Iph - Np Irs exp KTA Ns where I and V represent the PV array’s output current and voltage, Ns represents the number of series-connected cells, Np represents the number of parallel-connected cells, q represents the elementary charge in Coulombs (1,6.10−19 C), is the Boltzmann constant in Joules (1,38.10–23 J), and Irs represents the cell’s reverse saturation current. The following equation explains how Irs adapts to temperature. 3 T q Eg 1 1 exp − Irs = Tr k A Tr T Tr is the cell’s reference temperature, Irs is its reverse saturation current, and Eg is its bandgap energy. The cell photocurrent equation is: G Iph = Iscr + Ki (T - Tr ) 100 where Iscr is the short circuit at reference temperature and radiation, G is solar radiation in W/m2 , Ki is the short circuit current temperature coefficient. We characterize the Panel (Thin Layer) in Matlab/Simulink as the below table shows: 2.2 Proposed Design for Module 3 To connect the panels of module 3, we suggested a new design in which the number of panels connected in series was reduced from 12 to 6, and the number of panels connected in parallel was increased from 105 to 210. After that, the junction box of the collected panel was linked to a DC-DC converter, which increased the output voltage until it was equal to the initial voltage.
324
E. Bouchetob and B. Nadji Table 1. Module 3 Panel’s characteristics
Parameter
Symbol
Thin layers
Maximum power
PMPP
80 W
Voltage @ Pm
VMPP
48.5 V
Current @ Pm
IMPP
1.65 A
Short circuit current
Isc
1.88 A
Open voltage current
Voc
60.8 V
Temperature coefficient of open circuit voltage
β
−0.27%/°K
Temperature coefficient of short circuit current
α
0.04%/°K
Surface
S
0.72 m2
Number of series cells
Ns
154
2.3 Boost Converter Design The DC-DC converters are becoming more suggested for use in power conversion, and the majority of the systems that use this form of converters are involved in the conversion of renewable energy to electricity for the MPP [13]. During the course of this study, we made use of the boost converter, also known as the step-up converter, in order to bring the input voltage to a higher level (Fig. 1). Vin = Vo ∗ (1 − D) Vin = input voltage; Vo = output voltage. And the other component (Capacitor, Inductor) value we follow the equation [14, 15]. L=
Vin ∗ D IL ∗ fs
While: I L =
Vin−min ∗ D fs ∗ L
IL = estimate ripple current, Vin-min = minimum input voltage, fs = switch frequency, D = duty cycle, L = inductor. C=
Io ∗ D fs ∗ Vo
C = capacitor, Io = output current, Vo = estimate ripple voltage.
Choosing the Adapted Artificial Intelligence Method
325
Fig. 1. Boost converter
2.4 The ANN Based MPPT Controller One of the techniques used by learning machines is called an artificial neural network (ANN) [16]. This methodology has several benefits over the traditional approaches, including efficiency, MPP oscillation, convergence speed, precise monitoring of irradiation and/or temperature changes, and the ability to attach hardware [17]. These benefits may be found in this approach’s installation. Other than that, ANN is made up of an input layer known as Nin, a hidden layer known as Nh, and an output layer known as Nout. The following is an equation describing the relationship between three layers: [18, 19] Nh =
Nin + Nout + Ne 2
Our research relied on the irradiance and temperature readings taken during the winter of 2016 to determine both the input and the output Voltage at MPP. The samples are taken once every four minutes (Fig. 2).
Fig. 2. Matlab/Simulink hidden layers configuration used to determine reference voltage at MPP
2.5 Adaptive Neuro-Fuzzy Inference System In ANFIS, the FLC and ANN techniques are merged to produce a result that is both more accurate and better appropriate to the investigation’s circumstances. Fuzzy logic computing requires a lot of time and effort to determine the correct fuzzy rules based on prior mistakes and changes in those mistakes. Despite the fact that it is a controller capable of mapping nonlinearity, the ANN’s workings remain obscure. Consequently,
326
E. Bouchetob and B. Nadji
controllers based on the ANFIS architecture are presently being developed. This is being done to make up for the shortcomings that have been found [20]. This structure has a total of five separate levels or tiers. Layer 1 receives the E and CE crisp inputs. Crisp inputs are subsequently passed on to Layer 2, which is in charge of fuzzifying crisp inputs by fuzzing their values. Layer 2 This layer is responsible for receiving and transmitting the clear inputs. Layer 3 is responsible for converting inputs to outputs based on the degree to which two separate data sets are congruent. Layer 3 is the rule basis. The normalization layer, which can be found on layer 4, is in charge of calculating the normalized firing strength of each rule. The fourth layer may contain this layer. Layer 5’s defuzzification layer is responsible for transforming fuzzy data into data that is easier to understand. As a result of this, MPPT controllers can recognize MPPs with better precision thanks to the needed output duty cycle [21] (Fig. 3).
Fig. 3. Matlab/Simulink ANFIS configuration used to determine reference voltage at MPP
3 Result and Discussion 3.1 The Simulated Model The developed model of the PV system on the Matlab/Simulink is shown on Fig. 4, the Fig. 5 presents the ANN based MPPT controller, and Fig. 6 shows the developed ANFIS controller.
Choosing the Adapted Artificial Intelligence Method
327
Fig. 4. Matlab/Simulink step of model simulation
Fig. 5. The developed ANN controller used to Fig. 6. The developed ANFIS controller used determine reference voltage at MPP to determine reference voltage at MPP
The sun radiation distribution (Fig. 7) and the temperature of 25°C are utilized to examine the efficiency of the MPPT approaches. The chosen weather conditions are based on a sunny day.
Fig. 7 . Solar radiation measurement.
3.2 The Results Discussion The simulation results of boost output voltage and power are displayed in Figs. 9 and 11, respectively, when either the ANN or ANFIS MPPT techniques were used to manage the duty cycle of the boost converter. When compared to a waveform that does not use any MPPT approaches, this voltage and power waveform is more stable and efficient (Fig. 8).
328
E. Bouchetob and B. Nadji
Fig. 8. PV panel voltage output.
Fig. 9. DC-DC boost converter voltage output.
Fig. 10. DC-DC boost converter power output (At of increase the solar irradiance).
Choosing the Adapted Artificial Intelligence Method
Fig. 11. DC-DC boost converter power output.
Fig. 12. DC-DC boost converter power output (At of decrease the solar irradiance).
Fig. 13. The output MPP voltage for two strategies.
329
330
E. Bouchetob and B. Nadji
As shown in Figs. 10 and 12, ANFIS and ANN controllers affect the output voltage and power of the boost converter. After a brief settling period, the ANFIS waveform stabilizes at its maximum value. Figure 13 shows the output of ANFIS and ANN algorithms, the output voltage on ANFIS algorithm of MPP is varies with small wave than the ANN.
4 Conclusion In this research, a comparison study of artificial neural networks (ANN) and Adaptive Neuro-Fuzzy Inference System (ANFIS) MPPT controller approaches in Matlab/Simulink is presented. The primary issues with solar photovoltaic systems are their poor efficiency and expensive cost, as well as the variable output caused by unfavorable weather conductions. Therefore, we require a reliable MPPT controller. In the last stage of our comparison research, we discovered that the Adaptive Neuro-Fuzzy Inference System (ANFIS) controller is more effective than the artificial neural networks (ANN) controller. The Adaptive Neuro-Fuzzy Inference System (ANFIS) controller is responsible for increasing output power while reducing fluctuations and ensuring a prompt response to shifting atmospheric conductions. In comparison to artificial neural networks, the Adaptive Neuro-Fuzzy Inference System (ANFIS) controller showed better performance than artificial neural networks (ANN).
References 1. Abdel-Salam, M., El-Mohandes, M.T., El-Ghazaly, M.: An efficient tracking of MPP in PV systems using a newly-formulated P&O-MPPT method under varying irradiation levels. J. Electr. Eng. Technol. 15(1), 501–513 (2020). https://doi.org/10.1007/s42835-019-00283-x 2. Toumi, M., Bakir, A.: Energies Renouvelables (2015) 3. Esram, T., Chapman, P.L.: Comparison of photovoltaic array maximum power pointtracking techniques. IEEE Trans. Energy Convers. 22(2), 439–449 (2007). https://doi.org/10.1109/ TEC.2006.874230 4. Femia, N., Petrone, G., Spagnuolo, G., Vitelli, M.: A technique for improving P&O MPPT performances of double-stage grid-connected photovoltaic systems. IEEE Trans. Ind. Electron. 56(11), 4473–4482 (2009). https://doi.org/10.1109/TIE.2009.2029589 5. Li, J., Wang, H.: A novel stand-alone PV generation system based on variable step size INC MPPT and SVPWM control. In: 2009 IEEE 6th International Power Electron. Motion Control Conference IPEMC 2009, vol. 3, pp. 2155–2160 (2009). https://doi.org/10.1109/ IPEMC.2009.5157758 6. Reisi, A.R., Moradi, M.H., Jamasb, S.: Classification and comparison of maximum power point tracking techniques for photovoltaic system: A review. Renew. Sustain. Energy Rev. 19, 433–443 (2013). https://doi.org/10.1016/j.rser.2012.11.052 7. Kamarzaman, N.A., Tan, C.W.: A comprehensive review of maximum power point tracking algorithms for photovoltaic systems. Renew. Sustain. Energy Rev. 37, 585–598 (2014). https:// doi.org/10.1016/j.rser.2014.05.045 8. Liu, F., Kang, Y., Yu, Z., Duan, S.: “Comparison of P&O and hill climbing MPPT methods for grid-connected PV converter”, 2008 3rd IEEE Conf. Ind. Electron. Appl. ICIEA 2008, 804–807 (2008). https://doi.org/10.1109/ICIEA.2008.4582626
Choosing the Adapted Artificial Intelligence Method
331
9. Salas, V., Olías, E., Barrado, A., Lázaro, A.: Review of the maximum power point tracking algorithms for stand-alone photovoltaic systems. Solar Energy Mater. Solar Cells 90(11), 1555–1578 (2006). https://doi.org/10.1016/j.solmat.2005.10.023 10. Al-gizi, A.G., Craciunescu, A., Al-chlaihawi, S.J.: The use of ANN to supervise the PV MPPT based on FLC. In: 2017 10th International Symposium on Advanced Topics in Electrical Engineering (ATEE), pp. 703–708 (2017). 11. Bouakkaz, M.S., Boudebbouz, O., Bouraiou, A.: ANN based MPPT algorithm design using real operating climatic condition. In: 2020 2nd International Conference Mathematics and Information Technology, pp. 159–163 (2020). https://doi.org/10.1109/ICMIT47780.2020. 9046972. 12. Rezk, H., Aly, M., Al-dhaifallah, M.: Design and hardware implementation of new adaptive fuzzy logic-based MPPT control method for photovoltaic applications, pp. 106427–106438 (2019) 13. Nayak, B., Mohapatra, A., Mohanty, K.B.: Selection criteria of dc-dc converter and control variable for MPPT of PV system utilized in heating and cooking applications. Cogent. Eng. 26, 1–16 (2017). https://doi.org/10.1080/23311916.2017.1363357 14. Noman, A.M, Addoweesh, K.E., Mashaly, H.M.: A fuzzy logic control method for MPPT of PV systems. In: IECON 2012–38th Annual Conference on IEEE Industrial Electronics Society, pp. 874–880. IEEE (2012) 15. Li, W., He, X.: Review of nonisolated high-step-up DC / DC converters in photovoltaic grid-connected applications. IEEE Trans. Ind. Electron. 58(4), 1239-1250 (2011) 16. Kulaksız, A.A., Akkaya, R.: A genetic algorithm optimized ANN-based MPPT algorithm for a stand-alone PV system with induction motor drive. Sol. Energy 86(9), 2366–2375 (2012). https://doi.org/10.1016/j.solener.2012.05.006 17. Elsheikh, A.H., Sharshir, S.W., Elaziz, M., Kabeel, A.E., Guilan, W., Haiou, Z.: Modeling of solar energy systems using artificial neural network: a comprehensive review. Sol. Energy 180, 622–639 (2019). https://doi.org/10.1016/j.solener.2019.01.037 18. Teo, K.T.K., Lim, P.Y., Chua, B.L., Goh, H.H., Tan, M.K.: Maximum power point tracking of partially shaded photovoltaic arrays using particle swarm optimization. In: Proceedings - 2014 4th International Conference Artificial Intelligence with Applied Engineering Technology ICAIET 2014, pp. 247–252 (2014). https://doi.org/10.1109/ICAIET.2014.48 19. Brano, V.L., Ciulla, G., Di Falco, M.: Artificial neural networks to predict the power output of a PV panel. Int. J. Photoenergy 2014, 1–12 (2014). https://doi.org/10.1155/2014/193083 20. Arora, A., Gaur, P.: Comparison of ANN and ANFIS based MPPT controller for grid connected PV systems. In: 12th IEEE International Conference Electron. Energy, Environmental Communication Computing Control (E3-C3), INDICON 2015, pp. 1–6 (2016). https://doi. org/10.1109/INDICON.2015.7443568 21. Padmanaban, S., Priyadarshi, N., Bhaskar, M.S., Holm-Nielsen, J.B., Ramachandaramurthy, V.K., Hossain, E.: A hybrid ANFIS-ABC based MPPT controller for PV system with antiislanding grid protection: experimental realization. IEEE Access 7, 103377–103389 (2019). https://doi.org/10.1109/ACCESS.2019.2931547
Methods Improving Solar Power System Efficiency Based on Geographical Coordinates and Sun Position Calculators K. Dahli(B) and N. Cheggaga Department of Electronics, Faculty of Technology, University of Blida 1, Blida, Algeria [email protected], [email protected], [email protected]
Abstract. Many extensive research efforts has been conducted on solar energy over the past decades. Granted, scientists believe that the sun can actually provide more than enough energy to satisfy the world’s energy needs. However solar power is limited in terms of producing power unfailingly in all conditions. This paper presents new methods of increasing solar system power efficiency without using sensors, but rather using sun position calculators and algorithms based on the astronomical equation. A solar tracking system was implemented and compared using three different control methods; a tracking code that uses Algerian postal codes, a GPS based tracker and a graphical user interface. The system was designed and simulated using Arduino microcontroller with MATLAB and Proteus environments. Keywords: Aastronomical equation · Graphical user interface · GPS based tracker · Sun position calculators · Solar tracking system · Tracking code
1 Introduction The Sun is considered to be one of the prominent source of clean and predominant energy that emits more than enough power onto earth to satisfy all future energy needs, it can be leveraged in place of conventional power generation systems on the condition of increasing its efficiency as well as reducing the cost of production, as a solution for this quest solar tracking system could be used by dint of their ability to maximize solar radiation and increase power generation efficiency up to 40–50% more than conventional solar systems [1]. Many research institutions in Algeria where drawn about solar trackers, where the government aims to install an additional 5,000 MW to the already deployed 450 MW of solar capacity by the end of 2028.the country has a goal of installing 22,000 MW total of renewable capacity as one of the official 2030 targets [2]. The solar tracker can be defined as a system that directs the panels toward the sun to maximize the efficiency of converting the solar energy into electricity. Since the efficiency of a solar tracker depends on the amount of solar radiation absorbed by the solar panel,the active surface should be always faced directly to the sun [3]. For this purpose, it is essential to carefully determine the exact location of the sun in the sky © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 332–341, 2023. https://doi.org/10.1007/978-3-031-21216-1_36
Methods Improving Solar Power System Efficiency
333
through the day, at the observation point on earth [4]. The position of the sun is mainly determined by two angles the altitude angle and the azimuth angle [5]. According to the tracking mechanisms solar trackers can be classified into two types: a single-axis tracker and a dual-axis tracker. The difference between the two appears in the fact that single-axis tracker moves the panel on one axis of movement which is considered to be parallel to the earth’s axis of rotation.while dual-axis tracker moves the panel on two axis, aligned both north-south and east-west [6]. One way of controlling a solar tracker in an effective and simple way is the open-loop control. This method is based on determining the location of the sun by calculating the latitude and longitude angles of the sun using the location’s geographic coordinates [7], then controlling the solar panel to move accordingly to the sun.In this work, an open-loop solar tracking system is designed with three different control methods; a tracking code that uses postal codes, a GPS based tracker and a graphical user interface using pre-calculated sun position based on the astronomical equation. This work aims to present a simplified and effective solar tracking methods without using sensors nor feedback loops. By simulation results, it has been shown that the solar tracking system using one of the three methods can has a huge impact on solar power generation in Algeria. This paper is divided into three main sections. In the first section, the three methods are explained and modeled using Arduino microcontroller with MATLAB and Proteus software.In the following section, the comparison of the dynamic behavior of the system in each method was analyzed with some obtained results. In the last section, it has been concluded that the tracking code (postal code) method could be the best option for solar tracking implementation in Algeria.
2 Methods and Materials In this study, a solar tracker with different control methods has been designed using MATLAB and Proteus 8 software, the developed algorithm can accurately determine the tracker’s angle depending on the calculated coordinate database of the position of the sun in the sky throughout the year. In this project we have chosen a dual axis solar tracker due to its high efficiency and good performance. The position of the sun was determined then the calculated tilt and pitch angles of the solar panel were converted into motors motion such that the panel is faced accordingly to the sun radiation. For height movement control, the first motor will drive the panel up from sunrise to midday, and lowers the panel to its original position at sunset. Simultaneously, the second motor will rotate the panel clockwise or counterclockwise.in order to control the two motors we connected Arduino directly to MATLAB via a USB port and used MATLAB algorithm to
Fig. 1. Dual axis solar tracking control system.
334
K. Dahli and N. Cheggaga
generate a certain commands that has been eventually used to help the tracker follow the sun vertically and horizontally in in aim of obtaining maximum solar energy generation at all time. The proposed method is shown in Fig. 1.
3 Control Methods This work was designed using three different control methods: a tracking code method (postal codes), a GPS based tracker and a graphical user interface, these methods will be represented to see the performance of the system with each case and choose the most convenient option. 3.1 Postal Code Method This method consists of building a solar tracking system without using sensors but rather determining the sun’s position from a specific set point based on a pre-calculated geographic coordinates. The location of the solar tracker is determined by converting a tracking code that uses postal codes into geographic location. We developed a MATLAB code that helps the user to get latitude and longitude in all of the provinces and municipalities across Algeria including 2324 different regions [8] (shown in Table 1). The main program chart is shown in Fig. 2.
Fig. 2. Flowchart of dual solar tracker based on tracking code
Methods Improving Solar Power System Efficiency
335
Table 1. Example of the data used in the MATLAB code Postal code
Latitude
Longitude
Provinces
Municipalities
9000
36.473571
2.832315
Blida
Blida
9002
36.458546
2.849169
Blida
Sidi Kebir
16000
36.779443
3.061738
Alger
Alger Gare
16081
36.694293
2.973317
Alger
Baba Hassen
14191
35.373814
1.315758
Tiaret
Ouarsenis el Beida
14200
35.185742
1.493502
Tiaret
Sougueur
13016
34.878963
−1.348694
Tlemcen
Mansourah (Tlemcen)
13111
34.931076
−1.324027
Tlemcen
Ain el Houtz
3.2 GPS Method The main system is designed to complete the calculation and generate the database of the sun’s path trajectory throughout the year for a particular site. Hence, the location of the solar tracker is determined by using a global positioning system receiver module (GPS), which uses multiple orbiting satellites to calculate its position [9]. The GPS module is connected to the Arduino and continuously sends position information that includes longitude, latitude, altitude, date and time for current location to be used for the optimum tilt and yaw angles calculation of the tracker. The main program chart is shown in Fig. 3.
Fig. 3. Flowchart of dual solar tracker based on GPS sensor
336
K. Dahli and N. Cheggaga
3.3 User Interface Method This interface is designed to detect the position of the sun for a given location at a given time and moves the panel accordingly. In order to firmly follow the movement of the sun the tracker involves no feedback loop nor sensors, but rather uses predefined algorithms based on mathematical calculations about sun’s trajectory particularly azimuth and altitude angles. The designed user interface (shown in Fig. 4.) will be connected directly to the Arduino through the USB port to control the two motors of the tracker using the command app.a = Arduino() [10]. The main parts of the interface are described as follows: • Geographic coordinates part where the user must insert location coordinates (latitude and longitude or province and municipality) and select the date, time and GMT offset. • Monitor part in which the user can choose between visualizing the solar panel power output, the irradiance, the azimuth angle or altitude angle. • Solar tracker type part where the user can select between three deferent types: dual axes, vertical single axes and horizontal single axes solar tracker. • Solar panel part, in this part the user will have the privilege to (a) monitor the power, voltage and current of the solar panel (b) visualize the solar panel moving (c) get the optimum yaw and pitch angles (d) turn on or off the solar tracker and (e) reset the solar tracker to its original position.
Fig. 4. Solar tracking user interface
Methods Improving Solar Power System Efficiency
337
4 Simulation 4.1 MATLAB Software Both of the methods were simulated using MATLAB Simscape Multibody to simplify the design of the control system and to test its level of performance. This example illustrates the use of the Worm and Gear Constraint block to model a solar tracker. The yaw and pitch rotation are specified as a motion input to the gear revolute joint. The simulation block is shown in Fig. 5.
Fig. 5. Solar tracker system simulation block
The Simscape Multibody provided the visualization of the solar tracker’s dynamics as shown in Fig. 6 below:
Fig. 6. An automatically generated 3D animation of the solar tracker
338
K. Dahli and N. Cheggaga
4.2 Proteus Software The circuit was also simulated on Proteus software to test its feasibility and its proper work. The system contains a solar panel connected to the booster and two stepper motors connected to Arduino. The simulation of the solar tracker circuit is shown in Fig. 7.
Fig. 7. Dual axes solar tracker simulation using Proteus software
5 Results and Comparisons Solar orientations based on Location and Time method gives high precision results and allows the system to perform with high efficiency, the set point studied in this paper is located in Algeria with the latitude of 36.4667 N and longitude of 2.8167 W (Blida, Blida). Using the GPS based solar tracker the maximum solar energy production was about 189.69 W with an optimum tilt angle equal to 77.77° and a yaw angle equal to 89.9°, this results an 1028,6 kWh/m2 overall solar irradiance (the results are shown in Fig. 8).
Methods Improving Solar Power System Efficiency
339
Fig. 8. GPS solar tracker: Altitude angle; Azimuth angle; Output power; Solar irradiance
For the Postal Code solar tracker the solar energy production reached a maximum value of 189.67 W and the optimum tracker angles were equal to 77.75° for the tilt angle and 89.82° for the yaw angle, with an overall solar irradiance equal to 1028,6 kWh/m2 (the results are shown in Fig. 9).
Fig. 9. Postal code solar tracker: Altitude angle; Azimuth angle; Output power; Solar irradiance
Both of the methods gave a really good results, by comparing the two we can notice a very small deference which is about 1% (as shown in Fig. 10). Hence it is clear that the tracking code method is the best to be used in the field of solar tracking system in renewable energy’s power plants, because it costs less than the GPS one since it doesn’t require any sensors and it is less complicated than the user interface method.
340
K. Dahli and N. Cheggaga
Fig. 10. Comparison of Postal Code and GPS solar trackers
6 Conclusion This paper aims to encourage the use of solar energy in a wider range of modern power plant and increase the efficiency of the solar power production using a low cost and efficient solar tracker that uses geographic coordinates and sun position calculators rather than sensors. The maximum efficiency can be obtained by controlling the angles of the solar panel to be faced directly to the sun light, three methods were applied in this research to solve this quest, and we got different results for each method. When taking into consideration the stability, response time, performance, costs and error probability. It can be concluded that the tracking code system using postal codes is the best option for a better performance and higher productivity of photovoltaic systems in Algeria.
References 1. Alexandru, C.: A novel open-loop tracking strategy for photovoltaic systems. Sci. World J. 2013, 1–12 (2013). https://doi.org/10.1155/2013/205396 2. Algeria charts a path for renewable energy sector development. Middle East Institute (2022). https://www.mei.edu/publications/algeria-charts-path-renewable-energy-sectordevelopment. Accessed Jan 7 3. Chang, C.: 5 - Tracking solar collection technologies for solar heating and cooling systems. In: Wang, R.Z., Ge, T.S. (eds.) Advances in Solar Heating and Cooling, pp. 81–93. Woodhead Publishing (2016). https://doi.org/10.1016/B978-0-08-100301-5.00005-9 4. Habib, M.K. (ed.) Handbook of Research on Advancements in Robotics and Mechatronics: Advances in Computational Intelligence and Robotics. IGI Global (2015). https://doi.org/10. 4018/978-1-4666-7387-8 5. Jagoo, Z.: Tracking Solar Concentrators. SpringerBriefs in Energy. Springer Netherlands, Dordrecht (2013). https://doi.org/10.1007/978-94-007-6104-9 6. Kalogirou, S.A.: Solar Energy Engineering: Processes and Systems, 2nd edn. AP, Academic Press/Elsevier, Amsterdam (2014) 7. Liste des codes postaux d’Algérie. Wikipédia (2022)
Methods Improving Solar Power System Efficiency
341
8. Prinsloo, G., Dobson, R.: Solar Tracking, Sun Tracking, Sun Tracker, Solar Tracker, Follow Sun, Sun Position (2015). https://doi.org/10.13140/2.1.2748.3201 9. Sidek, M.H.M, et al.: GPS based portable dual-axis solar tracking system using astronomical equation. In: 2014 IEEE International Conference on Power and Energy (PECon), pp. 245–249 (2014). https://doi.org/10.1109/PECON.2014.7062450 10. Wagieh, A.: Using MATLAB App Designer With Arduino. Instructables (2022). https://www. instructables.com/Using-MATLAB-App-Designer-With-Arduino/. Accessed June 7
Aerial Forest Smoke’s Fire Detection Using Enhanced YOLOv5 Dalila Cherifi(B) , Belkacem Bekkour(B) , Assala Benmalek, Meroua Bayou, Ines Mechti, Abdelghani Bekkouche, Chaima Amine, and Ahmed Halak Institute of Electrical and Electronic Engineering, University of Boumerdes, Boumerdes, Algeria [email protected], [email protected]
Abstract. Forest fires around the world are the main cause of devastating millions of forest hectares, destroying several infrastructures and unfortunately causing many human casualties among both fire fighting crews and civilians that might be accidentally surrounded by the fire. The early detection of more than 58,950 forest fires and the real-time fire perception are two key factors that allow the firefighting crews to act accordingly in order to prevent the fire from achieving unmanageable proportions [1]. Forest fire detection is such a challenging problem for the current world. Traditional methodologies depend on a set of expensive hardware and sensors that might be not accurate due to some environment parameters and weather fluctuations. This paper proposes an accurate intelligent deep learning-based YOLOv5 model to detect forest fires from a given aerial images. Keywords: Aerial fire detection algorithm · Deep learning · YOLOv5
1 Introduction The current fire detection methods consist of applying image processing techniques to onboard visual and infrared sensors data [2, 3]. These techniques use characteristic features such as color, motion, and geometry to detect the flame or smoke generated by the fire [4–7]. Object detection is one of the classical problems in computer vision. It not only classifies the object in image but also localizes that object. In previous decades, the methods used to address this problem consisted of two stages: first extract different areas in the image using sliding windows of different sizes and then apply the classification problem to determine what class the objects belong to. These approaches have the disadvantage of demanding a large amount of computation and being broken down into multiple stages. That makes the system difficult to be optimized in terms of speed. One of the most popular purposes of using deep learning models for computer vision is object detection. Convolutional neural networks (CNNs) represent the core of state-of-the-art object detection methods, where they are used as features extractors. There are several CNNs available, for instance, AlexNet [8], VGGNet [9] and ResNet [10]. These networks are mainly used for object classification tasks and evaluated on some widely used benchmarks and datasets such as ImageNet [11]. You Only Look Once © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 342–349, 2023. https://doi.org/10.1007/978-3-031-21216-1_37
Aerial Forest Smoke’s Fire Detection Using Enhanced YOLOv5
343
(YOLO), is an algorithm that detects and recognizes various objects in a picture (in realtime). Object detection in YOLO is done as a regression problem and provides the class probabilities of the detected images. People glancing at an image, can instantly recognize what the objects are and where they are located within the image. The ability to detect objects fast combined with the knowledge of a person helps to make an accurate judgment about the nature of the object. A system that simulates the ability of the human visual system to detect objects is something that scientists are researching. Fast and accurate are the two prerequisites for which an object detection algorithm is examined [12]. In this work we propose an intelligent, fast and efficient Deep learning-based model YOLOv5s for Aerial Forest smoke’s fire detection. This article consists of four sections, the second section presents an overview about the Convolutional Neural Networks and YOLOv5 used for fire detection. The third section includes the experimental parts and the obtained results, followed by a discussion and a conclusion.
2 Methodology This paper describes the implementation of a fire segmentation algorithm to perform aerial forest fire detection using Deep learning. Which will be described in the next subsections. 2.1 Convolutional Neural Networks A Convolutional Neural Network (CNN) is a type of Artificial Neural Network used in image recognition and processing and specifically designed to process pixel data. Convolutional Neural Networks are powerful image processing, artificial intelligence (AI) systems that use deep learning to perform both generative and descriptive tasks, using Machine Vision which includes image and video recognition, as well as recommendation systems and natural language processing (NPL). The main idea behind CNN is feature extraction because the second part is a simple artificial neural network, by doing the convolution we are extracting the features and reducing the dimension. So, after extracting feature values from images, pooling layers are used to reduce the number of feature values while retaining the key differentiating features that have been extracted. One of the most common kinds of pooling is max pooling in which a filter is applied to the image, and only the maximum pixel value within the filter area is retained. There are many different pooling methods. One of the most difficult challenges in a CNN is to avoid over-fitting, where the resulting model performs well with the training data but doesn’t generalize well to new data which wasn’t trained. One technique you can use to minimize the over fitting is to include layers in which the training process randomly eliminates feature maps. Thus, the model doesn’t learn to be over-dependent on the training images. After using convolutional and pooling layers to extract the salient features in the images, the resulting feature maps are multidimensional arrays of pixel values. Flattening layers is used to flatten the feature maps into a vector of multiple values which can be used as input to a fully connected layer later. Usually, a CNN ends with a fully connected network in which the feature values are passed into an input layer, through one or more hidden layers, and generate predicted values in an output layer [13, 14].
344
D. Cherifi et al.
2.2 YOLOv5 Model YOLOv5, is an object detector deep learning model based CNNs, it comes with different models namely, YOLOv5s, YOLOv5m, YOLOv5l, YOLOv5x. YOLOv5s (see Fig. 1) consists of three CNNs: Model Backbone, Model Neck and Model Head. In the Model Backbone is mainly used to extract the important features from the given input image. There are several features’ extractors, we mention ResNet, CSPNet, VGGNet. The model Neck is Usually used to generate feature pyramids, it helps to generalize well on object scaling, thus the model will be able to identify the same object with different sizes and scales. There are many architectures used as model neck, for instance PANet. The Model Head used to perform the final detection part, it applies anchor boxes on features and generates a final output vector with class probability [12]. It’s used in the work for aerial forest smoke’s fire detection.
Fig. 1. Accuracy and performance of YOLOv5 [12].
3 Experiments and Results In this section we will explain each step passed for the implementation of the custom YOLOv5 object detector for forest fire detection. The objective is to prepare, clean, balance and enough the dataset, then create an accurate deep learning model for fire’s smoke detection. 3.1 Data Preprocessing As any study of deep learning, YOLOv5 requires 3 sets namely training, validation, and testing. The pre-processing of our dataset consists of collecting data from Robflow open-source datasets base and Google image; then resizing the dimensions of the images, such that all images have the same size. Draw the bounding boxes around the object of interest “ fire’s smoke”. Our total dataset contains 700 images of fire’s smoke, which is enough to train a YOLOv5 object detector model. Before starting creating bounding
Aerial Forest Smoke’s Fire Detection Using Enhanced YOLOv5
345
boxes around the object of interest. We divided the hole dataset into 2 separated sets with python, the code we made can go through each image on the given folder path, resize it and then save it in a splitted way such that the training set takes 80% of the hole dataset while the validation set takes the remaining 20% training: 3.2 Evaluation Metrics We have used four main evaluation metrics which are: sensitivity, specificity, accuracy and mean average precision which are given as follows: • Accuracy: Accuracy is one metric for evaluating classification models is given as the fraction of the number of correct predictions by Total number of predictions. Accuracy can also be calculated in terms of positives and negatives as follows [8]: Accuracy =
TP + TN TP + TN + FP + FN
(1)
where TP = True Positives, TN = True Negatives, FP = False Positives, and FN = False Negatives. • Specificity and sensitivity: Sensitivity and specificity are evaluation metrics defined as follows [8]: TP FP + FN TP Specif icity = FP + TN Sensitivity =
(2) (3)
where TP = True Positives, TN = True Negatives, FP = False Positives, and FN = False Negatives. • Mean Average Precision: To assess protest discovery models like R-CNN and YOLO, mean average precision (mAP) is utilized. The mAP compares the ground-truth bounding box to the recognized box and returns a score. The higher score, the more exact the show is in its detections. 1 K=n (4) mAP = (AP k ) k=1 n where: AP k : is the AP of class k and n:is the number of classes. 3.3 YOLOv5 Model Implementation The basic YOLOv5 model comes with a pre-trained data set “COCO” dataset which consists of 80 classes. Since we are going to train custom data for smoke’s forest detection, we have created our own.yaml configuration file for YOLOv5 where we can define the class, train, validation, test datasets. As YOLOv5 architecture consists of multiple CNN networks, the training process needs a powerful hardware such as GPU, which is not available in all nowadays computers. For this reason, we will use Google Colab notebook which is an open-source online notebook that provides a free GPU to train complex networks via cloud. We have trained 700 images of 640 x 480,.in each experiment we changed some parameters to get better results (see Fig. 2).
346
D. Cherifi et al.
Fig. 2. YOLOv5 model architecture.
3.4 Training • Experiment 1: Effect of Batches variation Batches: The first training was using the small YOLOv5 model using different batches. Batches are considered as a hyper parameter value. Since we can’t pass the overall dataset to the neural network, we divide it into a few batches or sets. • Experiment 2: Effect of Epochs variation Epochs: is when the entire dataset passes both forward and backward through the neural network. Thus, it will be able to update its parameters due to the obtaining result only once. One epoch consists of one or more batches. • Experiment 3: Effect of Batches and Epochs variation For the third training experiment, and due to the obtaining results in both the first and the second experiment, we have changed both the batches and epochs to get a better result. We have also change the optimizer from ADAM to SGD. The obtained results are presented in the following table and in the Fig. 3 (Table 1):
Table 1. Results of the experiments Model
Batches
Epochs
Optimizer
Accuracy
Sensitivity
YOLOv5S
50
50
YOLOv5S
20
100
YOLOv5S
16
100
ADAM
Specificity
ADAM
73.77
68.89
73.88
ADAM
94.21
93.74
81.00
98.42
98.18
98.45
Aerial Forest Smoke’s Fire Detection Using Enhanced YOLOv5
347
Fig. 3. Evaluation graphs of the experiments.
3.5 Testing For the testing process, we have used a distinct image of smoke’s fire with different positions and angles, so that we can perfectly test the efficiency of our model (see Fig. 4 and 5).
Fig. 4. Testing labels results.
3.6 Discussion In this section build a custom YOLOv5 object detector model which can detect the smoke’s fire, through different experiments. Batches, batch sizes and training epochs are the main hyper parameters of our deep learning model which can give generate better results for the right value. Optimizers are considered as algorithms or methods used to change the attributes of the neural network such as weights and learning rate in order to reduce the losses. Yolov5 object detector uses either Adam or SGD algorithms for optimization while SGD is a much more generalized algorithm which makes it generalizes better than Adam and thus results in improved final performance.
348
D. Cherifi et al.
Fig. 5. Testing with accuracy results.
4 Conclusion At the conclusion, we have proved the efficiency of YOLOv5 deep learning object detector models comparing the other architectures, where YOLO provides a faster multidetection of objects using a set of CNN networks. Batches, training epochs are considered as hyperparameters that might affect the accuracy of our deep learning model. Clean and well preprocessed dataset is the most important note for this work, where a corrupted dataset might cause either overfitting or less accuracy. Optimizers classes or methods used to change the attributes of the deep learning model, where stochastic gradient descent is much more generalized than adam optimizer. As a further work we are aiming to deploy this deep learning model on a raspberry pi4 nano-computer.
References 1. Akhloufi, M.A., Castro, N.A., Couturier, A.: UAVs for wildland fires. In: Autonomous systems: sensors, vehicles, security, and the internet of everything, SPIE, pp. 134–147 (2018) 2. Yuan, C., Liu, Z., Zhang, Y.: Fire detection using infrared images for UAV-based forest fire surveillance. In: International Conference on Unmanned Aircraft Systems (ICUAS), pp. 567– 572. IEEE (2017) 3. Yuan, C., Zhang, Y., Liu, Z.: A survey on technologies for automatic forest fire monitoring, detection, and fighting using unmanned aerial vehicles and remote sensing techniques. Can. J. For. Res. 45(7), 783–792 (2015) 4. Martinez-de Dios, J.R., Arrue, B.C., Ollero, A., Merino, L., Gómez-Rodríguez, F.: Computer vision techniques for forest fire perception. Image Vis. Comput. 26(4), 550–562 (2008) 5. Chamoso, P., González-Briones, A., De La Prieta, F., Corchado, J.M.: Computer vision system for fire detection and report using UAVs. In: Robust Solutions for Fire Fighting (RSFF), pp. 40–49 (2018)
Aerial Forest Smoke’s Fire Detection Using Enhanced YOLOv5
349
6. Cruz, H., Eckert, M., Meneses, J., Martínez, J.F.: Efficient forest fire detection index for application in unmanned aerial systems (UASs). Sensors 16(6), 893 (2016) 7. De Sousa, J.V.R., Gamboa, P.V.: Aerial forest fire detection and monitoring using a small uav. KnE Engineering, 242–256 (2020) 8. Kim, P.: Convolutional neural network. In: MATLAB Deep Learning, pp. 121–147. Apress, Berkeley, CA (2017) 9. He, K., Zhang, X., Ren, S., et al.: Deep residual learning for image recognition. In: Proceedings of the IEEE Conference on Computer Vision and Pattern Recognition, pp. 770–778 (2016). http://arxiv.org/abs/1512.03385 10. Krizhevsky, A.: One weird trick for parallelizing convolutional neural networks (2014). arXiv: 1404.5997. http://arxiv.org/abs/1404.5997 11. Simonyan, K., Zisserman, A.: Very deep convolutional networks for large-scale image recognition (2014). arXiv:1409.1556. http://arxiv.org/abs/1409.1556 12. Jocher, G., Stoken, A., Borovec, J., et al.: ultralytics/yolov5: v3. 1-bug fixes and performance improvements. Version v3, vol. 1 (2020) 13. Convolutional neural network architecture Understanding of Convolutional Neural Network (CNN) Deep Learning. https://www.educba.com/. Accessed June 2022 14. Krizhevsky, A., Sutskever, I., Hinton, G.E.: Imagenet classification with deep convolutional neural network. Commun. ACM 60(6), 84–90 (2017)
Sizing, Modeling and Energy Flow Management of PV-Diesel-Batteries Microgrid for Agricultural Application Salma Nait Bachir1(B) , Mustapha Hatti2 , and Saliha Arezki3 1 Department of Electrotechnics, University of Science and Technology Houari Boumediene,
Algiers, Algeria [email protected] 2 Solar Equipment Development Unit, Renewable Energy Development Center, Tipasa, Algeria [email protected] 3 Laboratory of Electrical and Industrial Systems, University of Sciences and Technology Houari Boumediene, Algiers, Algeria [email protected]
Abstract. Through the years, agriculture has continued to evolve. Today, agricultural machinery provides a guarantee of efficiency and performance but it leads to an increase in electrical energy demand which creates new challenges especially in rural areas where the acces to medium voltage networks is not always possible, that’s why multi-source electrical systems are the best suited. The aim of the present work is to size a microgrid composed of photovoltaic array, diesel generator and energy storage batteries in order to meet the electrical energy needs of an agricultural farm and also to manage the sized microgrid energy flow. The mathematical models of microgrid components were built on Matlab Simulink before using Load Following strategy. According to this study, we note that a good electrical energy needs estimation is the first step to succeed in microgrid sizing and the power produced by photovoltaic generator varies from site to site, depending on the geographical location and mainly on two factors that are: solar irradiance, temperature. One-diode solar cell model was validated on Matlab Simulink for a chosen solar panel from PVsyst library under standard test conditions before building the PV array and introducing real measurements of solar irradiance and temperature as parameters. Simulations results showed that the proposed energy flow management Load Following strategy is functional based on the power generated by different sources in order to power the entire load during the day under various meteorological conditions. Keywords: Microgrid · Energy · Sizing · Modeling · Management
1 Introduction Agricultural technology evolves rapidly. Agricultural machines, agricultural buildings and production installations are constantly improved. Especially since the agricultural © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 350–367, 2023. https://doi.org/10.1007/978-3-031-21216-1_38
Sizing, Modeling and Energy Flow Management
351
sector is booming in our country, which is leading to an increase in energy demand, although it is associated with greater prosperity, this growth creates new challenges. Electricity production in Algeria comes mainly from thermal power plants using gas as fuel, the latter are responsible of polluting air emissions harmful to health; Moreover, fossil fuels (coal, oil, natural gas) are limited. Currently, the most widespread view of the future availability of fossil fuels is based on the idea that access to their reserves will certainly cost more and more. They are the main responsible of greenhouse gas emissions and therefore of the earth’s surface temperature increase that we have observed since the end of 19th century. Although their contribution to the world’s energy supply can only decrease slowly, it is important to make progress in order to relay them in a meaningful way because they are not energies on which we can rely indefinitely. The extension of electricity networks for the electrification of rural areas is not always possible, it is technically impossible to satisfy the energy needs by extending medium voltage networks, due to the huge distances involved. Sometimes it’s not profitable. To face the different electrical energy production problems due to the use of fossil fuels, we focus on renewable energies, these cheaper and improved sources are necessary for efficient and optimal functioning of installations. As they are safe and don’t represent a danger to humans and environment, they are more essential than ever. In view of the present energy challenges, multi-source electrical systems are the best suited and present a particularly growing interest. These resources must be mobilized and combined to meet energy requirements. In this work, we will do the sizing, modeling and simulation of a microgrid PV-DieselBatteries by proposing an energy management solution to meet the energy demand of a farm in isolated site.
2 Material and Method 2.1 Case Study A 6 hectares agricultural farm of market gardening “tomato, pepper, zucchini, etc.” located in Tipasa, Algeria. The geographical position is shown in Fig. 1 (Geographic coordinates: 36° 39 43.4” North, 2° 43 10.5” East).
Fig. 1. Geographical position of Tipasa on the map of Algeria (A), exact location of the agricultural farm in Tipasa.
352
S. N. Bachir et al.
2.2 Microgrid Sizing Before any studies of a system, the sizing of its components is a decisive step in order to ensure a good functioning even in unstable situations that’s why the choice of these components must be carried out according to a precise methodology. The photovoltaic system as a whole consists of a photovoltaic source, a direct currentdirect current (DC-DC) converter supposed to be perfect, it’s role is to power the directcurrent bus (DC Bus) [1] and also of an electrochemical storage device (lead acid batteries pack). In our case, we will use a diesel generator as a backup power source.
Fig. 2. Synoptic schema of the microgrid composed of photovoltaic source with energy storage device using diesel generator as a backup power source.
Figure 2 represents a synoptic schema of the microgrid to size. A micro-grid is defined as a local set of consumers and small producers of electrical energy, which can operate in on-grid mode or off-grid mode; For agricultural application in rural areas, off-grid mode is the best suited because the acces to medium voltage networks is not always possible. There are many ways allowing to generate electrical energy, they can be renewable (cheap and non-polluting) or non-renewable (using gas as fuel); In our study a photovoltaic source has been chosen because Tipasa is one of the regions with a very large solar field, hence it is important to exploit this energy resource. A lead acid battery is a kind of rechargeable battery that stores electrical energy by using chemical reactions between lead, water, and sulfuric acid [2]; It’s better to use it as an energy storage device because it is robust, reliable, and cheap to make and use. Diesel generator is used as a backup power source. The whole of microgrid components is connected to a DC bus that allows fewer transmission losses, several power generation sources are connected to the DC bus via appropriate electronic converters. In order to power the entire DC load, PV source is connected directly to the DC bus via a DC/DC converter and diesel generator is connected to this DC bus via AC/DC converter. 2.2.1 Photovoltaic Generator Sizing Irrigation is an operation that involves bringing complementary water to crops in case of lack or insufficiency of water, in arid regions or in a very dry climate, for crops that demand more water than they can find on site [3], that’s why the sizing of solar pumping system must be done before sizing the photovoltaic array.
Sizing, Modeling and Energy Flow Management
353
2.2.1.1 Solar Pumping System Sizing Hypotheses: – Total Manometric Head (HMT) is equal to 50 m. – Reference solar irradiation is 7.8 kWh/m2 /day. – Motor pump group yield is equal to 70%. Solar pumping system sizing is done with the following steps: Step 1: Determination of water requirements The water requirements of crops are measured in height of water expressed in mm. A rain of 1 mm corresponds to a height of water accumulated on a surface of 1 m2 (1 L of water): 1 mm = 1 l/m2 . The daily water needs of the market gardening are estimated at 7 mm per day the equivalent of 7 l/m2 . Daily water needs = water needs of one square meter. a
(1)
where: daily water needs are expressed in (m3 /day). water needs of 1 m2 are expressed in (mm or l/m2 ). a: area to irrigate (m2 ). Step 2: Calculation of hydraulic energy Hydraulic energy is calculated by the following equation: Eh = Ch . Q . HMT
(2)
where: E h : hydraulic energy (Wh/day). Q: water debit (m3 /day). HMT: Total Manometric Head (m). C h : hydraulic constant is given by the following equation: Ch = g .ϑ. k
(3)
where: g: gravitational acceleration constant (9,81 m/s2 ). ϑ: water density (1000 kg/m3 ). k: constant equals to (1/3600). Step 3: Calculation of electrical energy Calculation of electrical energy is given by Eq. 4: Eelec= Eh / ȵpump
where: E elec : electrical energy (Wh/day). őpump : motor pump group yield is equal to 70%. Step 4: Calculation of the power that must be generated by photovoltaic array
(4)
354
S. N. Bachir et al.
It is determined by electrical energy demand [4] using the following equation: Pmax = Eelec / Nsi .Cp (5) where: Pmax : maximum power generated by photovoltaic array (W). C p : losses coefficient, we take it equal to 1. N si : number of solar irradiation hours (h/day) is calculated as follows: Nsi = Gref /1000
(6)
Gref : reference solar irradiation expressed in (kWh/m2 /day). 2.2.1.2 Photovoltaic Array Sizing For this study, we consider the characteristics of solar panel Poly 250 Wp 60 cells – 30 V manufactured by GENERIC that are given in Table 1. At the maximum power point, the generated power value is 250 Wp. The electrical energy needs of the agricultural farm for each hour of the day are given in Table 2. Table 1. Characteristics of solar panel Poly 250 Wp 60 cells – 30 V. Characteristics
Values
Maximum power (Wp)
250
Open circuit voltage (V)
Table 2. Electrical energy needs of the agricultural farm for each hour of the day. Hour
Energy (kWh)
Hour
Energy (kWh)
37.4
0 am
2.8
12 pm
14
Short circuit current (A)
8.63
1 am
2
1 pm
15
Optimal voltage (V)
30
2 am
1.9
2 pm
15
Optimal current (A)
8.33
3 am
1.8
3 pm
14.2
Ideality factor
0.943
4 am
2.1
4 pm
7
Series resistance ()
0.265
5 am
3
5 pm
6.5
Shunt resistance ()
500
6 am
3.1
6 pm
4
1.627
7 am
3.8
7 pm
3.5
8 am
4.1
8 pm
3
Solar panel surface (m2 )
9 am
6
9 pm
3
10 am
13.7
10 pm
3
11 am
13.7
11 pm
2.9
Photovoltaic array sizing is done with the following steps: Step 1: Calculation of the daily electrical energy consumed Ec = Ei where:
0≤ i ≤ n
(7)
Sizing, Modeling and Energy Flow Management
355
E c : daily electrical energy consumed (Wh/day). E i : electrical energy needs of the agricultural farm for each hour of the day (Wh). n: hours of the day. Step 2: Calculation of the daily electrical energy produced Ep = Ec / k
(8)
where: E p : daily electrical energy produced (Wh/day). k: coefficient depending on different factors that are weather uncertainty, uncorrected source tilt following the season, loss of source yield over time (aging and dust), losses in cables and connections. Step 3: Calculation of the power that must be generated by photovoltaic array Pmax = Ep / Nsi
(9)
where: Pmax : maximum power generated by photovoltaic array (W). N si : number of solar irradiation hours (h/day). Step 4: Calculation of the solar panels number needed Ntot = Pmax / Ppanel
(10)
where: N tot : total number of solar panels needed. Ppanel : maximum power generated by the solar panel (W). Step 5: Calculation of the PV array surface Stot = Spanel . Ntot
(11)
where: S tot : total surface of the PV array (m2 ). S panel : surface of the solar panel (m2 ). 2.2.2 DC/DC Converter Sizing The calculation of the components inductance L and capacities (C1 = C2) is as follows: Ts = 1 / f
(12)
D = V0 / (Vin . ȵ )
(13)
I0 = (Vin . Iin ) /V0
(14)
IL = 10% I0
(15)
L = (Vin . DTs ) / 2 IL
(16)
356
S. N. Bachir et al.
V0 = 10% V
(17)
C = I0 . D Ts /V0
(18)
where: D: duty cycle. 2.2.3 Batteries Pack Sizing Hypotheses: – 1 day of autonomy. – Nominal voltage batteries pack equals to the optimal voltage of solar panels connected in series. – Depth of discharge is 90%. For this study, lead acid battery S12-290 manufactured by ROLLS is selected, its characteristics are given in the table below (Table 3): Table 3. Characteristics of lead acid battery S12-290. Characteristics
Values
Nominal capacity (Ah)
234
Nominal voltage (V)
12
Mass energy density (Wh/Kg)
8.63
Weight (Kg)
78.2
The sizing of batteries pack requires special attention in order to increase its lifetime and thereby to reduce the system overall cost. The nominal capacity of batteries pack is given as follows: CBP = EBP . AutBP /(VBP . DD )
(19)
where: C BP : nominal capacity of batteries pack (Ah). E BP : daily energy to store in the pack (Wh/j). Aut BP : days of autonomy. V BP : nominal voltage batteries pack (V). DD : depth of discharge (%). The number of batteries connected in series is given by the following equation: NBS = VBP . VB
(20)
Sizing, Modeling and Energy Flow Management
357
Table 4. Manufacturer specifications of solar panel Poly 250 Wp 60 cells - 30 V. Specifications
Values
Iscref (A)
8.63
Rs ()
0.265
Rsh ()
500
µsc (A/°K)
15.69e−6
n
0.943
K (J/°K)
1.38e−23
Ns
60
Eg (eV)
1.1
Vocs (V)
37.4
Voc (V/°C)
−0.137
Fig. 3. Equivalent electrical schema of one-diode solar cell.
where: N BS : number of batteries connected in series. V B : nominal voltage of the battery (V). The number of batteries connected in parallel is calculated as follows: NBP = CBP . CB
(21)
where: N BP : number of batteries connected in parallel. C B : nominal capacity of the battery (V). This equation gives the total number of necessary batteries in the pack: NBtot = NBS . NBP
(22)
where: N Btot : total number of the batteries in the pack. 2.3 Microgrid Modeling 2.3.1 Photovoltaic Generator Modeling In this part, we will model the solar panel Poly-250 Wc-60 cells manufactured by GENERIC in order to validate the model of one-diode solar cell built on Matlab Simulink, manufacturer specifications are given in Table 4: The model of one-diode solar cell model is characterized by its equivalent electrical schema which is shown in Fig. 3: The current source models the conversion of solar radiation into electrical energy, the resistance shunt Rsh represents the surface state at the cell periphery, Rs series resistance corresponds to the various contact and connection of a solar cell and parallel
358
S. N. Bachir et al.
diode models the PN junction. These two resistances represent the different losses in a solar cell. The mathematical model for solar panel using one-diode solar cell model [5] is given by the following equation: I = Iph − Ish − Id
(23)
where I: Current generated by the solar panel (A). I ph : represents the photocurrent. It depends on solar irradiation and the temperature, it is represented by the following equation: Iph =
G Iphref + μsc T − Tref Gref
(24)
G: Solar irradiation (W/m2 ). Gref : Solar irradiation under standard test conditions STC, we take it equals to 1000 W/m2 . μsc : Temperature coefficient of short circuit current (A/°K). T: Operating cell temperature (°K). T ref : Operating cell temperature under standard test conditions STC, it is equal to 298°K. Iphref is the photocurrent in standard test conditions, it is given by the following equation: Rs (25) Iphref = Iscref 1 + Rsh Iscref : Short circuit current in standard test conditions STC (A). The current I sh est is given by the following equation: Ish = (V + Rs .I )/Rsh
(26)
Rs : Series resistance (). Rsh : Parallel resistance (). The current Id is given as follows: q.(Rs.I +V ) Id = Isat e n.K.T .Ns − 1
(27)
q: Electron charge equals 1,6.10–19 C. n: Ideality factor of the solar cell. K: Boltzmann constant is equal to 1,38.10–23 J/°K. N s : Number of solar cells connected in series in the photovoltaic panel. I sat represents the diode saturation current [6], it is given by the following equation: ⎤ ⎡ T 3 q.Eg. Tref −1
n.K.T .Ns ⎥ ⎢ T (28) .e Isat = Isatref ⎣ ⎦ Tref
Sizing, Modeling and Energy Flow Management
359
E g : Gap energy of the semiconductor (eV). Isatref : Inverse current (saturation) of diode in standard test conditions STC (A) [7], it is expressed as follows: Iphref −
Isatref =
e
q.Voc n.K.Tref .Ns
Voc Rsh
.
(29)
−1
c is the open circuit voltage (V), it is expressed as follows: Voc = Vocs + T − Tref .Voc
(30)
ΔVoc: Temperature coefficient of open circuit voltage (V/°C). 2.3.2 DC/DC Converter Modeling Buck-Boost converter combines the properties of two configurations series und parallel, it can be used to transform any input voltage into any desired output voltage, its equivalent electrical schema is shown in Fig. 4.
Fig. 4. Equivalent electrical schema of series-parallel DC/DC converter.
The dynamic modeling of DC/DC converter is given by the following equations: iL =
1 dV (i − C1 . ) α dt
is = −(1 − α).iL − C2 . V =
dVs dt
1 diL .(−(1 − α)Vs + L. ) α dt
(31) (32) (33)
2.3.3 Battery Modeling Figure 5 represents the equivalent model of lead acid battery, it includes the equivalent components to the main operating characteristics of this battery [8]. Electrochemical capacity of the battery is represented by Cbp capacitor. The battery internal resistance is represented by the two resistors Rbs and Rb1 . Electrolyte resistance is Rbs while the resistance Rb1 represents the electrolyte diffusion.
360
S. N. Bachir et al.
Fig. 5. Equivalent model of lead acid battery.
Fig. 6. Microgrid structure.
The impedance equivalent to Fig. 5 is: Z(s) =
a2 s2 + a1 s + a0 b2 s2 + b1 s + b0
(34)
Coefficients ai et bj are used to represent the different components: a2 = Rbs .Rb1 .Rbp .Cb1 .Cbp = 4, 29.105 a1 = Rbs .Rb1 .Cb1 + Rbs .Rbp .Cbp + Rb1 .Rbp .Cbp + Rbp .Rb1 .Cb1 = 1, 318.108 a0 = Rbs + Rb1 + Rbp = 1, 0003.104 b2 = Rb1 .Rbp .Cb1 .Cbp = 330157100 b1 = Rb1 .Cb1 + Rbp .Cbp = 4, 6501.107 b0 = 1 where: Rbs = 0, 0013 , Rb1 = 2, 84 , Rbp = 10−3 , Cb1 = 2, 5 mF, Cbp = 4, 6515 KF it’s a model that describes well all components of an acid lead battery. The state of charge of the battery is given by the following expression: SOC = 1 −
Ibatt .t .100 C
(35)
2.4 Microgrid Energy Flow Management [9] The microgrid structure described in 2.2 is shown in Fig. 6: Figure 7 represents the organigram of energy flow management Load Following (LF) strategy for PV-Diesel-Batteries microgrid. Functioning of this system model may be classified as follows:
Sizing, Modeling and Energy Flow Management
361
• The first case is when the power generated by PV array is equal to the load. Here, the PV generator meets the load, batteries don’t charge, and the diesel generator remains off. In this case, no excess power exists. • The second case occurs when the power generated by PV array is greater than the load. The PV generator supplies the load with excess power. In this case, the excess power will be absorbed if the battery is fully charged. If the battery is not fully charged, the excess power generated by PV array is used to charge the battery. In this case, the diesel generator remains off. • The last case is when the power generated by PV array is less than the load. The two possible cases are as follows: • If the state of charge SOC = SOCmin , the diesel generator runs to supply the load. It provides only enough power to satisfy the net load without charging the battery. • If the SOC > SOCmin , the power generated by the two sources battery and PV generator is compared to the load; If this power is higher than the load, the battery works and provides only enough power to satisfy the net load power. If this power is less than the load, the battery works at its maximum; Moreover, the diesel generator starts to assist in meeting the net load.
Fig. 7. Organigram of energy flow management Load Following (LF) strategy for PV-DieselBatteries microgrid.
362
S. N. Bachir et al.
3 Result and Discussion 3.1 Microgrid Sizing Table 5 represents sizing calculations of the solar pumping system, the aim of this solar pumping system sizing is the determination of maximum electrical power that must be generated by photovoltaic array for irrigating 6 hectares market gardening in order to estimate electrical energy needs of the agricultural farm that are irrigating and supplying domestic electrical equipments of the farmer’s house for each hour of the day, they are given in Table 2 and represented in Fig. 8. Table 6 represents sizing calculations of photovoltaic generator using the method described in 2.2.1.2. To obtain 27 kW, the 108 solar panels will be connected as follows: 4 branches consisted of 27 solar panels Poly 250 Wp 60 cells – 30 V manufactured by GENERIC in series configration. Table 5. Results of solar pumping system sizing. Results
Values
Water requirements (m3 )
420
Hydraulic energy (kWh/day)
57.225
Electrical energy (kWh/day)
81.750
Maximum PV power generated (kW)
10.5
Table 6. Results of photovoltaic generator sizing. Results
Values
Daily electrical energy consumed (kWh/day)
149.1
Daily electrical energy produced (kWh/day)
210
Maximum PV power generated (kW)
27
Number of solar panels
108
PV array surface (m2 )
176
To meet energy requirements, the photovoltaic generator must provide a voltage of 1009.8 V (27 panels in series). In this case, the use of a DC/DC converter of type Buck allows to diminish voltage of PV source to the value of DC Bus voltage which is 810 V. Using the method described in 2.2.2 and the following values obtained from PV generator sizing that are: Vin = 1009, 8 kV
Iin = 34, 52 A
Vo = 810 V
f = 20 kHz
η = 90%
We obtain the following results for the DC/DC converter sizing: L = 0, 0052 H and C = C1 = C2 = 2, 3 825e − 5F For the other parts of this study, a 15 kW diesel generator is chosen as a backup source. Table 7 represents sizing calculations of batteries pack. To obtain 205 Ah, the 68 batteries will be connected as follows: one branche consisted of 68 batteries lead acid battery S12-90 manufactured by ROLLS in series configration.
Sizing, Modeling and Energy Flow Management
363
Table 7. Results of batteries pack sizing. Results
Values
Nominal capacity of batteries pack (Ah)
205
Number of batteries connected in series
68
Number of batteries connected in parallel
1
Total number of batteries
68
Voltage of batteries pack
816
Batteries pack weight (Kg)
5181.6
Fig. 8. Daily load profile.
Figure 8 represents the daily load profile, the site chosen as a case study is located in Tipasa and has no access to the electric grid; In this situation, PV-Diesel-Batteries microgrid is the best suited. The energy requirements are the irrigation of an agricultural farm using pumps as well as the domestic electrical equipment of the farmer’s house supply. 3.2 Microgrid Modeling In this part, only one solar panel Poly-250 Wc-60 cells manufactured by GENERIC is modelled under various solar irradiation values in order to validate the model of one-diode solar cell built on Matlab Simulink. At the maximum power point under STC conditions the results were: Pm = 250 W; Vm = 30,76 V; Im = 8,13 A. This model is validated because the results obtained from this modeling are the same as the manufacturer’s characteristics. Figure 9 shows the model of PV-Diesel-Batteries microgrid model built on Matlab Simulink under real measurements of solar irradiance and temperature in the agricultural farm according to the equations given in 2.3.
364
S. N. Bachir et al.
Fig. 9. PV-Diesel-Batteries microgrid model built on Matlab Simulink under real measurements of solar irradiance and temperature in the agricultural farm.
3.3 Microgrid Energy Flow Management Scenario 1 Figure 10 represents solar irradiation and temperature of a defavorable day in the agricultural farm situated in Tipasa, measurements were taken every minute during the 24 h of the day, solar irradiation reaches its maximum value that is equal to 685 W/m2 at 1 pm and the maximum value of temperature reched is 41 °C.
Fig. 10. Solar irradiation and temperature of a defavorable day.
Figure 11 shows the contribution of each source under solar irradiation and temperature of a defavorable day to supply the load using Load Following strategy for energy management, when the power of PV generator is equal to the load. Here, the power of
Sizing, Modeling and Energy Flow Management
365
Fig. 11. Generated power by different sources in order to supply the load in a defavorable day.
Fig. 12. Solar irradiation and temperature of a favorable day.
the PV generator meets the demand. When the power of the PV generator is greater than the power of the charge, the PV generator supplies the load with the presence of an excess power if the battery is not fully charged, the excess power of the PV generator is used to charge the battery. When the battery reaches the SOCmin the diesel generator works to power the charge and if the latter is higher than the minimum value, the power generated by the two sources PV generator and battery iscompared to the charge; In case this power is higher than the power of the charge, the battery works and provides only enough power to satisfy the net load. In case this power is less than the power of the
366
S. N. Bachir et al.
load, the battery works at its maximum; moreover, the diesel generator starts to satisfy the net charge. Scenario 2 Figure 12 represents solar irradiation and temperature of a favorable day in the agricultural farm situated in Tipasa, measurements were taken every minute during the 24 h of the day, solar irradiation reaches its maximum value that is equal to 997 W/m2 at 1 pm and the maximum value of temperature reched is 36 °C.
Fig. 13. Generated power by different sources in order to supply the load in a favorable day.
Figure 13 shows the contribution of each source under solar irradiation and temperature of a favorable day to supply the load using Load Following strategy for energy management, the result of this scenario differs from that of scenario 1 from 10 pm to 00 am when the batteries deliver enough power to supply the load; This is due to the power generated by the PV array, which allowed the batteries to be charged enough to minimize the use of the diesel generator.
4 Conclusion This work allowed sizing, modeling and simulating a PV-Diesel-Batteries microgrid by proposing an energy management solution to meet the energy demand of a farm in isolated site. The agricultural farm of market gardening is located in Tipasa, the energy demand is mainly for irrigating 6 hectares market gardening and also for supplying the domestic electrical equipments of the farmer’s House. Microgrid sizing requires a clear vision of the microgrid environment: on one hand, it depends on the geographical location site and mainly on two factors that are: solar irradiance, temperature. On the other hand, it is necessary to have good electrical energy needs estimation. Calculation of daily electrical energy consumed was made by the addition of electrical energy needs
Sizing, Modeling and Energy Flow Management
367
for each hour of the day, which made it possible to determine the power that must be generated by photovoltaic array compared to a daily solar energy received. Hence the sizing of photovoltaic generator, namely: the number of solar panels and their configuration, as well as the sizing of DC/DC converter and batteries pack. In general, this study provided the necessary elements for a photovoltaic system sizing. Mathematical models of the various microgrid essential components were modeled using Matlab Simulink, the model of one-diode solar cell was validated for a chosen solar panel from PVsyst library under standard test conditions before building the PV array and introducing real measurements of solar irradiance and temperature as parameters. The energy management Load Following strategy proposed aimed to minimize the use of diesel generator by firstly promoting the power produced by photovoltaic generator then the one by the batteries and using diesel generator only when these two sources are insufficient to meet the electrical energy needs, this strategy was functional based on the power generated by different sources in order to power the entire load during the day under various meteorological conditions. The microgrid studied with Load Following strategy for its energy management is easy to implement, it may be the basis for further studies and particularly introducing artificial intelligence in renewable energetic systems.
References 1. Bouarroudj, N., Boukhetala, D., Benlahbib, B., Batoun, B.: Sliding mode control based on fractional order calculus for DC-DC converters. Int. J. Math. Mod. Computations 05(04(FALL)), 319–333 (2015) 2. Mtshali, T.R., Coppez, G., Chowdhury, S., Chowdhury, S.P.: Simulation and Modelling of PV-Wind-Battery Hybrid Power System (2011) 3. Abu-Aligah, M.: Design of photovoltaic water pumping system and compare it with diesel powered pump. Jordan. J. Mech. Indus. Eng 5(3), 273–280 (2011) 4. Tasghat, F., Bensenouci, A., Fathi, M., Belkhiri, Y.: PVsyst sizing of a PV system for a water supply of an agricultural farm in an isolated area using pivot technique. In: Hatti, M. (ed.) IC-AIRES 2021. LNNS, vol. 361, pp. 193–200. Springer, Cham (2022). https://doi.org/10. 1007/978-3-030-92038-8_19 5. King, D.L.: Photovoltaic module and array performance characterization methods for all system operating conditions. In: Proceeding of NREL/SNL Photovoltaic Program Review Meeting, Lakewood, Colorado, November 18–22 (2000) 6. Khezzar, R., Zereget, M., Khezzar, A.: Comparaison between the different electrical models and determination of I-V characteristics parameters of a photovoltaic panel. J. Renew. Energies 13(3), 379–388 (2010) 7. Nguyen, X.H., Nguyen, M.P.: Mathematical modeling of photovoltaic cell/module/arrays with tags in matlab/simulink. Environ. Syst. Res. 4, 1–13 (2015) 8. Manwell, J., McGowan, J.: Lead acid battery storage model for hybrid energy systems. Sol Energy 50(399), 399–405 (1993) 9. Zereg, H., Bouzgou, H.: Multi-objective optimization of stand-alone hybrid renewable energy system for rural electrification in Algeria. In: Hatti, M. (ed.) IC-AIRES 2021. LNNS, vol. 361, pp. 21–33. Springer, Cham (2022). https://doi.org/10.1007/978-3-030-92038-8_3
Machine Learning-Based Techniques for False Data Injection Attacks Detection in Smart Grid: A Review Benras Mohamed Tahar1(B) , Sid Mohamed Amine2 , and Oussama Hachana3 1 Electric Engineering Laboratory, University of Kasdi Merbah, Ouargla, Algeria
[email protected]
2 Mechatronics Laboratory (LMETR), Institute Ferhat Abbas Setif 1, Setif, Algeria
[email protected] 3 Drilling and Oil Mechanics, Kasdi Merbah University of Ouargla, Ouargla, Algeria
Abstract. Smart grids (SG) provide new technological solutions for optimal energy utilization and management. Along with its significance and status as a cyber-physical system (CPS), it is vulnerable to a variety of cyber-security risks. The greatest threat to smart grid security is a False Data Injection (FDI) Attack. To efficiently detect this threat, numerous machine learning-based algorithms have been proposed in the literature. This article presents the most up-to-date machine learning-based techniques and methods for bogus data injection detection. The article begins with an overview of the smart grid and a brief history of cyberattacks on smart grids, followed by a discussion of security needs and a taxonomy of false data injection depending on delivery mode. Finally, we discuss the research that has been performed in the detection of false data injection attacks, which have been categorized according to the used learning approach. Keywords: Smart grid · Cyber security · Machine learning · False data injection attack · Deep learning
1 Introduction According to the connectivity nature of electrical systems with telecommunication networks in one system, the smart grid is considered one of the biggest technologies for cyber-physical systems [1]. In fact, SCADA systems, smart meters, advanced metering infrastructure (AMI), advanced distribution management (ADM), and other smart systems are included in smart grids to regulate power management. The Smart meters are in charge of collecting consumption data and transmitting it to service provider data centers via IP-based systems [2]. The sensitivity of the transmitted information, such as the quantity of power consumed, customer information, and so on, provides the opportunity for cyber-criminals to disrupt systems and benefit from any grid vulnerabilities. Because of the vulnerability of smart grids, cyber-security professionals and researchers are investigating this field in order to increase cyber security and develop bad data detection systems. Several projects are underway in this sector seeking the enhancement of © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 368–376, 2023. https://doi.org/10.1007/978-3-031-21216-1_39
Machine Learning-Based Techniques
369
manipulated or false data detection systems [3]. Artificial intelligence, or more precisely, machine learning-based approaches are getting particular interest in the last decade [4]. In this paper, we present a summary of the most recent approaches and techniques for detecting FDI attacks using machine learning. The rest of the paper, paper is organized as follows: The second section introduces current attacks in the energy sector around the world. The third section introduces a basic explanation of the smart grid and its design, as well as the security of a smart grid and its requirements. Then, based on the mode of delivery, we categorize cyber-attacks. We explain the many consequences of a cyberattack on a smart grid. We demonstrate False data injection attacks in smart grids and categorize them based on learning methods in the fourth part, and we highlight new work in the field.
2 History of Cyber-Attacks in the Energy Sector To better assess the dangers posed by cyber-attacks on vital infrastructure, we’ll look at some of the most high-profile cyber-attacks that have occurred in recent years around the world. In 2003, a well-known Slammer worm infiltrated the David-Besse nuclear power station in Ohio, USA. The worm gained access to the plant network, Due to this intrusion, the safety parameters, and indicator systems were disabled for 5 h [5]. In 2007, the US Department of Homeland Security conducted an “Aurora” cyberattack. During this attack, a hacker obtained access to a test generator’s control system, causing desynchronization in the power network and the explosion of $1 million generators [5]. In 2008, a tram system hack in Lodz, Poland, resulted in the injuries of a dozen passengers, making it the first cyber-kinetic attack to cause human injury [5]. Texas Power Company has been hacked. In 2009, a Texas Power Company employee who had recently been fired hacked the company’s network to disable power forecasting systems. He used logins that had not yet been disabled. The Stuxnet computer virus was used to target an Iranian nuclear power plant. A worm thought to have been produced by the US and Israeli governments to target Iranian uranium enrichment devices caused significant damage to Iran’s nuclear program in 2009 by destroying uranium enrichment centrifuges at an Iranian nuclear plant [5]. In December 2015, hackers used the BlackEnergy malware to compromise Ukraine’s electrical grid’s supervisory control and data acquisition (SCADA) system. This caused a massive blackout that impacted over 700,000 people for several hours [5, 6]. Attack on a smart building in Lappeenranta, Finland in the heart of the Finnish winter in 2016, a targeted DDoS assault knocked out heat and hot water in two residential buildings in Finland. Cyberattack on a petrochemical factory in Saudi Arabia in August 2017 [5, 6], a failed cyber attack on a Saudi Arabian petrochemical factory was meant to impair the plant’s operations and produce an explosion that could have killed people. Fortunately, a glitch in the attackers’ computer programming stopped the explosion from taking place.
370
B. M. Tahar et al.
Disrupted power control and many small electrical plants in the United States in September 2019 [7]. Hackers’ abilities have increased with time, yet the majority of the world’s vital infrastructure systems continue to rely on antiquated technology, leaving them vulnerable to even the most basic kinds of cyber-attack. The growing interconnectedness of infrastructure, as well as the recent spike in ransomware assaults throughout the world, are both reasons for alarm. Because there are already over 6.4 billion IoT devices in operation, with the number expected to climb to about 50 billion in the future years, the situation can only become worse. These attacks on infrastructure are expected to grow more widespread in the future.
3 Cyber Security in Smart Grids Whereas smart grid technologies are providing several benefits, the usage of networked connections within these devices poses security threats. The smart grid’s integration of digital and information technologies, as well as the system’s increased complexity, raises the risk of cyber assaults and malfunctions spreading from one system to another. As a result, cyber-security in the smart grid poses several issues. The complexity of simulating the numerous forms of cyber assaults that might infect the system is one example [8] in this subsection We’ll go, through the smart grid design and security needs. 3.1 Smart Grid Architecture The concept of upgrading a power infrastructure by integrating electrical and information technology between any point of generation and any point of consumption is known as a smart grid. The convergence of two interdependent layers (i.e., cyber and physical systems) that are connected and create a cyber-physical ecosystem is what Smart Grid is all about. There are 4 stages to smart grid applications. Generation, transmission, distribution, and consumption. Geothermal heat, flowing water, solar radiation, wind, hydro plants, chemical combustion, and nuclear fission are all examples of energy. The process of creating electricity from various forms of energy is known as electricity generation. The transmission system connects the bulk generating system to the distribution system, which carries power across long distances [6, 9]. A smart grid is made up of a vast number of devices that are all linked together. Information data and operational data are the two forms of data that are transferred across the smart grid. The electricity bill, trending, logging, tagging, historical reporting of geographical locations, customers’ information, and emails are all examples of information data. Real-time current and voltage values, transformer tap changers, capacitor banks, transformer feeder current loads, fault locations, relay status, and circuit breaker status are examples of operational data. To safeguard smart grid systems from any vulnerability or threat that might result in a blackout, operational data requires a high level of security [10]. The key cyber-physical features of the Smart Grid are covered in this section.
Machine Learning-Based Techniques
371
The following are three major architectures. SCADA (supervisory control and data acquisition networks), AMI (advanced metering infrastructure), and WAMS (wide-area measurement systems) [4]. • Supervisory Control and Data Acquisition (SCADA) The power distribution network is controlled and monitored in real-time using supervisory control and data acquisition (SCADA). It can assist guarantee the reliability of the power supply while also lowering the network’s maintenance and operating expenses through decentralized automated management and remote control in medium voltage substations. SCADA subsystems include the distribution management system (DMS) and the energy management system (EMS) [4, 10]. • Advanced Metering Infrastructure (AMI) The Advanced Metering Infrastructure (AMI) collects, analyzes, stores, and transmits metering data from smart meters to utility company computers for invoicing, outage management, and demand forecasting. Smart metering is another name for AMI. The primary components of AMI are the Home Area Network (HAN), smart meter, operational gateway, and meter data management system [4, 10]. • Wide-Area Measuring System (WAMS) To run energy systems over a larger geographical region, a wide-area measuring system (WAMS) is designed. WAMS can view all of the system’s data in one location at the same time. WAMS synchronizes from the phasor measurement units (PMUs) and provides real-time data from the essential nodes to the central authority via a GPS-based satellite signal [4, 10]. The following figure (Fig. 1) illustrate a smart grid exposed to a False Data Injection Attack.
Fig. 1. False data injection attack in smart grid
372
B. M. Tahar et al.
3.2 Impact of False Data Injection Attacks False Data Injection Attacks on the smart grid have a significant impact on many parts of the electricity system, including the economic side. For example, if an attacker successfully executes an FDIA in a power system, it will cause a protracted power outage, causing major damage to other businesses that rely on the supply of electricity [5]. Electricity theft is another example of the financial damage that FDI attacks may cause by manipulating the data that smart meters provide to power providers’ stations and presenting misleading information about actual electricity use levels. Even though service providers are attempting to strengthen security assessment techniques, one of the worst consequences of FDI attacks is a detrimental impact on the power system’s secure operation and stability. Static and dynamic security evaluations are commonly used to assure the secure operation, system design, and stability of the power grid [6]. Customer data security and privacy are other areas of the smart grid that are subject to FDI attacks. This might occur if attackers overcome smart meters’ cryptographic features, obtain access to user data, and modify it, resulting in data falsification or even the utility center’s integrity being compromised [6]. Researchers in the field are interested in the many ways of cyber-attacks, where there is a significant number of studies regarding potential solutions to reduce the impacts of FDI assaults, by creating and developing algorithms that work on detecting FDI attacks.
4 False Data Attacks Detection Using Machine Learning Machine learning (ML) is a broad discipline within artificial intelligence. We can use ML to train machines to do complex tasks such as cyber security in smart grids. However, being a data-driven approach implies a high reliance on historical data from the system under test to allow machine learning. The detection techniques based on machine learning include supervised, unsupervised, and reinforcement learning methods. ML has contributed to improved cyber-security in recent years by implementing numerous methods. Researchers have developed many methods for detecting FDIA by using the characteristics of ML algorithms. ML algorithms categorization is usually dependent on the learning methods. We will discuss ML types and recent research findings in the following subsections. 4.1 Supervised Machine Learning-Based Algorithms The supervised machine learning algorithms require outside intervention. The input dataset is separated into train and test datasets. The output variable in the training dataset has to be predicted or categorized. All algorithms discover patterns from training data and apply them to test data for prediction or classification. The work of [11] describes a machine learning-based data-driven strategy for detecting stealthy fake data injection assaults on state estimation. Ensemble learning is employed in this approach, in which several classifiers are used and individual classifier decisions are further categorized. This technique employs two ensembles, one using supervised classifiers and the other using unsupervised classifiers. The performance of both supervised individual
Machine Learning-Based Techniques
373
and ensemble models is equivalent, according to the results. Ensembles, on the other hand, outperformed individual classifiers for unsupervised models. In [12], the authors suggest using adversarial machine learning to create targeted and covert fake data injection assaults. a parallel optimization approach was suggested for efficiently designing additional assaults with lower attack costs. The success rate of two-state sparse assaults with small-scale targets is as high as 80%, according to experimental data. Furthermore, as the number of attack states grows, the attack success rate may continue to rise. 4.2 Unsupervisedsed Machine Learning Algorithms Unsupervised learning is the process of presenting unlabeled data to a machine for it to discover hidden categorization schemes and patterns. Thus, the machine’s task is to partition the data points into classes based on the hidden properties of the data points. Several unsupervised learning methods have been used in identifying FDIA in smart grids. Several unsupervised learning algorithms have been applied in smart grids to identify FDIA. Many research in the area have been proposed in [13] suggested an unsupervised technique for detecting CDIAs in SG communications networks based on a realistic situation of a non-labeled, historical SE MF dataset in a power network’s PCC. The suggested technique is based on the iForest algorithm, which is currently the most advanced algorithm available. To deal with the rising complexity of power systems, we use a PCA-based FE mechanism to convert a high-dimensional space into a low-dimensional space where data points can be separated easily without sacrificing accuracy. The simulation findings demonstrate that the suggested approach is capable of managing non-labeled. The simulation results suggest that the proposed approach is capable of dealing with non-labeled historical measurement datasets and improves attack detection accuracy significantly. 4.3 Reinforcement Learning-Based Algorithms In this sort of learning, the computer attempts to learn the best course of action to pursue based on prior actions. Unlike supervised learning, which uses data from samples to train, reinforcement learning learns via trial and error. As a result, a series of beneficial decisions will result in the process being “reinforced” since it solves the problem well. Some works were performed for this kind of detecting algorithm, A POMDP problem is presented as an online cyber-attack detection problem in [14], and a solution based on model-free RL for POMDPs is provided. The numerical simulations demonstrate the benefits of the proposed detection technique in detecting cyber-attacks on the smart grid quickly and reliably. The findings also show that RL algorithms have a lot of potential for tackling complicated cyber-security concerns. 4.4 Deep Learning-Based Algorithms Deep learning (DL) is a subset of machine learning that utilizes multilayer transformations to conduct representation learning, providing higher accuracy for detection and prediction problems. In cybersecurity, DL-based defensive systems, in particular, are
374
B. M. Tahar et al.
being used to simplify the detection of cyber-attacks while improving and strengthening their capabilities over time [15] proposes a deep learning-based approach for identifying inserted data measurement. In time-series anomaly detection, a Convolutional Neural Network (CNN) and a Long Short-Term Memory (LSTM) network are utilized. Experiments show that deep learning can find anomalies that traditional state estimate and poor data and detection cannot. Authors in [16] introduced a Deep Learning (DL)based approach for identifying stealthy FDI assaults on the SE of the power system. The DL approach’s performance is compared to three frequently used machine learning algorithms: gradient boosting machines (GBM), generalized linear modeling (GLM), and distributed random forests (DRF). Each program investigates a dataset simulating the IEEE 14-bus system. The results demonstrate that these algorithms are capable of detecting covert FDI attacks on the smart grid with high accuracy and precision, with the DL-based solution outperforming the others. The authors of [7] present a comprehensive review of deep learning’s potential applications across a wide spectrum of security challenges. The fundamentals of common deep learning architectures used in cybersecurity applications were covered. Emerging deep learning issues, as well as an overview of crucial resources such as the generic framework and pertinent datasets, were emphasized. Traditional bad data detection (BDD) techniques are unable to recognize the fake data injection (FDI) assault, thus authors of [17] propose an extremely randomized trees algorithm to handle the problem. Because it outperforms other methods like support vector machine (SVM), random forest, and k-nearest neighbor in terms of accuracy and speed (KNN). It is self-evident that as the system size grows, so does the computational complexity. To deal with the dimensionality problem, a stacked autoencoder is used with a very randomized trees classifier. The authors of [1] present an intelligent attack detection and identification model based on an ensemble of machine learning approaches that can categorize the assault-type in the physical layer. Furthermore, the suggested approach pinpoints the attack or defect to certain system characteristics or measurements, assisting cyber-security experts in reducing the assault’s impact on communication networks. The suggested model is compared against classic machine learning classifiers using a smart grid dataset simulated. By separating the data and assessing the correlation of the localization metrics obtained by the proposed model, the localization of assaults and defects is tested. When compared to peer techniques, the findings show that the suggested method is more effective at identifying and localizing attacks. The authors of [2] suggest a semi-supervised AAE-based approach for recognizing FDIAs in smart distribution systems. The proposed method utilizes a cutting-edge state-of-the-art GAN architecture to successfully identify unobservable FDIAs, bypassing the traditional BDD method in the event of only a small amount of labeled measurement data. When compared to existing semi-supervised learning approaches, the suggested strategy delivers a high and robust detection accuracy due to the powerful coupling of autoencoders and GAN. The proposed approach is entirely data-driven, with no dependence on specialist estimation techniques or system knowledge. Numerical simulations have proven the detection performance of this approach. The smart grid study provided in [18] illustrates the necessity for false data detection to assess the potential and physical harm caused by FDCA. The suggested agent-based model’s physical features improved the dependability of smart grids, and the developed framework was validated using a cyber-attack
Machine Learning-Based Techniques
375
replay. The agent-based method reinvents the FDDCA problem in smart grids and offers a superior solution to those now available. According to this study, the AFN’s assault boosted dependability more than the FDDCA machine learning. The following table summarizes the papers that have been studied (Table 1). Table 1. Summary of false data injection detection algorithms Learning method
Algorithm
Ref
Year
Supervised learning
Adversarial machine learning Ensemble-based ML
[12] [11]
2022 2020
Unsupervised learning
iForest Ensemble-based ML
[13] [11]
2019 2020
Reinforcement learning
RL for POMDPs
[14]
2018
Deep learning
CNN and LSTM DL DL DL, SVM & KNN Ensemble DL GAN DL
[15] [16] [7] [17] [1] [2] [18]
2019 2018 2022 2022 2021 2021 2021
5 Conclusion The most crucial aspect of the smart grid’s growth is cybersecurity. The smart grid is most vulnerable to false data injection attacks. The most recent experiments on detecting bogus data injection attacks using machine learning-based techniques are presented in this publication. We discussed the most recent machine learning-based approaches and strategies for detecting fraudulent data injection in this paper. The results of examined research show that the deep learning-based detection algorithms have more accuracy and ability to detect false data injection attacks compared with supervised and unsupervised learning-based algorithms. According to these features, deep learning-based technics have received more attention in the detection of false data injections last few years. There are more future directions that should be followed: • Increasing detection time to ensure that the FDIA causes the least amount of damage. • Robustness to help ensure the least amount near misses possible. • High sensitivity to detect even the smallest FDIA.
References 1. Sakhnini, J., Karimipour, H., Dehghantanha, A., Parizi, R.M.: Physical layer attack identification and localization in the cyber-physical grid: an ensemble deep learning-based approach. Phys. Commun. 47, 101394 (2021). https://doi.org/10.1016/j.phycom.2021.101394
376
B. M. Tahar et al.
2. Zhang, Y., Wang, J., Chen, B.: Detecting false data injection attacks in smart grids: a semisupervised deep learning approach. IEEE Trans. Smart Grid 12(1), 623–634 (2021). https:// doi.org/10.1109/TSG.2020.3010510 3. Kimani, K., Oduol, V., Langat, K.: Cyber security challenges for IoT-based smart grid networks. Int. J. Crit. Infrastruct. Prot. 25, 36–49 (2019). https://doi.org/10.1016/j.ijcip.2019. 01.001 4. Cui, L., Qu, Y., Gao, L., Xie, G., Yu, S.: Detecting false data attacks using machine learning techniques in smart grid: a survey. J. Netw. Comput. Appl. 170, 102808 (2020). https://doi. org/10.1016/j.jnca.2020.102808 5. Musleh, A.S., Chen, G., Dong, Z.Y.: A survey on the detection algorithms for false data injection attacks in smart grids. IEEE Trans. Smart Grid 11(3), 2218–2234 (2020). https:// doi.org/10.1109/TSG.2019.2949998 6. Reda, H.T., Anwar, A., Mahmood, A.: Comprehensive survey and taxonomies of false injection attacks in smart grid: attack models, targets, and impacts. Renew. Sustain. Energy Rev. 163, 112423 (2021). https://doi.org/10.1016/j.rser.2022.112423 7. Macas, M., Wu, C., Fuertes, W.: Survey paper a survey on deep learning for cybersecurity: progress, challenges, and opportunities. Comput. Netw. 212, 109032 (2022). https://doi.org/ 10.1016/j.comnet.2022.109032 8. Sakhnini, J.: Security of Smart Cyber-Physical Grids: A Deep Learning Approach by (2020) 9. Kotut, L., Wahsheh, L.A.: Survey of cyber security challenges and solutions in smart grids. In: Proceedings of the 2016 Cybersecurity Symposium CYBERSEC 2016, pp. 32–37 (2016). https://doi.org/10.1109/CYBERSEC.2016.013 10. Gunduz, M.Z., Das, R.: Cyber-security on smart grid: threats and potential solutions. Comput. Netw. 169, 107094 (2020). https://doi.org/10.1016/j.comnet.2019.107094 11. Ashrafuzzaman, M., Das, S., Chakhchoukh, Y., Shiva, S., Sheldon, F.T.: Detecting stealthy false data injection attacks in the smart grid using ensemble-based machine learning. Comput. Secur. 97, 101994 (2020). https://doi.org/10.1016/j.cose.2020.101994 12. Tian, J., Wang, B., Li, J., Wang, Z., Ma, B., Ozay, M.: Exploring targeted and stealthy false data injection attacks via adversarial machine learning. IEEE Internet Things J. 4662, 1–10 (2022). https://doi.org/10.1109/JIOT.2022.3147040 13. Ahmed, S., Lee, Y., Hyun, S.H., Koo, I.: Unsupervised machine learning-based detection of covert data integrity assault in smart grid networks utilizing isolation forest. IEEE Trans. Inf. Forensics Secur. 14(10), 2765–2777 (2019). https://doi.org/10.1109/TIFS.2019.2902822 14. Kurt, M.N., Ogundijo, O., Li, C., Wang, X.: Online cyber-attack detection in smart grid: a reinforcement learning approach. IEEE Trans. Smart Grid 10(5), 5174–5185 (2018). https:// doi.org/10.1109/TSG.2018.2878570 15. Niu, X., Li, J., Sun, J., Tomsovic, K.: Dynamic detection of false data injection attack in smart grid using deep learning. In: 2019 IEEE Power Energy Society Innovative Smart Grid Technologies Conference, ISGT 2019, pp. 8–13 (2019). https://doi.org/10.1109/ISGT.2019. 8791598 16. Ashrafuzzaman, M., Chakhchoukh, Y., Jillepalli, A.A.: Detecting stealthy false data injection attacks in power grids using deep learning, pp. 219–225 (2018) 17. Majidi, S.H., Hadayeghparast, S., Karimipour, H.: FDI attack detection using extra trees algorithm and deep learning algorithm-autoencoder in smart grid. Int. J. Crit. Infrastruct. Prot. 37, 100508 (2022). https://doi.org/10.1016/j.ijcip.2022.100508 18. Sengan, S., Subramaniyaswamy, V., Indragandhi, V., Velayutham, P., Ravi, L.: Detection of false data cyber-attacks for the assessment of security in smart grid using deep learning. Comput. Electr. Eng. (2021). https://linkinghub.elsevier.com/retrieve/pii/S00457906210 02068. Accessed 23 June 2021
Artificial Intelligence in Renewable Energy
Pervasive System in Smart Houses Mokhtaria Derkaoui(B) , Mansour Abou Chemala, and Hadj Meridja LARATIC Laboratory, National Upper School of Telecommunications and ICT (ENSTTIC-Oran), Sénia, Algeria [email protected]
Abstract. The presented study provides an overview on the Internet of Things and how it can be implemented in a futuristic smart house via pervasive system, using various sensors to collect data, and a number of actuators to perform the required actions. All the components are controlled via android mobile application programmed with Kotlin language, used by the client while connected to the internet, specifically to the Firebase data store, and for the node MCU to be able to figure out the decisions needed to be made in case of connectivity loss. Keywords: Android · Firebase · IoT · Node MCU · Pervasive system
1 Introduction Internet of Things “IoT” is a recent technology that creates a global network of machines and devices able of communicating and exchanging data with each other through the internet [1–3]. The smart house is one of the main applications that use the Internet of Things infrastructure to connect several sensors. Smart house provides security, energy efficiency, low operating costs and convenience. Pervasive computing is an emerging trend associated with embedding microprocessors in day-to-day objects, allowing them to exchange information [4, 5]. The term pervasive signify "existing everywhere" which refers to a new type of computing in which the computer completely permeates the life of the user. The idea behind pervasive system is for the microprocessor to supply services or information to a user without the user having to think about it [6, 7]. In this way, the user is not even obliged to be connected the entire time.
2 General Description of a Proposed Package A proposed hybrid edutainment kit in this paper, works towards to the enhancement of interactive learning methods, the figure below shows synoptic of our IoT device (Fig. 1).
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 379–383, 2023. https://doi.org/10.1007/978-3-031-21216-1_40
380
M. Derkaoui et al.
Fig. 1. IoT device architecture
2.1 Hardware Implementation We use an IoT application development platform called Fritzing to describe how the simulation mechanism can be built into this IoT platform. We first elaborate on how to implement the simulator for an input IoT device (a sensor), then we describe how an output IoT device (an actuator) can be simulated by an animated simulator (Fig. 2).
Fig. 2. Schematic of full circuit.
• Node Mcu V3 (Micro Controller ESP8266): is a self-contained Wi-Fi networking solution offering as a bridge from existing micro controller to Wi-Fi and is also capable of running self-contained applications; • Sensors: infrared motion sensor PIR (SR-501), gas sensor (MQ-2), humidity and temperature sensor (DHT11), LDR (Light Dependent Resistor) • Actuators: Buzzer, heater, fan, electric door, light
Pervasive System in Smart Houses
381
All the components are used for the development of an efficient system based on collecting, processing, and transmitting data. The source codes is created using Arduino interface (Fig. 3).
Fig. 3. Source code on Arduino
2.2 Software Implementation To configure the application, we use Android studio that is an integrated development environment (IDE). We configure firebase plugin and wire it to the database. Firebase is a mobile and web application development platform, it replaces a whole server. Then, we create the main interface of the application with the visual editor (Fig. 4).
382
M. Derkaoui et al.
Fig. 4. (a) Main interface XML, (b) Creation object of the main interface of the application in Android studio
3 Project Implementation Following figures show the schematic prototype (Fig. 5) and the screen pic of application from the phone (Fig. 6).
Fig. 5. Schematic of a prototype
Pervasive System in Smart Houses
383
Fig. 6. (a) Main page in the application, (b) Settings page in the application
4 Conclusion Our project can be classified as a modern solution in order to create an efficient and a time saving environment with endless possibilities, from adding a variety amount of sensors and different actuators such as magnetic field calculators, irrigation systems, to develop more features within the application itself.
References 1. Nam, T., Pardo, T.A.: Conceptualizing smart city with dimensions of technology, people, and institutions. In: Proceedings of the 12th Annual International Digital Government Research Conference: Digital Government Innovation in Challenging Times, pp. 282–291 (2011) 2. Bano, M., Zowghia, D., Kearney, M., Schuck, S.: Mobile learning for science and mathematics school education: A systematic review of empirical evidence. Comput. Educ. 121, 30–58 (2018) 3. Sundmaeker, H., Guillemin, P., Friess, P.: Vision and Challenges for Realising the Internet of Things. European Commission (2010) 4. International Telecommunications Union: ITU Internet Reports 2005: The Internet of Things. Executive Summary, ITU, Geneva (2005) 5. Alam, F., Mehmood, R., Katib, I., Albogami, N.N., Albeshri, A.: Data fusion and IoT for smart ubiquitous environments: a survey. IEEE Access 5(2017), 9533–9554 (2017) 6. Amershi, S., Cakmak, M., Knox, W.B., Kulesza, T.: Power to the people: the role of humans in interactive machine learning. AI Mag. 35(4), 105–120 (2014) 7. Candanedo, L.M., Feldheim, V.: Accurate occupancy detection of an office room from light, temperature, humidity and CO2 measurements using statistical learning models. Energy and Buildings 112(2016), 28–39 (2016) 8. Sezer, O.B., Dogdu, E., Ozbayoglu, A.M.: Context-aware computing, learning, and big data in internet of things: a survey. IEEE IoT J. 5(1), 1–27 (2017)
Control and Power Management of Microgrid Supplied a Domestic and Industrial Loads H. Guentri1(B) , F. Lakdja2 , M. Belhamidi2 , and A. Dahbi3 1 Department of Mechanic and Electromechanics, Institute of Science and Technologies,
Abdelhafid Boussouf University Centre, GE Laboratory Saida University, Mila, Algeria [email protected] 2 Department of Electrical Engineering, GE Laboratory Saida University, Saida, Algeria 3 Unité de Recherche en Energies Renouvelables en Milieu Saharien (URERMS), Centre de Développement des Energies Renouvelables (CDER), Laboratoire de Developpement Durable et d’Informatique (LDDI), Université Africaine Ahmed Draia, Adrar, Algeria
Abstract. Renewable energies such as photovoltaic, fuel cell, and wind power have become an important role in the microgrid. Control and power management have become the center of recent research. The present system in this paper is composed of a photovoltaic (PV), small wind turbine generator (SWTG), fuel cell (FC), and a big wind turbine generator (BWTG), with the associated DC/DC, DC/AC, and AC/DC converters, to assure proposed system stability. This paper develops a comprehensive control and power management system to regulate DC bus, achieve an effective balance between supply and demand, and control the MPPT to extract the maximum power from the PV system. When the control and power management systems are integrated, and the loads change suddenly, the DC bus voltage remains stable, and power remains balanced. The simulation was used to prove the performance of the proposed control and power management system. Keywords: Wind turbine generator · Solar PV · Fuel cell · Integration · Control · Power management
1 Introduction The objective Global demand for electric energy has increased rapidly over the past decades due to population growth and social and economic development, especially in emerging economies. For example, China and the United States, the world’s two largest power markets, accounted for 70% of global demand growth. In China, electricity demand increased by 8.5%, a notable uptick compared with recent years [25]. Also, global electricity demand increase to 57% by 2050 [26]. As an example, a typical small house can now have several household appliances such as an oven, washing machine, several TVs, lights… Etc. Because of technological progress, there has been a trend toward new types of domestic loads. Therefore, increasing the overall energy consumption compared to the previous times, during the recent © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 384–397, 2023. https://doi.org/10.1007/978-3-031-21216-1_41
Control and Power Management of Microgrid
385
decades, the demand for power consumption of one house has augmented from 2 kW to 5 kW. The same case on the industrial level, where the increasing trend in the adoption of electric machines, devices, and related technologies in large sectors of the economy has a significant contribution to the global increase in electricity consumption. To cope with this increase, we must produce enough energy, adding new power plants to the electricity networks. Unfortunately, this solution increases production costs and has an environmental impact. Renewable energy sources such as solar and wind, either in standalone mode [20] or integrated into power grids combined with energy storage, have an excellent potential to respond to the growing demand for energy. The interconnected mode is most preferred to have the effect of increasing global efficiency. The installation requires a controlled inverter to synchronize this integration without disturbing the network. Several research works have been done in this field in [12] Guentri et al. propose various robust design methods for the control of the power electronics converters and enhance the performance of the power management of the hybrid electrical energy storage system. Also, Junchao et al. [14] focus on the energy management strategy of a single-phase grid-connected PV generation system. Furthermore, Eghtedarpour et al. [15] propose a decentralized power-sharing method to eliminate the need for any communication between distribution generations or microgrids. Also, Nejabatkhah et al. [17] present an overview of power management strategies for a hybrid ac/dc microgrid system. Finally, Macko et al. in [18] present a Power-Management High-Level Synthesis. To enhance renewable energy integration efficiency and promote renewable energy sources compared to fossil sources, and subsequently reduce production costs, it is necessary to implement a power management system. Also, an extensive literature has been published in recent years on power management in electricity networks with renewable energies integration, like in [13, 16], and [19]. The analysis of these proposed methodologies shows that the integration of renewable energy into the grid is not relatively easy and requires strict compliance with some conditions such as: The control of all devices that facilitate this integration, namely static converters, power sources, and protective devices; Optimal power management in electrical networks promotes renewable energy exploitation and reduces production costs. This paper proposes the control and power management of a system composed of a Microgrid connected to two sides, the residential side with residential load, photovoltaic (PV), small wind turbine generator (SWTG), and fuel cell. Second, the industrial load side with the big wind turbine generator (BWTG). This system not only performs as a DC bus voltage regulation and power balance, but it also harvests renewable energy required for residential and industrial loads.
386
H. Guentri et al.
2 Presentation of the System 2.1 The Configuration of the System The proposed system illustrated in Fig. 1 consists of two sides. The first side is residential, while the second is industrial. The residential side consists of the PV array, a DC/DC boost converter that controls the operating point of the photovoltaic array, a small wind turbine with a non-controlled AC/DC converter, and a storage device, which is the fuel cell. The assembly is connected to a DC bus, which is connected to an inverter that can help link this side with the utility network [3]. The industrial part is composed of a wind farm of a large size (8 MW) connected to an industrial load. Both sides (residential and industrial) are connected to the same utility network.
Fig. 1. Configuration of the model power system.
2.2 The Residential Load The residential part is composed of twenty (20) houses, each one characterized by low energy consumption in a short time, as indicated in [1, 2]. As cited in the table, we adopt a system corresponding to a real-life case, including all the devices needed for a home. These appliances and devices operate according to their usage priorities during the day. The use of these appliances is done according to the utility and the characteristics of each device. Considering the peak and off-peak hours of electrical energy consumption, the possibility that such a device can be used several times or that its use can be interrupted during the day is also considered. The use of these devices (loads) is deferred between one home and another. Nevertheless, use it rationally over 24 h in the spring period, and each time we add the load, we get Table 2. For example, between 7 a.m. and 8 a.m., it is time to get ready for work or school. For this, we can use in a house the following charges: lights in the living room (35 W) x2, lights in the toilet, refrigerator, freezer, light in the kitchen, light in the room 2 × 24, water pump, microwave, and hair dryer). By summarizing these different
Control and Power Management of Microgrid
387
charges, we will have a total load for one home during this period of about 3494 kW. By multiplying this load by 20 houses, we will have 69880 kW. On this basis of calculation for each period, we obtained Table 2. The residential charge has an average power demand of 41052.5 W and a maximum peak of 125600 W (Table 1). Table 1. The list of the loads of one home. Devices
Consumption (W)
Lights on the living room (35 W) x2
70
Light in the toilet
11
Refrigerator
90
Freezer
225
Light on kitchen
24
Light on room 2*24
48
Washing machine
750
Vacuum cleaner
1200
Air conditioning
516
Water pump
100
Computer
125
Microwave
1050
Iron
1200
Printer
44
Television
65
Dishwasher
800
Hair dryer
1000
DVD or demo
12
2.3 The Industrial Load The industrial load is a factory at a distance of 19 km from the residential neighborhood. This factory has a charge that is distributed during the 24 h. It works from 08 h to 16 h. The production starts at 8 a.m. with a peak of 20 MW of power required to start the machines. The factory stops work between 12 h and 13 h and repeats until 16 h. Other times it is the consumption of interior and exterior lighting (see Table 2).
388
H. Guentri et al. Table 2. The consumption of the residential and the residential loads during 24 h. Hour (h)
Consumption (W) Residential load
Industrial load
1
6520
1000000
2
6300
1000000
3
6520
1000000
4
6300
1000000
5
6300
1000000
6
70000
1000000
7
50360
1000000
8
69880
1000000
9
21800
20000000
10
41300
15000000
11
65300
15000000
12
27300
15000000
13
52620
6000000
14
18160
20000000
15
21300
15000000
16
45540
10000000
17
66540
8000000
18
85660
7000000
19
62540
6000000
20
64420
3000000
21
125600
1000000
22
81600
1000000
23
23640
1000000
24
22760
1000000
2.4 The Sizing System The model suggested in this case study has a residential load with an average power of 41052.5 W with a maximum value (peak) of 126700 W. For this purpose, we installed a PV array of 0 to 40.5 kW and a wind farm that provides power from 0 to 24 kW. Both cover the average power demand for the entire residential load. Due to the insufficiency of the two sources, a utility network is obligatory. Also, a fuel cell is used due to the inefficiency of the two sources during peak hours. The fuel cell–electrolyzer combination provides backup for the system. The fuel cell needs to supply the peak load demand during the
Control and Power Management of Microgrid
389
peak hours, which is close to 125600 W. Therefore, the fuel cell stack size has to support the utility network to avoid blackouts [6]. It is known that electrolyzers are expensive equipment, and there is a high probability of obtaining high solar irradiance and wind speed values. Therefore, the fuel cell is used to supply only 50% of this peak demand to define the electrolyzer size. For the reasons mentioned above, the electrolyzer will be 62.5 kW, which means the system can produce hydrogen until it reaches this level. Concerning the dimensioning of the industrial side, we use a large wind farm that provides 0–8 MW to cover the average power demand, which is about 7 MW. The utility network supplies the power shortage when the demand exceeds normal or during peak hours.
3 The Control of the System In this system we must control the DC bus, the MPPT and also the synchronization of inverter output with the utility grid. 3.1 MPPT Control There are many MPPT (Maximum power point tracking) techniques available in the literature, such as incremental conductance (INC), constant voltage (CV), and perturbation and observation (P&O). The incremental conductance (INC) method utilizes the incremental conductance (dI/dV) of the photovoltaic array to compute the sign of the change in power concerning voltage (dP/dV). The INC method provides rapid MPPT (Maximum power point tracking) tracking even in rapidly changing irradiation conditions with higher accuracy than the Perturb and Observe method [11]. Considering the complexity of this system, we modified the algorithm by adding an integral regulator. 3.2 Synchronization and DC Bus Control A three-phase inverter provides the DC/AC conversion. We have a DC voltage delivered by a DC bus with a capacitor. If we connect the two terminals of this capacitor to the inverter input, thanks to its semiconductors, it supplies to the network equipment a three-phase sinusoidal voltage of 240 V/60 Hz. In this order, the PV system, the wind farm, and the fuel cell are considered conventional generators. A unified nonlinear control device is designed to keep the terminal voltage close to its nominal value [10]. The system utilizes an internal loop regulating the current Iabc and continuously improving it. The main goals of this system are to control the DC bus voltage Vdc, to follow the reference voltage Vdcref all the time [21, 22], to maintain a power factor close to unity [23], and the output current should have a sinusoidal form [9]. Also, it is necessary to synchronize the whole system with the utility grid to have the same frequency, phase, and amplitude. This system controlled the device composed of an inverter (DC/AC) connected to the utility grid with a resistor R and an inductance L [7, 8].
390
H. Guentri et al.
The synchronization of the controller concerning the evolution of the voltages of the network Uabc is an indispensable part of the control system realization. The purpose of synchronization is to estimate the voltage angle ø of the network at any time. This estimated angle is used in the generator control to generate the sinusoidal quantities. As several disturbances can occur in the electrical grid (voltage dips, harmonics, imbalances), the established synchronization system aims to reconstruct information on the direct component of the actual voltage. The chosen method produces a signal generator whose role is to extract the voltage or the direct voltage system with a minimum distortion from the measured voltage. The system allows control of the frequency, as shown in Fig. 2, and the phase, thus synchronising. It is the Phase Locked Loop (PLL) [24].
Fig. 2. Control of the system.
4 The Operating System The power management shown in Fig. 3 that was built into this system operates as follows: for the residential side, power generated by the PV source is used as a priority to supply the residential load demand. When the PV power is insufficient, we have recourse to the wind farm. Moreover, we add the utility grid when both the PV and the wind farm are inefficient. The fuel cell is used only to support the supplied residential load during peak hours. When PV power is higher than the domestic load demand, the surplus of PV power is injected into the grid. When PV and wind farm power are higher than the residential load demand, the excess of PV and wind farm power is injected into the grid. The hybrid system allows purchasing electricity from the grid and selling PV and/or wind farm power to the grid [4]. The industrial side is the same; the wind farm’s industrial source power is used as a priority to supply the industrial load demand. We will introduce the utility grid if the wind farm industrial power is insufficient. As soon as the wind farm power is higher than the industrial load demand, the surplus of wind farm power will be injected into the utility grid.
Control and Power Management of Microgrid
391
Considering the sign convention on Fig. 1, the power balance in the side of the residential load system is obtained as follow: [5]. Balance power residential side P_gridom (t) = P_PV (t) + P_windom (t) + P_fc (t) + P_loadom (t)
(1)
Balance power industrial side P_gridind (t) = P_windind (t) + P_loadind (t)
(2)
Fig. 3. Power management system.
5 Results and Discussion In this section, we present the simulation results of our approach. The system shown in Fig. 1 was modelled and simulated by dynamic simulation in MATLAB/Simulink using a comprehensive nonlinear model of the different hybrid energy system components. We start with the simulation of the DC bus voltage shown in the figure below, and we can say that the voltage is stable at around 500 V with some fluctuation in order to ± 2% (Fig. 4 and 5).
Fig. 4. The DC bus voltage.
392
H. Guentri et al.
Fig. 5. The output frequency of the inverter.
In order to verify the performance of the proposed power management algorithm, which is discussed in the above section, valid load demand data (domestic and industrial) and accurate weather data, such as wind speed and solar irradiance, are used, as shown in Figs. 6 and 7.
Fig. 6. The solar irradiation in a typical day.
Fig. 7. The wind speed in a typical day.
Control and Power Management of Microgrid
393
5.1 The Residential Side To facilitate the understanding of these results, see Fig. 8. These were treated during the twenty-four hours (a full day). • From 00:00 until 02:00: the night period, the residential load is minimal. It varies from 7.2 kW to 7.4 kW, the wind farm provides power, and the utility network consumes the surplus of the power. • From 02 h to 03h00: also the night period, the residential load is 7.4 kW. The utility network fully supports this load. • From 03:00 until 05:00: the residential load is in the order of 7.4 kW, the wind farm supports it, and the utility network ensures the lack. • From 05:00 until 06:00: the residential load is always in the order of 7.4 kW. At this time, we observe introducing a new PV array source, which begins at the start of the day. Therefore, the load is supported by two sources, the wind farm and the PV array. The public network consumes the surplus of the power produced. • From 06:00 until 07:00: at the beginning of the day, an increase in the residential load is observed at 50 kW. The latter is supported by the two photovoltaic and wind power sources. The public network compensates the lack of power.
Fig. 8. Residential power management.
• From 07:00 until 08:00: the preparation of the beginning of the day, the household record consumption reached 71 kW; this is the first peak hour of the day. The homes are powered by three sources, the wind farm, the PV array and the fuel cell. The public network compensates for the lack of power as usual.
394
H. Guentri et al.
• From 08:00 until 09:00: after the passage of the first peak time, the residential load decreases to 20 kW. During this period, the load is supported by two sources, and the public network absorbs wind farm and photovoltaic power surplus. • From 09:00 until 16:00: during midday, the load varies between 20 kW and 60 kW. In this period, the latter is supported essentially by the PV array, and the rest of the power is delivered by the utility network. This period includes the period of daily maintenance of the wind farm. • From 16:00 until 17:00: the residential load is still increasing. In this period, we note the reintroduction of the wind farm. During this phase, the load is supported by the PV array and the wind farm sources, and the public network compensates for the lack of power. • From 17:00 until 22:00: the phase of the peak hours of the day, i.e., the residential load, continues to increase to a maximum value of 127 kW. During this period, we again notice the engagement of the fuel cell. The load is supported by the wind farm, the PV array and the fuel cell. As usual, the insufficiency is filled by the utility network. • From 22:00 until 23:00 (night period): the residential load is at the minimum possible. During this period, the load is assisted by the wind farm source, and the public network compensates for the slight power loss. • From 23:00 until 24:00 (night period): the residential load is at its minimum. During this period, the wind farm source feeds the load, and the public network absorbs the surplus power. 5.2 The Industrial Side Same reasoning followed; we will treat the simulation throughout the day shown in Fig. 9. • During the night, the factory (industrial load) is inactive. For this purpose, the load is only external and internal lighting, which is 1.47 MW. During this period, the load is fed by a significant wind source, and the public network consumes the surplus. • During the working hours, which start at 08:00 in the morning, the phase of starting up machines requires more power, and this phase is the first peak hour with a maximum consumption of up to 20 MW. The wind farm supports the load with a power of 8 MW. The rest of the power is delivered by the public network. After this challenging period, the consumption of machines returns to normal, with a value of 15 MW. The wind farm provides half of this value, and the public network provides the rest. At noon, the factory’s production is halted, i.e., the pause phase. During this period, the consumption drops to 7 MW. During this time, the load is supported only by the wind farm. • With the restart of production, a new peak hour of 20 MW is recorded, the wind farm supports this consumption, and the public network compensates for the rest. After this period, the machines return to nominal consumption. During this period, the load is assured by the wind farm, and the rest of the power is compensated by the public network until the factory closes at 16:00.
Control and Power Management of Microgrid
395
Fig. 9. Industrial power management.
5.3 Results Interpretations The results show that on both the industrial and residential side, installing a power flow management and control tool has enabled us to achieve a considerable gain in electricity production, up to 50% using renewable energy. For the residential side, in the first peak hour of the morning, the charge reaches up to 70 kW, the utility network covers less than 20 kW, and the rest of the consumed power is provided by renewable energy, i.e., more than 50 kW is produced by renewable energy. At the second peak hour of the evening, consumption reaches 130 kW, the public network covers less than 40 kW, and the rest of the power is produced by sources of renewable energy, which is more than 90 kW. For the industrial side, the power delivered by the significant model wind power source at any time of the day represents 50% of the power consumed by the industrial load.
6 Conclusion This study presents a new energy management algorithm designed to preserve the energy sustainability of renewable energy systems integrated into residential and industrial areas. A renewable energy system is used to test the proposed algorithm. This system consists of two parts. The first is the residential portion, consisting of an agglomeration of twenty (20) households, a variable charge throughout the day, a photovoltaic source, a small wind source, and a storage unit, the fuel cell, and the whole are connected to a DC bus. The second part consists of the industrial side, consisting of a production plant and a significant source of wind power. Both parts are connected by a DC/AC converter and are connected to the same utility network. A management system is needed to ensure load-side sustainability since wind, and photovoltaic sources are unreliable regarding durability and electricity quality. The proposed algorithm collects electricity from public and industrial grids and feeds the loads, avoiding any power interruption. In addition,
396
H. Guentri et al.
this algorithm manages the effects of changes in wind speed, solar irradiation, and load quantity by exploiting wind, PV, and grid networks accordingly, using intelligent decision-making capabilities. The proposed system can play a vital role in promoting renewable energy use and reducing the use of the public grid during peak hours.
References 1. Andre Vidal, R.S., Leonardo Jacobs, A.A., Lucas, S.B.: An evolutionary approach for the demand side management optimization in smart grid. In: Presented at the 24th IEEE Symposium on Computational Intelligence Application in Smart Grid, Orlando, FL, USA (2014) 2. Ghasem, D., Heider, A.S., Ahad, K.: The optimization of demand response programs in smart grids. Energy Policy 94, 295–306 (2016) 3. Riffonneau, Y., Bacha, S., Baruel, F., Ploix, S.: Optimal power flow management for grid connected PV systems with batteries. IEEE Trans. Sustain. Energy. 2(3), 309–320 (2011) 4. Pinnreli, A., Barone, G., Brusco, G., Sorrentino, N.: A power management and control strategy with grid-ancillary services for microgrid based on DC bus. Int. Rev. Electr. Eng. 9(4), 792– 802 (2014) 5. Riffonneau, Y., Bacha, S., Barruel, F., Delaille, A.: Energy flow management in grid connected PV systems with storage - a deterministic approach. In: Presented at the IEEE International Conference on industrial technology, Gipsland, VIC, Austria (2009) 6. Kumaravel, S., Ashok, S.: Adapted multilayer feed forward ANN based power management control of solar photovoltaic and wind integrated power system. In: Presented at the IEEE PES Innovative Smart Grid Technologies, Keralla, India (2011) 7. Ramash Kumar, K., Kalyankumar, D., Kirubakaran, V.: A hybrid multi level inverter based DSTATCOM control. Majlesi J. Electr. Eng. 5(2), 17–21 (2011) 8. Seok-Kyoon, K., Young, I.L.: Model predictive control for the power control of three-phase AC/DC converters using a disturbance observer. In: Presented at the 15th International Conference on Control, Automation and Systems (ICCAS), Busan, South Korea (2015) 9. Bouharchouche, A., Berkouk, E., Ghennam, T.: Control and energy management of a grid connected hybrid energy system PV - wind with battery energy storage for residential applications. In: Presented at the 8th International Conference and Exhibition on Ecological Vehicles and Renewable Energies, Monte Carlo, Monaco (2013) 10. Taheri, H., Akhrif, O., Francis, A.O.: Contribution of PV generators with energy storage to grid frequency and voltage regulation via nonlinear control techniques. In: Presented at the 39th Annual Conference of the IEEE Industrial Electronics Society, Vienna, Austria (2013) 11. Hipparagi, S.C., Kulkarni, P.D.: Maximum power point tracker for PV solar panels using SEPIC converter. Int. J. Sci. Res. 4(5), 403–407 (2015) 12. Guentri, H., Allaoui, T., Mekki, M., Dennai, M.: Power management and control of a photovoltaic system with hybrid battery-supercapacitor energy storage based on heuristics methods. J. Energy Storage 39, 102578 (2021) 13. Li, H., Tesfaye, A., Eseye, J.Z., Zheng, D.: Near optimal energy management algorithms for grid-connected storage systems. Prot. Control Mod. Power Syst. 2(12), 1–14 (2017) 14. Junchao, M., Fanbo, H., Zhingming, Z., Fei, K., Chongjian, L.: A novel power management strategy for single phase storage-equipped grid-connected PV generation system. In: Presented at the 1st International Future Energy Electronics Conference, Tainan, Taiwan (2013) 15. Eghtedarpour, N., Farjah, E.: Power control and management in a hybrid AC/DC microgrid. IEEE Trans. Smart Grid 5(3), 1494–1505 (2014)
Control and Power Management of Microgrid
397
16. Paraveen, T.K., Subrahmanyam, N., Sydulu, M.: Fuzzy controlled power management strategies for a grid connected hybrid energy system. In: Presented at the IEEE PES T&D Conference and Exposition IL, USA, Chicago (2014) 17. Nejabatkhah, F., Li, Y.W.: Overview of power management strategies of hybrid AC/DC microgrid. IEEE Trans. Power Electr. 30(12), 7072–7089 (2015) 18. Macko, D., Jelemenska, K., Cicak, P.: Power-management high-level synthesis. In: Presented at the IEEE International Conference on Very Large Scale Integration, Daejeon, South Africa (2015) 19. Lamdica, R., Santini, E., Teodori, S.: Electrical loads management in a smart building by PV sources in power scenario. Int. Rev. Electr. Eng. 9(5), 966–975 (2017) 20. Kaabache, A., Ibtiouen, R.: Techno-economic optimization of hybrid photovoltaic/wind/diesel/battery generation in a stand-alone power system. Sol. Energy 103, 171–182 (2014) 21. Kwasinski, A., Onwuchekwa, C.N.: Dynamic behavior and stabilization of DC microgrids with instantaneous constant-power loads. IEEE Trans. Power Electr. 26(3), 822–833 (2011) 22. Anand, S., Fernandes, B.G., Guerrero, J.: Distributed control to ensure proportional load sharing and improve voltage regulation in low-voltage DC microgrids. IEEE Trans. Power Electr. 28(4), 1900–1913 (2013) 23. Wang, C., Li, X., Guo, L., Li, Y.W.: A nonlinear disturbance observer based DC bus voltage control for a hybrid AC/DC microgrid. IEEE Trans. Power Electr. 29(11), 6162–6177 (2014) 24. Krishna, L.M., Chandra Sekhar, G.N., Naresh, M., Samuel, P.: Performance analysis of grid integrated photovoltaic systems using marx multilevel onverter in different environmental conditions. U.P.B. Sci. Bull. 80(2), 217–230 (2018) 25. Global Energy and CO2 Status Report 2018. International Energy Agency (2019) 26. Project Partner, Paul Scherrer Institute (PSI), Switzerland: World Energy Scenarios, Composing energy futures to 2050. World Energy Council, For sustainable energy (2013) 27. Owusu, P.A., Asumadu-Sarkodie, S.: A review of renewable energy sources, sustainability issues and climate change mitigation. Cogent Eng. J. 3, 1–14 (2016)
Survey on Artificial Intelligence Algorithms Application for Alzheimer’s and Elderly People Safety in Smart Homes Wissam Benlala1(B) , Siham Bouchelaghem2 , and Mohand Yazid1 1 Research Unit LaMOS (Modeling and Optimization of Systems), Faculty of Exact Sciences,
University of Bejaia, 06000 Bejaia, Algeria {wissam.benlala,mohand.yazid}@univ-bejaia.dz 2 Laboratory of Medical Informatics (LIMED), Faculty of Exact Sciences, University of Bejaia, 06000 Bejaia, Algeria [email protected]
Abstract. Alzheimer’s disease is a progressive degenerative disease that affects cognition and memory. The affected person becomes increasingly unable to remember events, recognize things and people, retain the meaning of words and exercise judgment over time. Furthermore, as a person with Alzheimer’s disease becomes weaker and more vulnerable to physical and moral threats, living alone and independently is no longer an option. She thus becomes dependent on her family members and caregivers. However, the emergence of home automation and artificial intelligence, as well as its deployment in the sphere of health and well-being, has proven to be effective and practical. Thus, remote monitoring and assistance have made it possible to regain autonomy and independence. In this paper, we survey recent and relevant works that combine artificial intelligence techniques, namely Machine Learning and Deep Learning, with smart homes to ensure Alzheimer’s inhabitants safety while performing their daily activities. Keywords: Smart homes · Alzheimer’s disease · Machine Learning · Deep Learning · Inhabitant safety
1 Introduction Alzheimer’s disease (AD) is the most common form of dementia, accounting for nearly half of cases, with major societal and individual repercussions (Mozer 1998). Since its discovery in 1906, the number of Alzheimer’s cases has continued to grow. Despite advanced technologies and the various scientific research conducted on this disease, no effective treatment to stop it definitively has yet been found. Indeed, according to the World Health Organization (WHO), a new case is detected every four seconds, which represents 7.7 million new cases each year. A world day of celebration has therefore been set up aimed at raising awareness of AD, in the world in general and among people over 65 in particular, being the age group most affected by this disease. Typically, memory loss is the first and most noticeable symptom of AD (Gauthier et al. 2021). © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 398–407, 2023. https://doi.org/10.1007/978-3-031-21216-1_42
Survey on Artificial Intelligence Algorithms Application
399
Patients with AD indeed tend to forget what they were doing a few minutes earlier, putting them in an unpleasant and sometimes life-threatening situation. Forgetting to turn off the stove can start a house fire, leaving the faucets open can lead to flooding and slipping, and leaving gas-powered appliances running for an extended period can cause occupants to asphyxiate. Also, if an Alzheimer’s patient goes out shopping alone, he may not recognize the way home. For all these reasons, patients with this disease must be constantly accompanied and cared for. This however often demoralizes them, causes them discomfort and robs them of a sense of autonomy and intimacy. The recent global health crisis has imposed even more constraints on these patients, including the lack of caregivers, the obligation to limit contact with others, and the isolation of patients’ relatives in the event of contamination, forcing them to remain alone at home, leading to a shortage of places in retirement homes, and so on. Many researchers are focused on finding an effective treatment for AD. Also, several works focus on methods for detecting and predicting the disease, but little is carried out on the protection of the personal and physical life of people suffering from this disease. Patients with dementia, including AD, require ongoing monitoring and may need assistance with Activities of Daily Living (ADLs) such as preparing a meal, eating, dressing, etc. This situation worsens considerably in the later stages of AD and patients become more dependent on the help of caregivers. To monitor ADLs and minimize human intervention while ensuring the privacy of patient’s life and help overburdened hospitals and healthcare staff to reduce operating costs and efficiently utilize resources, the concept of Smart Homes (SHs) and monitoring mechanisms based on Artificial Intelligence (AI) algorithms can play an important role. In fact, the concept of integrated remote medical assistance via mobile and desktop apps, the permanent monitoring of SH inhabitants via sensors and actuators, and the integration of AI in the SH ecosystem seem to attract more attention. Hence, several studies have been carried out with the aim of bringing more comfort, protection and safety to patients with AD by combining different SH technologies with AI techniques such as Machine Learning (ML) and Deep Learning (DL) techniques. This paper aims to provide an overview of the field by presenting a literature review of remote monitoring and assistance systems based on AI techniques to ensure the safety of patients with AD in SHs. In particular, the main contributions of this paper are as follows: • We survey some recent and relevant research works on remote monitoring and assistance of AD patients and compare them according to different criteria that we have defined. • We highlight the main limitations of the surveyed solutions and discuss some recommendations that we believe should be considered for the proposal of new remote monitoring and assistance systems for AD patients in SHs. The remainder of this paper is organized as follows. In Sect. 2, we outline the evolution of SHs from past to present and describe how to monitor and support patients with AD using SH technology and AI algorithms. In Sect. 3, we review some relevant research works on the protection and monitoring of patients with AD, and in Sect. 4, we discuss their major limits. Finally, we conclude the paper in Sect. 5.
400
W. Benlala et al.
2 Smart Homes for Alzheimer’s Patients: Monitoring and Assisting SHs are not a brand-new notion. When it first appeared in 1970, the primary goal of domotic was to automate the home; manage the opening and closing of shutters, electric gates, heating and lighting. Then, with the development of the Internet and connected networks, wireless technologies such as Wi-Fi and Bluetooth, as well as the miniaturization of electronic components, the introduction of mobile devices and the invasion of touch screens and connected televisions, home automation devices have become much more powerful and easier to use, and our homes have become increasingly smarter ever since. In addition to energy management applications (e.g., wireless and battery-free sensors can capture a small amount of energy and produce the data needed for decision making), home automation today has a plethora of other uses such as security, health, air quality, assistance to vulnerable people, and much other services as shown in (Fig. 1), and it is slowly but steadily infiltrating many other aspects of our daily lives. Thus, SHs can program themselves to observe the habits of their occupants and learn to anticipate and respond to their expectations (Mozer 1998). Furthermore, in response to the aging of the population, SHs for health are proposed as a promising solution. They promote aging in place by allowing elderly people to stay in their homes while remaining safe and independent through the use of smart technologies, which integrate sensors, RFID, Smartphones and cameras in a variety of locations and equipment. SHs modeled for dementia care require the extension of the activity recognition system to identify anomalies in resident behavior. Correspondingly, we note that the concept of activity recognition is used in the majority of research works dealing with this subject. In fact, it is one of the most effective options for tracking patient activities and detecting any changes in their behavior (Campo et al. 2012; Fikry et al. 2021). Another example is the hazard prediction system proposed in (Aissani et al. 2021), which combines a prediction algorithm with a multi-agent architecture to ensure the safety of people with AD in a SH. The system detects four potential hazards for the elderly and AD patients, namely floods, fires, gas leaks and short circuits.
Fig. 1. Smart home services.
Survey on Artificial Intelligence Algorithms Application
401
Recent advancements in linked products and AI are paving the way for a revolution in home living. Indeed, these advances enable a more in-depth assessment of the living environment of the house’s occupants. With this understanding of the living context, the behavior of the house can be modified in complex ways according to the habits and needs of its inhabitants. Patients with AD-like disorders require constant monitoring and occasional support with ADLs. In the later stages of Alzheimer’s, the illness deteriorates significantly and individuals become increasingly dependent on the support of healthcare professionals (Raza et al. 2019). Therefore, follow-up is the most popular way to support and assist people with dementia in their daily lives, according to the systematic review (Fikry et al. 2021). ML classification techniques for activity recognition can summarize the recorded data to recognize daily activities and compare the performance of various algorithms in order to draw the best conclusion.
3 Survey of AI-Based Monitoring and Assistive Systems SH is a field of research at the crossroads of home automation, the Internet of Things (IoT) and AI, integrating multiple technologies with the ultimate promise of improving the safety and comfort of its inhabitants, anticipating their needs, and supporting them in carrying out certain daily tasks. In this section, we review new research on monitoring and assisting the elderly, especially patients with AD. We categorize this research according to the two fundamental AI techniques used, namely ML and DL. 3.1 ML Algorithms-Based Systems Gayathri and Easwarakumar (2016) have proposed a framework for aiding people with dementia who experience memory loss in a SH by combining domain knowledge modeling with probabilistic modeling. The Markov Logic Network (MLN), a statistical relational learning approach, models uncertain data and domain knowledge. MLN- based activity recognition is used to simulate the processing of incomplete event sequences as well as the modeling of occupant-specific knowledge, given by caregivers/doctors. As a result, they are creating an intelligent decision support system that detects when an occupant’s activity deviates from normal daily routines and determines relevant notifications to handle emergency situations. In fact, the system considers three types of alarm, namely low, high, and emergency alarm, depending on the level of immediate attention required to ensure the safety of the occupants. Low alarms are simple alarms that alert the occupant to certain tasks they have forgotten to perform during their routine activity, high alarms require the attention of the occupant’s supervisor to restore the occupant in their normal state, and emergency alarms that require medical intervention to make the occupant recover from critical state. Pirzada et al. (2018) have proposed the design and development of an interactive multi-platform user interface to monitor the health of elderly people using sensor data from a SH. The implementation of this SH project is divided into four stages, the first of which involves installing sensors throughout the house to measure ADLs. In the second stage, the analog data is converted into digital data and sent through a gateway to devices/applications over wireless or wired internet. In stage 3, the application server
402
W. Benlala et al.
receives raw data for processing and analysis, which includes filtering, clustering, and anomaly detection. In order to determine anomalies, the KNN algorithm is used in pattern recognition. Data storage completes stage 4. This also results in the creation of a data warehouse, which can be used to predict trends based on the huge amount of data collected. Each individual’s ADLs can be recorded and behavioral patterns can be inferred. Along with the diagnosis of AD, Raza et al. (2019) have proposed a sensor-based framework to recognize and record daily life events using wireless sensor networks and a cloud-based classification system to help healthcare professionals to establish appropriate patient needs. The ADLs classification system not only enables automated recognition and recording of essential activities of daily living, but also provides patients with appropriate assistance in performing their daily tasks and achieving their daily goals. This can help people live longer and slow down the deterioration of brain tissue. It also provides more accurate input to qualified physicians, allowing them to diagnose patient needs and the level of assistance required with greater accuracy. Acceleration and angular velocity data from various ADLs (e.g., sitting, standing, walking, lying, etc.) are recorded by body-worn inertial measurement unit (IMU) sensors and transmitted wirelessly to a local data accumulation unit. The data is immediately available to emergency services, medical personnel and caregivers in the event of irregularities. The data collected from the sensors is periodically transferred to a cloud server for further processing and ADLs classification. The authors, in particular, provide a framework to identify/diagnose ADLs and AD-like disorders using both ML and DL techniques namely Support Vector Machine (SVM) and Convolutional Neural Network (CNN). Besides, a modified architecture of AlexNet (Krizhevsky et al. 2012) is used in the process of diagnosing AD-like diseases. For sustainable and successful management of care services designed for the patient, the proposed system creates medical records, activity logs and nursing care plans. Medical practitioners and caregivers can access patient sensory data, activity profile, and medical records generated through a cloud-based ML and classification system. Aljojo et al. (2020) have developed an Arabic Smartphone application to enable AD patients in Saudi Arabia who are in the early or intermediate stages of the disease to carry out their daily routines and activities, while allowing them to interact with their family and friends. The “Alzheimer Assistant” app has several features, including patient tracking and sending reminders and to-do notifications throughout the day, capture and add images of people interacting with the patient and display information about the person and their relationship using facial recognition and ML, and notify caregivers of Alzheimer’s patients when they leave the safety zone through the location of a Smartwatch or bracelet. Caregivers can also use the app to add reminders and tasks to the patient’s schedule. The Realtime Face Recognizer (RFR) library was used to implement the app’s facial recognition functionality. Ibrahim Mamun et al. (2021) have proposed an AD detection and assistance system for Alzheimer’s patients named “AlziHelp” in a SH using 5G, IoT and ML approaches. Smart IoT devices (e.g., smart watches, smart phones, and smart shoes) are used to collect data on SH residents to determine whether or not they have AD. In fact, a resident’s
Survey on Artificial Intelligence Algorithms Application
403
position and activities are linked to a particular time. The system then looks for inconsistencies in actions and timing for a person’s position and actions and will determine the best possible action using the K-Nearest Neighbor (KNN). The system has two separated but connected parts, the detection part of AD and the assistive part. Using a flag value, the system will turn on the second part after detecting AD. Using smart wireless led signals and a sonic buzzer, this part can help a person with AD perform their daily tasks. 3.2 DL Algorithms-Based Systems Sukor et al. (2019) have proposed a strategy to detect anomalies in the behavior of SH residents and identify any deviations from their routines. The use of two DL models known as Multi-Layer Perceptron (MLP) and Recurrent Neural Network (RNN), which are widely used in pattern recognition communities, was investigated in this study. The proposed network uses three hidden layers (i.e., deep MLP), each with the same number of units. The activation function is the Rectified-Liner Unit (ReLU), which corresponds to a linear transformation. Long Short-Term Memory (LSTM) is the most commonly used model in RNN. It enables long-term temporal dependency by replacing hidden nodes with gated memory cells. Three gates are introduced in LSTMs: the input gate, the forget gate, and the output gate. The input gate regulates new values entering memory, the forget gate determines how long the value is retained in memory, and the output gate computes the LSTM memory block’s output activation. The learned models are then used to categorize normal and abnormal circumstances. The results of comparing MLP to LTSM reveal that MLP is a very efficient anomaly detection method compared to LTSM. A DL-based Internet of Health Framework for patients with AD, known as DeTrAs, has been proposed by Sharma et al. (2020). The proposed framework is designed in three phases: (1) an RNN method based on sensory movement data to predict AD is proposed, (2) an ensemble approach for abnormality tracking for Alzheimer’s patients is designed, which consists of two parts: a CNN-based emotion detection scheme and a timestamp-window-based natural language processing scheme, and (3) an IoT-based assistance mechanism for patients with AD is also proposed. This is done to limit the amount of inaccurate detections made by the proposed technique since the weights applied to distinct detection input sources would eliminate outliers. If the total weight of the sensory alarm generation exceeds the recommended level, the alarm is regarded a true alarm, and the Alzheimer’s patient assistance procedures are activated. The third phase of the scheme includes the use of IoT devices to provide various forms of assistance to the Alzheimer’s patient. In corrective help, the Alzheimer’s patient is informed of an inaccurate decision that requires action or needs to be reversed. In reinforcing assistance, the method chosen for a previously incorrectly done activity is repeated in order to perform it successfully in this case. Reinforcement aid is used to provide cognitive stimulation in order to slow the progression of AD. When an Alzheimer’s sufferer uses an app, supportive help is required. Instead of the above, IoT-based aid can be categorized into ADLs, social cognition, and cognitive stimulation therapy based on the complexity of trigger and support mechanisms.
404
W. Benlala et al.
In Table 1, we summarize and compare the surveyed solutions according to various criteria, namely the AI methods used, the category of users considered, the evaluation metrics measured, the accuracy rate, and the dataset employed. Table 1. Comparison of the different solutions examined.
ML-based algorithms
DL-based algorithms
Reference
Method
User
Evaluation metrics
Accuracy
Dataset
Gayathri and Easwarakumar (2016)
MLN
Demented people
Precision, recall and f-measure
96%
Real dataset (Ordóñez et al. 2013)
Pirzada et al. (2018)
KNN
Elderly people
10 k-fold cross validation
/
MIT (Tapia et al. 2004)
Raza et al. (2019)
CNN, SVM
Alzheimer’s patient
10-fold cross validation
95%
OASIS (Marcus et al. 2010) ADNI (Jack Jr. et al. 2008)
Aljojo et al. (2020)
RFR
Alzheimer’s patient
Usability and accessibility
28.5%
7 different persons
Ibrahim Mamun et al. (2021)
KNN
Alzheimer’s patient
/
/
/
Sukor et al. (2019)
MLP, RNN
Elderly people
Leave-One-Out 92.2% Cross Validation (LOOCV)
Public SH dataset
Sharma et al. (2020)
RNN
Alzheimer’s patient
Precision, recall and f-score
Daphnet (Bächlin et al. 2010) MMI (Mollahosseini et al. 2016) Fer (Goodfellow et al. 2013) Snew (Dhall et al. 2016) (Chaffar and Inkpen 2011)
88.63%
4 Discussion and Limits In a single-occupant smart home, gathering accurate information does not present much of complications. However, we cannot guarantee the solitude of the inhabitant within this house; people with AD and the elderly may have regular visits from their caregivers and relatives. Thus, the systems that are preconfigured for the collection and processing of information from a single person will consider that all the information captured within the
Survey on Artificial Intelligence Algorithms Application
405
house comes from the same and unique person. The majority of the works studied in this survey do not consider such scenario. Because people with AD are exposed to hazards on a daily basis while performing daily living tasks, hazard detection is insufficient to ensure their safety. Setting up a real-time alert system for family members and caregivers is crucial in order to save them in case of threat or danger, which was not considered in most of the studied works. It is essential for the scientific community to specify the algorithms and methods used in research work, as well as the metrics for evaluating performance and results. However, the ML algorithm used for face recognition was not specified in (Aljojo et al. 2020), performance evaluation metrics and results were not mentioned in (Ibrahim Mamun et al. 2021), and no performance evaluation estimate was provided in (Pirzada et al. 2018). Finally, the accuracy in (Aljojo et al. 2020) is very low, this can impact the functionality of the application and may not ensure effective remote monitoring of Alzheimer’s patients by caregivers.
5 Conclusion and Future Directions The global population of elderly people is undeniably growing. As people age, they become increasingly vulnerable to indicators of aging such as loss of memory, loss of bodily autonomy, and so on. These elderly people can be our parents, grandparents, relatives or friends, and they are likely to be exposed to the hazards of ordinary life, thus requiring special care. In this paper, we have proposed a survey examining recent research works dealing with different systems proposed to monitor and assist elderly people and more specifically people with AD in SH in order to predict any suspected life-threatening event. To ensure the accuracy of detecting anomalies in the behavior of SH residents, most work has focused on AI algorithms, namely ML and DL algorithms. In the following, some recommendations that we believe should be considered when proposing new monitoring and assistive systems to ensure the safety of Alzheimer’s patients within SHs are suggested: • Using AI algorithms to improve the accuracy of fall detection in a SH: leaving water taps running can lead to flooding and increase the risk of falls. Screams, inactivity, and high humidity levels could indicate patient falling due to flooding in the SH. • Implementation and evaluation of a real-time alert system for caregivers and relatives of Alzheimer’s patients in the event of detection of unusual behavior in a SH such as an intrusion: people with AD who live alone are at risk of having their property stolen or abused, putting their lives in jeopardy if no action is taken promptly. Therefore, detecting any unusual activity inside the house is critical in order to notify relatives or the authorities who can intervene in time. • Implementation of a system based on DL algorithms capable of predicting aggressive behavior in Alzheimer’s patients before it happens: the main goal is to try to prevent another crisis from occurring by intervening upstream. If the hostility is fueled by pain or a physical need, a caregiver or referent will step in to help the patient meet their needs and avoid the situation from becoming dangerous to the patient or family members.
406
W. Benlala et al.
References Aissani, C., Akroun, Y.-F., Yazid, M., Bouchelaghem, S.: Smart home danger prediction system to ensure people with Alzheimer’s disease safety. In: Proceedings of 2020 2nd International Workshop on Human-Centric Smart Environments for Health and Well-Being (IHSH), pp. 86– 91. Boumerdes, Algeria (2021). https://doi.org/10.1109/IHSH51661.2021.9378728 Aljojo, N., et al.: Alzheimer assistant: a mobile application using machine learning. Rom. J. Inf. Technol. Autom. Control 30(4), 7–26 (2020) Bächlin, M., et al.: Wearable assistant for Parkinson’s disease patients with the freezing of gait symptom. IEEE Trans. Inf. Technol. Biomed. 14(2), 436–446 (2010) Campo, É., Estève, D., Chan, M.: Conception d’un habitat adapté pour l’aide à l’autonomie des personnes âgées. Les Cahiers de l’année Gérontologique 4(4), 356–363 (2012). https://doi.org/ 10.1007/s12612-012-0313-7 Chaffar, S., Inkpen, D.: Using a heterogeneous dataset for emotion analysis in text. In: Butz, C., Lingras, P. (eds.) AI 2011. LNCS (LNAI), vol. 6657, pp. 62–67. Springer, Heidelberg (2011). https://doi.org/10.1007/978-3-642-21043-3_8 Dhall, A., Goecke, R., Lucey, S., Gedeon, T.: Static facial expression analysis in tough conditions: data, evaluation protocol and benchmark. In: Proceedings of IEEE International Conference on Computer Vision Workshops (ICCV Workshops), pp. 2106–2112. Barcelona, Spain (2016). https://doi.org/10.1109/ICCVW.2011.6130508 Fikry, M., Hamdhana, D., Lago, P., Inoue, S.: Activity recognition for assisting people with dementia. In: Ahad, M.A.R., Mahbub, U., Rahman, T. (eds.) Contactless Human Activity Analysis. ISRL, vol. 200, pp. 271–292. Springer, Cham (2021). https://doi.org/10.1007/978-3-03068590-4_10 Gauthier, S., Rosa-Neto, P., Morais, J.A., Webster, C.: World Alzheimer Report 2021: journey through the diagnosis of dementia. Alzheimer’s Disease International (2021) Gayathri, K.S., Easwarakumar, K.S.: Intelligent decision support system for dementia care through smart home. Procedia Comput. Sci. 93, 947–955 (2016) Goodfellow, I.J., et al.: Challenges in representation learning: a report on three machine learning contests. In: Lee, M., Hirose, A., Hou, Z.-G., Kil, R.M. (eds.) ICONIP 2013. LNCS, vol. 8228, pp. 117–124. Springer, Heidelberg (2013). https://doi.org/10.1007/978-3-642-42051-1_16 Ibrahim Mamun, M., Rahman, A., Mridha, M.F., Hamid, M.A.: AlziHelp: an Alzheimer disease detection and assistive system inside smart home focusing 5G using IoT and machine learning approaches. In: Singh Pundir, A.K., Yadav, A., Das, S. (eds.) Recent Trends in Communication and Intelligent Systems. AIS, pp. 105–113. Springer, Singapore (2021). https://doi.org/10.1007/ 978-981-16-0167-5_12 Jack, C.R., Jr., et al.: The Alzheimer’s disease neuroimaging initiative (ADNI): MRI methods. J. Magn. Reson. Imaging 27(4), 685–691 (2008) van Kasteren, T.L.M., Englebienne, G., Kröse, B.J.A.: Human activity recognition from wireless sensor network data: benchmark and software. In: Chen, L., Nugent, C., Biswas, J., Hoey, J. (eds) Activity Recognition in Pervasive Intelligent Environments. Atlantis Ambient and Pervasive Intelligence, vol. 4, pp. 165–186. Atlantis Press (2011). https://doi.org/10.2991/978-94-9121605-3_8 Krizhevsky, A., Sutskever, I., Hinton, G.E.: Imagenet classification with deep convolutional neural networks. Adv. Neural Inf. Process. Syst. 25 (2012) Marcus, D.S., Fotenos, A.F., Csernansky, J.G., Morris, J.C., Buckner, R.L.: Open access series of imaging studies: longitudinal MRI data in nondemented and demented older adults. J. Cogn. Neurosci. 22(12), 2677–2684 (2010) Mollahosseini, A., Chan, D., Mahoor, M.H.: Going deeper in facial expression recognition using deep neural networks. In: Proceedings of IEEE Winter Conference on Applications of Computer Vision (WACV), pp. 1–10. Lake Placid, NY (2016)
Survey on Artificial Intelligence Algorithms Application
407
Mozer, M.C.: The neural network house: an environment hat adapts to its inhabitants. In: Proceedings of AAAI Spring Symposium: Intelligent Environments, vol. 58 (1998) Tapia, E.M., Intille, S.S., Larson, K.: Activity recognition in the home using simple and ubiquitous sensors. In: Ferscha, A., Mattern, F. (eds.) Pervasive 2004. LNCS, vol. 3001, pp. 158–175. Springer, Heidelberg (2004). https://doi.org/10.1007/978-3-540-24646-6_10 Ordóñez, F., De Toledo, P., Sanchis, A.: Activity recognition using hybrid generative/discriminative models on home environments using binary sensors. Sensors 13(5), 5460–5477 (2013) Pirzada, P., White, N., Wilde, A.: Sensors in smart homes for independent living of the elderly. In: Proceedings of the 5th International Multi-Topic ICT Conference (IMTIC), pp. 1–8. Jamshoro, Pakistan (2018) Raza, M., Awais, M., Ellahi, W., Aslam, N., Nguyen, H.X., Le-Minh, H.: Diagnosis and monitoring of Alzheimer’s patients using classical and deep learning techniques. Expert Syst. Appl. 136, 353–364 (2019) Sharma, S., Dudeja, R.K., Aujla, G.S., Bali, R.S., Kumar, N.: DeTrAs: deep learning-based healthcare framework for IoT-based assistance of Alzheimer patients. Neural Comput. Appl. 1–13 (2020). https://doi.org/10.1007/s00521-020-05327-2 Sukor, A.A., Zakaria, A., Rahim, N.A., Kamarudin, L.M., Nishizaki, H.: Abnormality detection approach using deep learning models in smart home environments. In: Proceedings of the 7th International Conference on Communications and Broadband Networking, pp. 22–27. Nagoya, Japan (2019)
A New Transformer Condition Monitoring Based on Infrared Thermography Imaging and Machine Learning Amine Mahami(B) , Toufik Bettahar, Chemseddine Rahmoune, Foudil Amrane, Mohamed Touati, and Djamel Benazzouz Solid Mechanics and Systems Laboratory (LMSS), University M’hamed Bougara, 35000 Boumerdes, Algeria [email protected]
Abstract. Electrical systems maintenance is becoming a crucial and an important part in the economic policies and that’s due their deep implication in the majority of the industrial installations. Electrical transmission and distribution relay mainly on transformers. Electrical transformers condition monitoring plays a major role in increasing their availability, enhancing their reliability and preventing further major failures and high cost maintenance. A new non-contact and non-intrusive method is adopted in this paper to monitor electrical transformers and diagnose their faults based on infrared thermography imaging techniques (IRT). When thermographs are obtained using an infrared camera for different states of the studied transformer, a dataset is then prepared for the following step. Features extraction was applied on the considered infrared images to be used later as input indicators for an automatic classification and identification of transformer’s healthy and several faulty states based machine learning methods (LS-SVM). This method was applied and compared with several IA techniques in order to select the most efficient one in term of accuracy and stability to be relied on in this purpose. The proposed technique, which is mainly based on IRT, features extraction and machine learning, has shown a remarkable efficiency in transformers condition monitoring and an accurate faults diagnosis, and can be generalized as a reliable and powerful tool in such problematics. Keywords: Infrared thermography images · Electrical transformer · Faults diagnosis · Feature extraction · Machine learning methods · Faults classification stability
1 Introduction Electrical transformers condition monitoring plays a major role in the durability, availability and maintenance policies of such equipment. Based on user’s experience, what can be considered a reliable transformer must require reduced maintenance costs and time over its operating cycle (at least 40 years) without any efficiency loss. New technologies were embedded for the aim to minimize maintenance interventions and shutdowns. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 408–418, 2023. https://doi.org/10.1007/978-3-031-21216-1_43
A New Transformer Condition Monitoring
409
Unfortunately, the long lifetime of a transformer has an impact on its users in term of the rapid technological changes and their maintenance policies. It had been observed over time that one of the most common failures of electrical transformers the external short circuit [1]. Such problem had led companies and researchers to invest and develop more reliable monitoring techniques and fast diagnosis tools with low cost manner. Consequently, scholars were able to handle many issues related to transformer winding short circuit faults, by developing various techniques such as low voltage impulse method (LVI) [2, 3], short-circuit reactance measurement (SCR) [4], frequency response analysis technique (FRA) [5], sweep frequency impedance method (SFI) [6], and Dissolved Gas Analysis (DGA) [7, 8]. These techniques have some non-negligible disadvantage among which we may sit: their being offline methods and require power cuts, DGA need oil sampling and analysing which requires time and health risks. In the last decade, novel methods had been improved and suited to be utilized as non-destructive and non-invasive analysis techniques such as the acoustic ultrasound emissions for winding short-circuit fault diagnosis, which can be applied while the machine or the equipment is running. This approach has lately been widely used to monitor electrical transformers and diagnose their faults [9, 10]. Nonetheless, it is not suitable in acoustic emission environments where other nearby electrical devices are found where acoustic noise is mainly caused by the magneto-astrictive action of the core, Barkhausen noise, oil pumps, and cooling fans. Generally, this technique that uses acoustic propagation signal has shown its limitations when it comes to transformers fault diagnosis. Most of the above mentioned methods are not flexible for electromechanical systems diagnosis, especially electrical transformer. This led us to search for an efficient and a fast approach that can overcome their limitations and reliably do the monitoring and the diagnosis job. From a physical perspective, temperature is considered a crucial indicator of almost any system’s state, and due to the difficulty, the lack of space and the high cost of thermal sensors establishment. Thermal infrared imaging which is a non-contact, nonintrusive measurement technique is adopted in this work. It can indicate and visualize the monitored system components temperature variations on a real time mode. These advantages promoted thermography to be one of the leading non-destructive examination techniques [11], it had shown it power in many field like medical science [12], defence [13], and automotive [14]. In recent years, and due to the extend use of this method, it has been discovered that IRT images contain valuable information about the monitored system [15]. These data, if properly exploited, present a rich source of features to be extracted. Furthermore, a machine learning method (LS-SVM) was employed for the classification task of the studied subject’s condition based on those previously extracted features. This paper presents a new approach to diagnose electrical transformers faults based on IRT images, features extraction, and Machine learning methods. Moreover, a fair comparison was performed between the employed machine learning method (LS-SVM) and some others (SVM, DT, RF, KNN) in order to select the most reliable one in term of
410
A. Mahami et al.
accuracy and stability. It has been found that LS-SVM is the most reliable AI technique where it had shown its superiority over the rest of the tested ones.
2 Experimental Data Experiments were run on healthy electrical transformers and eight (8) faulty ones. The unhealthy states are represented by eight different short circuit defects in the common core winding. A thermal camera was used to capture images to be used as thermographic data of those cases. All generated defects in this dataset are internal faults; they depend on neither external pieces nor failure in initial setup electrical components. The obtained IRT images will be exploited as a source material for the transformers condition monitoring and faults diagnosis. IRT data acquisition was performed on an Electrical Machines Laboratory workbench [16] under an environmental temperature of 23°. The technical characteristics of the thermal camera and the tested transformer represented in Table 1. Table 1. Thermal camera characteristics and electrical transformer specifications [16]. Thermal camera properties
Transformer specifications
Dali-tech T8 TIC
Phase 1
Detector resolution 384 * 288
Power 1 KW
Measurement accuracy ±2° or ±2% (of reading, which is great) Voltage 220 V Imaging NETD 0.04°@30°
Input Current 1.5 A
Measuring range −20°– +650°
Operating Voltage 220–660
Imaging Frame Rate 50/60 Hz
Frequency 50–60 Hz
A health state and eight different short circuit faulty conditions of the transformer are labelled by nine sets of images (classes). Table 2 displays the amount of short-circuit rounds and percentage of each state, along with its corresponding fault’s class labels. Table 2. General description of the nine considered states in the transformer diagnostic. Fault class (rounds)
Healthy
80
160
240
320
400
480
560
600
Percentage
0%
13%
26%
40%
53%
66%
80%
93%
100%
Label
1
2
3
4
5
6
7
8
9
Thermal images a healthy and eight short circuit transformer’s faults are shown in Fig. 1. It is clearly noticeable that the detection of defective stats when compared to a healthy one is possible through direct observation of the thermographs, but, it does not seem feasible to differentiate between the various faults stages. This can be attributed to
A New Transformer Condition Monitoring
411
the fact that thermal images differentiation is based on high level classification methods, and one’s bare eyes could be misleading. Therefore, the need to develop new methods based images feature extraction and machine learning techniques has become inexorable.
Fig. 1. Thermal image of electrical transformer conditions: (P1) healthy, (P2) 13% fault, (P3) 26% fault, (P4) 40% fault, (P5) 53% fault, (P6) 66% fault, (P7) 80% fault, (P8) 93% fault, (P9) 100% fault.
In this context, a new transformer condition monitoring method based on image’s feature extraction and least square support vector machine (LS-SVM) Classifier is proposed in this paper. A sequential flowchart is presented in Fig. 2 where the main steps of
Fig. 2. Flowchart of the transformer’s short circuit faults diagnosis proposed method.
412
A. Mahami et al.
the proposed approach are illustrated. After infrared image thermography (IRT) collection, their features are extracted and set to be used as inputs for LS-SVM classification program. The parameters of this pattern recognition supervised learning method [17] will be fixed at the training phase. The testing step will be run based on the adopted parameters and samples will be classified accordingly.
3 Feature Extraction Infrared images are considered as raw data, and since faults detection, localization and identification can’t be adequately done when counting only on bare eyes, feature extraction identifies the most discriminating characteristics in an image signal or data [18]. In this work, ten of the most utilized and reliable features in image processing [19] are extracted from both healthy and faulty transformer’s IRT images in order to be used as an input for automatic machine learning. The ten following features are considered in this study: Entropy (H), Edge (E) [20], Intensity (I), Mean (HEI), Mean LBP, Mean red, Mean blue, Mean green, Mean (RGB), Mean (grad(RGB)). The ten extracted feature from the whole data set are graphically presented in Fig. 3 where a total number of 160 thermal images that belongs to nine 9 different states of an electrical transformer were analysed in the aim to estimate their efficiency in faults identification and try to find a clear pattern that separates between the studied cases. It is noticeable in the features graphs that the distinction between the transformer conditions is not adequate and cannot be relied on, despite the fact that a case separation tendency exists in some features graphs such as Mean LBP, Mean (RGB) and Mean (grad (RGB)). This lack of accuracy is considered an obstacle in the way that reliable and efficient diagnosis should be done. That why, a resort to more sophisticated step is mandatory.
4 Feature Classification Using Least Square Support Vector Machines (LS-SVM) Least Square Support Vector Machine (LS-SVM) is machine learning technique that was introduced by Suykens and Vandewalle [21] as an extension to Support Vector (SVM) Machine Method by changing the inequality constrains into equality constrains. This enhancement had shown a great impact on the computational time and complexity, and considerably facilitates Lagrange multiplier solution by simplifying the quadratic programming into a linear equations problem. LS-SVM is used in order to solve multiple scales problems and reduce the computing time. The quadratic programming that is used SVM method is replaced by the least square linear system which represent the loss function in LS-SVM where equality constrains replace the inequality constrains that used to be found in the simple SVM method. This steps are what makes LS-SVM a simpler and more low cost and less time consuming technique [23].
A New Transformer Condition Monitoring
413
Fig. 3. Extracted features: a-Entropy, b-Edge, c-Intensity, d-mean (H + E + I), e-Mean of LBP, f-Mean Red, g-Mean Green, h-Mean Blue, i-Mean (R + G + B), j-Mean (gradient(RGB)).
414
A. Mahami et al.
5 Classification Results Analysis and Discussion Since the main purpose of this study is to evaluate and demonstrate the robustness of LS-SVM method in electrical transforms faults diagnosis, a fair comparison with other classification tools was executed. Four techniques that include k-Nearest Neighbour (KNN), Support Vector Machine (SVM), Decision tree (DT) and Random forest (RF) were tested along with LS-SVM in the aim to compare the accuracy and the stability of each one of them and estimate their efficiency as transformer’s defects classifiers. The considered dataset was divided in a fashion that 70% goes to the training step and 30% is kept for the test. The stability of all methods was analysed based on the standard deviation of ten repeated test. Furthermore, in order for the contingency impact to be reduced, average, maximum and minimum values are taken. In standard SVM, the penalty factor equals to 100, and the kernel function is 0.01. DTs minimum number of father nodes is 5. K = 5 is taken as the nearest neighbour number of KNN. Table 3. Classification results of the five tested methods: KNN, RF, DT, SVM and LS-SVM. KNN
RF
DT
SVM
LS-SVM
Max
100
100
100
100
100
Min
98,6842
98,6842
96,0526
89,4736
100
Mean
99,8684
99,4736
98,5526
96,3157
100
STD
0,4160
0,6794
1,5752
3,2704
0
The listed classification results in Table 3 show that LS-SVM gave the best performance in terms of accuracy and stability. It should be mentioned that the rest of the employed methods had given some decent outcome as well with a maximum value of 100% for all of them and a minimum accuracy of 89,4736 that corresponds to SVM classifier. Stability is also an important indicator of the adopted method’s robustness; it was evaluated based on the standard deviation computation of ten repetitive accuracy tests for each method. LS-SVM gave the best STD that equals to zero indicating its superiority over the rest of the classifiers. Inversely, SVM was found to be the less stable among all the methods with a STD of 3,2704. In order to offer an intuitive illustration of the classification effects resulting from these techniques, a graphical representation is respectively shown in Figs. 4 and 5. Confusion matrices in Fig. 4 illustrate the accuracy of each classification method and highlight the amount of the misclassified thermal images with respect to their original classes. Such ability allows to show the weakness in faults diagnosis of each classifier in term of the misclassified faults and the wrong classes that they were put in. The shown matrices belong all to the tenth classification test, as one can notice KNN gave, for this time, an accuracy of 100% but this doesn’t mean it had always been like this. On the other hand, LS-SVM was stable at this value (100%) for the whole ten executions of the program.
A New Transformer Condition Monitoring
415
Fig. 4. Confusion matrix of the employed methods plotted at the 10th experiment. ((a): KNN, (b): RF, (c): DT, (d): SVM and (e): LS-SVM).
In the classification charts that are presented in Fig. 5 we can say the interpretation as in confusion matrices, except that, this time, the graphical representation is different. It illustrates the mismatch and divergence between the output class and the target class in a gradual fashion depending on the number of samples that belongs to each class of transformer’s defects. This confusion confirms the difficulty to distinguish between the studied cases counting only on bare eyes or other less sophisticated image classifiers. LS-SVM had clearly proved its high reliability and adequate performance when it comes to transformer’s thermography fault’s diagnosis.
416
A. Mahami et al.
Fig. 5. Classification results of the employed methods plotted at the 10th experiment ((a): KNN, (b): RF, (c): DT, (d): SVM and (e): LS-SVM).
6 Conclusion Fault diagnosis of electrical transformers based on infrared thermography images was discussed in this paper. Feature extraction and LS-SVM classifier were used to identify and diagnose different stages of short circuits defects in the common core winding. These techniques were employed in the aim to demonstrate the effectiveness of this non-contact, non-intrusive approach by proving its accuracy, high sensitivity and its stability.
A New Transformer Condition Monitoring
417
The efficiency of the proposed method was validated by identifying nine sorts of electrical transformer. It was then compared with other existing classifiers, IRT images classification based on LS-SVM had indicated a 100% accuracy in the whole ten repeated tests this confirms a high stability of this classifier compared to the other considered methods. Therefore, LS-SVM for transformer’s IRT images faults classification can be rated as an alternative to monitor electrical transformers. Under the premise of the same input, LS-SVM classifier had proved its superiority since the classification effect is the highest and the most stable over KNN, RF, DT and standard SVM.
References 1. Shi, Y., Ji, S., Zhang, F., Ren, F., Zhu, L., Lv, L.: Multi-Frequency acoustic signal under shortcircuit transient and its application on the condition monitoring of transformer winding. IEEE Trans. Power Delivery 34(4), 1666–1673 (2019). https://doi.org/10.1109/TPWRD.2019.291 8151 2. Khrennikov, A.Yu.: Fault detection of electrical equipment. Diagnostic methods. Int. J. Autom. Control Eng. 2(1) (2013) 3. Drobyshevski, A.A.: Assessment of transformer winding mechanical condition by lowvoltage impulse method. In: 2003 IEEE Bologna Power Tech Conference Proceedings, vol. 2, p. 6 pp. (2003). https://doi.org/10.1109/PTC.2003.1304636 4. Ou, X.-B., Ji, S.-C., Wang, C.-J., Luo, Y.-Y.: Simulation of transformer short-circuit reactance with FEM by coupling magnetic field with electric circuit 46, 59–63 (2010) 5. Bagheri, M., Naderi, M.S., Blackburn, T., Phung, T.: Frequency response analysis and shortcircuit impedance measurement in detection of winding deformation within power transformers. IEEE Electr. Insul. Mag. 29(3), 33–40 (2013). https://doi.org/10.1109/MEI.2013. 6507412 6. Liu, Y., et al.: A study of the sweep frequency impedance method and its application in the detection of internal winding short circuit faults in power transformers. IEEE Trans. Dielectr. Electr. Insul. 22(4), 2046–2056 (2015). https://doi.org/10.1109/TDEI.2015.004977 7. Bacha, K., Souahlia, S., Gossa, M.: Power transformer fault diagnosis based on dissolved gas analysis by support vector machine. Electr. Power Syst. Res. 83(1), 73–79 (2012) 8. Cui, H., Chen, D., Zhang, Y., Zhang, X.: Dissolved gas analysis in transformer oil using Pd catalyst decorated MoSe2 monolayer: a first-principles theory. Sustain. Mater. Technol. 20, e00094 (2019) 9. Sikorski, W.: Development of acoustic emission sensor optimized for partial discharge monitoring in power transformers. Sensors (Basel, Switzerland) 19(8), 1865 (2019). https://doi. org/10.3390/s19081865 10. Kucera, M., Brncal, P., Cefer, V., Jarina, R., Gutten, M.: Analysis of acoustic and electromagnetic emission of traction transformers. Przegl˛ad Elektrotechniczny. https://doi.org/10. 15199/48.2021.05.19. ISSN 0033-2097, R. 97 NR 5/2021 11. Jeffali, F., Ouariach, A., Bachir, E., Nougaoui, A.: Diagnosis of three-phase induction motor and the impact on the kinematic chain using Non Destructive Technique of Infrared Thermography. Infrared Phys. Technol. 102 (2019). https://doi.org/10.1016/j.infrared.2019. 07.001 12. Bahramian, F., Mojra, A.: Thyroid cancer estimation using infrared thermography data. Infrared Phys. Technol. 104, 103126 (2019). https://doi.org/10.1016/j.infrared.2019.103126 13. Akula, A., Ghosh, R., Sardana, H.K.: Thermal imaging and its application in defence systems, vol. 1391 (2011). https://doi.org/10.1063/1.3643540
418
A. Mahami et al.
14. Xia, C., et al.: Infrared thermography-based diagnostics on power equipment: state-of-the-art. High Volt. 6 (2020). https://doi.org/10.1049/hve2.12023 15. Jia, Z., Liu, Z., Vong, C., Pecht, M.: A rotating machinery fault diagnosis method based on feature learning of thermal images. IEEE Access 7, 12348–12359 (2019). https://doi.org/10. 1109/ACCESS.2019.2893331 16. Najafi, M., Baleghi, Y., Mirimani, S.M.: Thermal images_1-phase_ dry type_Transformer. Mendeley Data, V1 (2020) 17. Suykens, J.A.K., Vandewalle, J.: Least squares support vector machine classifiers. Neural Process. Lett. 9(3), 293–300 (1999) 18. Kumar, G., Bhatia, P.: A detailed review of feature extraction in image processing systems (2014). https://doi.org/10.1109/ACCT.2014.74 19. Kumar, G., Bhatia, P.K.: A detailed review of feature extraction in image processing systems. In: 2014 Fourth International Conference on Advanced Computing & Communication Technologies, pp. 5–12 (2014). https://doi.org/10.1109/ACCT.2014.74 20. Canny, J.: A computational approach to edge detection. IEEE Trans. Pattern Anal. Mach. Intell. PAMI-8(6), 679–698 (1986) 21. Suykens, J.A.K., Vandewalle, J.: Least squares support vector machine classifiers. Neural Process Lett. 9, 293–300 (1999) 22. Vapnik, V.N., Vapnik, V.: Statistical Learning Theory, vol. 1. Wiley, New York (1998) 23. Gao, X., Wei, H., Li, T., Yang, G.: A rolling bearing fault diagnosis method based on LSSVM. Adv. Mech. Eng. (2020). https://doi.org/10.1177/1687814019899561
A Robust Decoupled Control of Electric Vehicle Using Type-2 Fuzzy Logic Controller Mohamed Kabir Billal Boumegouas(B) , Katia Kouzi, and M. Birame Laboratory of Semiconductors and Functional Materials, Amar Telidji University of Laghouat, P. O. B. 37G, Route de Ghardaïa, 03000 Laghouat, Algeria [email protected]
Abstract. For environmental reasons, Electric Vehicle (EV) is arousing renewed interest because it has the advantage of being non-polluting. As known Electric Vehicle (EV) is a complicated system due to non-linearity’s and unmeasured disturbance, which make it a challenge that faces the controller’s designer to improve the performance of the EVs. Intelligent controllers are frequently used in many areas and provide good results. These controllers have special calculation features for solving specific problems. Basis on this, we propose in this paper Type-2 Fuzzy Logic Control (T2FLC) for speed EV regulation. The main features of the suggested controller were compared with those of the classical type-1 FLC by simulation tests. Besides, a real model of the EV’s presented and evaluated under Artemis driving cycle. The obtained simulations results have proven that the T2FLC has an attractive result in terms of fast response and rapidity of rejection the perturbations, which confirm the robustness and high performances assured by the T2FLC. Keywords: Electric vehicle · Type-2 fuzzy logic controller · Type-1 fuzzy logic control · Six-phase PMSM · Artemis
1 Introduction Due to the numerous advantages offered by electric vehicles, such as B. reduction of gas emissions in the air, high efficiency. In recent years, electric vehicles have become a global challenge [1]. Permanent magnet synchronous motors (PMSMs) are an attractive option to meet EV needs such as large torque density, high efficiency moreover maintenance-free and little size [2, 3]. Compared to traditional PMSM, the six-phase PMSM increases power density, also reducing torque ripple, Moreover, fault tolerance is a surety of the EV safety [4]. To ameliorate the reliability, accuracy, and distribution rejection of the traction chain system of the EV, it has to suggest a robust control has a suitable impact. Hence, one of the most popular techniques currently consists of the use of fuzzy logic for the control of nonlinear systems [5, 6]. It is a type of automatic control based on heuristic reasoning that can be converging any nonlinear function with a specific level of precision [7]. Fuzzy logic is described as a collection of rules that may utilize to describe the behavior of complex systems that is not easy to insert mathematically. This control defines © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 419–426, 2023. https://doi.org/10.1007/978-3-031-21216-1_44
420
M. K. B. Boumegouas et al.
as a mathematical translation of oral representations based on expert experience into a computer environment. Depending on these, FLC has attracted major interest in several fields such as modern technology developed in data analysis, nonlinear methods also production engineering, and pattern recognition. Information technology. Nevertheless, the type 2 fuzzy logic controller (T2FLC) structure is known as one of the compelling last research topics. Furthermore, the hardness of realization of the T2FLC structure hasn’t encouraged the researchers and scientists in this control. Nevertheless, it has been numerous research on this due to the model uncertainty of T2FLC is better than T1FLC which offers a high-performance control versus parameter changes and system uncertainties that is ensured by its robust structure [8, 10]. This paper has introduced the fuzzy logic control type 2 and contrasted it to fuzzy type 1 based on the traction chain of EV associated with six-phase PMSM. We began with the model in dq frame of the six-phase PMSM. Secondly, we present T2FLC and T1FLC as well. Then, moving to introduce the dynamic model of the EV. Fourthly, the results of the two controllers have been carried out and compared under the same conditions in terms of effectiveness and robustness. Finally, we have a conclusion of the work presented.
2 Modeling of Six-Phase PMSM The six-phase PMSM stator winding is shifted by thirty electrical degrees as shown in Fig. 1. These latest are fed by two three-phase voltage source inverters. We have a tendency to use Park transformation matrix in order to control the six-phase PMSM by transferring the (abc-def) winding to synchronous rotating coordinate system.
Fig. 1. Configuration of asymmetric six-phase PMSM drive.
The model of a six phase PMSM is delineate in dq frame as follows [1] and [7]: d id 1 dt d id 1 dt d id 1 dt d id 1 dt
1 −Rs id 1 + ωe Lq1 iq1 + Vd 1 Ld 1 1 −Rs id 1 − ωe Ld 1 id 1 + ϕf + Vq1 = Ld 1 1 −Rs id 2 + ωe Lq2 iq2 + Vd 2 = Ld 1 1 −Rs id 1 − ωe Ld 1 id 1 + ϕf + Vq1 = Ld 1 =
A Robust Decoupled Control of Electric Vehicle
ωe =
P ωr 2
421
(1)
Torque developed by six-phase PMSM can be writing as: Te = 1.5 Ld 1 id 1 + ϕf iq1 + Ld 1 − Lq1 id 1 iq1 + Ld 1 id 1 + ϕf iq1 + Ld 1 − Lq1 id 1 iq1
(2)
Six-phases PMSM mechanical dynamic equation is given: Te = J
d ωr + Bωr + TL dt
(3)
3 Fuzzy Logic Control T1FLC sets can model the uncertainty in a single user’s semantic concept, that is, the uncertainty within the individual. T1FLC successfully used in machine learning as well as controls. T1FLC has two linguistic input variables: the rotational velocity error (e) and its variations (e) and one linguistic output variable is electromagnetic torque variation Tem . The inputs T1FLC equation are as follow: e(k) = Ke ref (k) − Dsim (k) , e(k) = Ke (e(k) − e(k − 1)) (4)
4 Structure of T2FLC Compared to T1FLC, the T2FLC has shown a preferable performance, due to its setting that can contemporaneously, model multiple in vivo uncertainty and inter-individual uncertainty. It has been some works that have proven that T2FLC performance better than T1FLC [12, 14]: • The model of uncertainty intra/inter individual can be ensured simultaneously by sets of T2FLC. However, T1FLC sets only model intra-individual doubts. • To attain an equivalent function, the quantity of rules needed by the interval T2FLC is a fewer than T1FLC one. • The T2FLC robustness improved due to the soft surface of the interval when it is near the steady-state. Triangular membership functions are chosen in this study as presented in Fig. 2. Moreover, two inputs and one output as same as T1FLC are selected for the design of T2FLC. Hence, Table 1 is giving the rules obtained by T2FLC structure.
422
M. K. B. Boumegouas et al.
The sum-product inference algorithm was chosen to finalize the fuzzy procedure. Meanwhile, gravity center method been introduced to defuzzification process. In both FLC types, five sets are given respectively: NB: Negative Big; NS: Negative Small; EZ: Zero; PS: Positive Small; PB: Positive Big. as result, 25 rules are obtained.
Fig. 2. T2FLC control Inference and Membership functions, (a) Table of Inference rules, (b) Membership functions of inputs and output of T2FLC.
5 Dynamic Model of Electric Vehicle In this part, we have a trend to introduce an electrical vehicle model in order to check the robustness of the suggested control (Fig. 3).
Fig. 3. Forces applied on electric vehicle.
The power of EV is given in equation below in function of all forces applied on surface of the EV as follow: PVE = FT VVE
(5)
d roue 2 2 PVE = MVE .g.(C0 + C1 ).VVE VVE + MVE .g. sin α + 0.5.ρ.Cx .VVE + MVE .r. dt (6) The Table 1 shows the parameters of the EV [15], and six-phase PMSM parameters as well.
A Robust Decoupled Control of Electric Vehicle
423
Table 1. Parameters of EV & the six-phase PMSM. Parameter EV EV Weight Force of gravity Radius of the wheel Density of the air Front surface(S) Coefficient of air penetration(Cx) Coefficient of rolling resistance in the dynamic state (C0) Coefficient of Rolling Resistance to Static State (C1) Slope of the road(α)
Quantity 820 kg 9.81 m/s2 0.33 m 1.2 kg/m3 2.75 0.3 1.6e-6
Parameter six-phase PMSM
0.008
Quantity 1.9 Ω 0.000835 H 0.000835 H 4 0.015 0.0954 0.353 Wb 800 V
Rs Ld Lq P J B φf Vdc
2.5%
6 Simulations Results To explain the advantages given by the proposed control, various simulation for different operating conditions have been carried out. This simulation is done using MATLAB/Simulink. Over the simulation, the reference speed is given as 50 rad/s and change to 100 Rad/s at 0.2 s, as for the load torques is 30 N.m and varied to 120 N.m at 0.3 s.
(a)
(b)
Fig. 4. Performance of suggested control T2FLC & T1FLC, (a) speed performance; (b) Torque performance.
Table 2. Comparative analysis of the T2FLC & T1FLC. Response time Overshoot pics Rejection of the perturbation Torque ripple Fuzzy type1 6.5 ms
50.5 rad/s
0.4 ms
6.3%
Fuzzy type2 4.12 ms
52.7 rad/s
0.11 ms
8.27%
424
M. K. B. Boumegouas et al.
Fig. 5. Speed performance to T2FLC.
Fig. 6. Torque performance to T2FLC.
Fig. 7. Power performance of suggested control T2FLC.
A Robust Decoupled Control of Electric Vehicle
425
7 Discussion Figure 4a illustrate that the speed developed by T2FLC & T1FLC has following the reference speed greatly. The T2FLC has offered an acceptable overshoot also a fast time response, and a fast rejection of perturbation compared to T1FLC. Meanwhile, T1FLC is given a less overshoot compared to T2FLC but a very long time of rejection of the perturbations as shown in the zoom Fig. 4a. As for torque response, the T2FLC & T1FLC have offered good results during the simulation. Nevertheless, T2FLC has shown a good performance compared to T1FLC in the pics as fast response to changes that appeared such as speed change and applied of load torque. as for the torque ripple, they both presented an approximately the same performances ans shows in Fig. 4b. The Table 2 has summed the comparative between the two controllers and proven that the robustness and high performance has been guaranteed by using T2FLC over T1FLC. Based on the results obtained above and the Table 2 that shows T2FLC is a better controller for the traction chain, Hence, to check the effectiveness of the suggested controller, a real model of EV under the Artemis driving cycle is introduced. From gained results, it’s obvious that T2FLC keeps tracking the reference instructions of EVs. As apparently, the T2FLC shows very few errors in acceleration and deceleration phases which are almost nonexistent for speed performance. As for torque developed by the T2FLC, the ripples are reduced. Meanwhile, for the power, it’s evident that the power supply by the six-phase PMSM controlled by T2FLC has following the power demand by the EV with reduced ripples and no losses in acceleration and deceleration. Which confirmed by the Figs. 5, Fig. 6 and Fig. 7.
8 Conclusion In this study; it was proposed robust control based on type 2 fuzzy logic control technique to enhance the dynamic and static performance of EV propelled by six-phase PMSM. From the results acquired, the following conclusions may be drawn: T2FLC has been precisely demonstrated in EV associated with six-phase PMSM showing highperformance dynamic performance. Besides, it greatly improves the dynamic performance and the effectiveness of lightweight electric vehicles propelled by six-phase PMSM. The T2FLC exhibits high robustness in terms of noise suppression speed and torque ripple reduction.
References 1. Boumegouas, M.K.B., Kouzi, K.: Novel synergetic control of electric vehicle propelled by six phases permanent magnet synchronous motor. In: Hatti, M. (ed.) IC-AIRES 2021. LNNS, vol. 361, pp. 633–642. Springer, Cham (2022). https://doi.org/10.1007/978-3-030-92038-8_63 2. Sant, A.V., Khadkikar, V., Xiao, W., Zeineldin, H.H.: Four-axis vector-controlled dual-rotor PMSM for plug-in electric vehicles. IEEE Trans. Ind. Electron. 62(5), 3202–3212 (2014) 3. Lara, J., Xu, J., Chandra, A.: Effects of rotor position error in the performance of fieldoriented-controlled PMSM drives for electric vehicle traction applications. IEEE Trans. Ind. Electron. 63(8), 4738–4751 (2016)
426
M. K. B. Boumegouas et al.
4. Tong, C., Wu, F., Zheng, P., Sui, Y., Cheng, L.: Analysis and design of a fault-tolerant six-phase permanent-magnet synchronous machine for electric vehicles. In: 2014 17th International Conference on Electrical Machines and Systems (ICEMS), pp. 1629–1632. IEEE (2014) 5. Kouzi, K., Naït-Saïd, M.S.: Adaptive fuzzy logic speed-sensorless control improvement of induction motor for standstill and low speed operations. COMPEL - Int. J. Comput. Math. Electr. Electron. Eng. (2007) 6. Lakhdar, M., Katia, K.: Influence of fuzzy adapted scaling factor on the performance of a fuzzy logic controller based on an indirect vector control for induction motor drive. J. Electr. Eng. 55(7–8), 188–194 (2004) 7. Boumegouas, M.K.B., Kouzi, K.: A new synergetic scheme control of electric vehicle propelled by six-phase permanent magnet synchronous motor. Adv. Electr. Electron. Eng. 20(1), 1–14 (2022) 8. Zadeh, L.A.: The concept of a linguistic variable and its application to approximate reasoning—I. Inf. Sci. 8(3), 199–249 (1975) 9. Mendel, J.M., John, R.B.: Type-2 fuzzy sets made simple. IEEE Trans. Fuzzy Syst. 10(2), 117–127 (2002) 10. Kumbasar, T., Eksin, I., Guzelkaya, M., Yesil, E.: Type-2 fuzzy model based controller design for neutralization processes. ISA Trans. 51(2), 277–287 (2012) 11. Np, A.: Speed and torque control of permanent magnet synchronous motor using hybrid fuzzy proportional plus integral controller. J. Vib. Control 21(3), 563–579 (2015) 12. Liu, J., Zhao, T., Dian, S.: General type-2 fuzzy sliding mode control for motion balance adjusting of power-line inspection robot. Soft. Comput. 25(2), 1033–1047 (2020). https://doi. org/10.1007/s00500-020-05202-1 13. Kaya, ˙I, Turgut, A.: Design of variable control charts based on type-2 fuzzy sets with a real case study. Soft. Comput. 25(1), 613–633 (2020). https://doi.org/10.1007/s00500-020-051 72-4 14. Bennaoui, A., Saadi, S., Ameur, A.: Invasive weed optimization algorithm for tuning transitioning from Type-1 to interval Type-2 fuzzy logic controller for boost DC-DC converters. Journal Européen des Systèmes Automatisés 53(2), 195–202 (2020) 15. Bendjedia, B., Bouchafaa, F., Rizoug, N., Boukhnifer, M.: Comparative study between battery and supercapacitor hybridization with fuel cells for automotive applications. In: 2017 4th International Conference on Control, Decision and Information Technologies (CoDIT), pp. 0833–0838. IEEE (2017)
Analysis Techno-Economic of a Stand-Alone Photovoltaic System Using a Specialized Advanced Simulation Software for Different Zones in Adrar Region T. Touahri1(B) , B. Berbaoui2 , R. Maouedj1 , and S. Laribi2 1 Unité de Recherche en Energies renouvelables en Milieu Saharien UERMS, Centre de
Développement des Energies Renouvelables, CDER, 01000 Adrar, Algeria [email protected] 2 LDDI–Laboratory, Faculty of Science and Technology, University Ahmed Draia of Adrar, 01000 Adrar, Algeria
Abstract. Renewable energy sources such as wind and solar energy are an alternative to fossil fuels used to generate electricity because they are inexhaustible sources, clean, and contribute to sustainable development. In this study, the performance of a stand-alone photovoltaic system is studied through technical and economic analysis. The photovoltaic system is designed to produce about 3.52 kW of electricity to generate the power needed by an independent house in three remote areas in southwestern Algeria. The techno-economic analysis of autonomous PV systems in the three regions was estimated using the HOMER software. The techno-economic valuation and production of solar energy are analysed, in this study, by utilizing daily solar radiation and average temperature data in the Adrar region. The techno-economic valuation and production of solar energy are analysed, in this study, by utilizing daily solar radiation and average temperature data in the Adrar region. The results show that regions of the south of Algeria are excellent regions for investment in the system photovoltaics in the electricity production, where the average cost of energy (COE) is 0.797 e/KWh and the average net cost is 18001.21 e for the three zones. Keywords: Photovoltaic · Power · Stand-alone · Performance · Homer
1 Introduction The exploitation of renewable energies in the electrification of buildings in the isolated sites in our country represents a solution to the problem of connecting the electrical grid to remote areas, which cost the state large financial burdens [1]. The photovoltaic system knows a great growth all over the world, in Algeria because of the new version of the national program for the development of renewable energies raises production capacity from renewable sources up to 22,000 MW instead of 12,000 MW as agreed in the previous program. The solar photovoltaic and wind power sectors are particularly © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 427–436, 2023. https://doi.org/10.1007/978-3-031-21216-1_45
428
T. Touahri et al.
favored. For the needs of the national market, over the period 2015–2030, 22,000 MW will be achieved instead of 12,000 in the 2011 program. This major project will produce by 2030 [2]. The production of clean energy in sufficient quantities for countries has become a current problem, for this reason, the efficient use of renewable energy and clean renewable energy resources alongside existing energy sources will be an effective and practical option for meeting energy needs [3]. The great use of PV systems replaces or reinforces traditional central stations of electricity production as the investment in the grid has a positive overall financial effect, the electric power generated by the PV system has a special value when it synchronizes with the high demand like during summer, especially in the afternoon when the wide use of air conditioning complements this, solar PV systems can also improve the reliability of the system, and can also minimize transmission and distribution losses as they generate the electricity relative to the place where it is consumed [4, 5]. The Homer program is developed by the U.S. Department of Energy’s National Renewable Energy Laboratory (NREL), the program is used in feasibility studies, design and optimizing energy systems. Where the user chooses the site, loads and database for the various components then the program simulates all parameters of the system [6]. In this work, a techno-economic study of a stand-alone PV system to supply electricity for a house in isolated area in three zones Adrar state (Adrar, Tinerkouk, and Timiaouine). This study use the Homer program to simulate the PV system.
2 Methodology 2.1 Description of the Sites The geographical location of the three zones is shown in Fig. 1 and Table 1 presents their coordinate data. Adrar region is situated in the southwest of Algeria at 27° 49’ N and 00°17’ W with a height of 263 m above sea level. It is characterized by a hot desert climate and periodic wind [7].
Fig. 1. Geographical location of the three zones in Adrar [8].
Analysis Techno-Economic of a Stand-Alone Photovoltaic System
429
Table 1. Geographical coordinates of the study zones Zone
Latitude (°N)
Longitude (°W)
Altitude (m)
Surface (km2 )
Adrar
28° 1’
0°16’
258
633
Tinerkouk
29° 41’
0°41’
482
20.131
Timiaouine
20° 26’
1°48’
582
12.553
2.2 The Meteorological Data Algeria has a large stock of sun energy due to its geographical location. Sun exposure exceeds 2,000 h annually across the country [9]. Adrar zone has rich solar radiation throughout the year, the average solar radiation is between 3 and 8 kWh/m2 [10]. The Fig. 2 shows the monthly average solar radiation of Adrar, Tinerkouk, and Timiaouine.
Fig. 2. The monthly average solar radiation of Adrar, Tinerkouk, and Timiaouine.
The data of ambient temperature are essential for defining the present output power of the PV panels Fig. 3 shows the monthly average ambient temperature for the proposed sites [11]. The minimum and maximum ambient temperatures were 11.9 °C, 10.5 °C, and 16.67 °C (January) and 37.57 °C, 34.51 °C, and 33.9 °C (July) for Adrar, Tinerkouk, and Timiaouine respectively.
430
T. Touahri et al.
Fig. 3. The daily temperature (°C) of Adrar, Tinerkouk, and Timiaouine.
2.3 House Electric Load Demand The scaled annual average load is 4.79 kWh/day with a daily and a maximum payload of 0.74 kW. Figure 4 illustrate the daily load profile of house for different seasons in the three regions. The low demand is from 02:00 to 12:00 h and the highest electricity consumption occurred from 13:00 to 15:00.
Fig. 4. Profile of electrical load
2.4 Structure of the System Figure 5 represents a HOMER system configuration, there are four main components of the system; Photovoltaic panels, inverter, load and batteries.
Analysis Techno-Economic of a Stand-Alone Photovoltaic System
431
Fig. 5. Stand-Alone PV system model in HOMER.
In the proposed PV energy system, Solar PV panels have been incorporated to use the available solar radiation in the study zones. Batteries have been considered for electricity storage to supply electricity in the absence of sunlight. For the project, an annual interest rate of 10% and project life time of 25 years. 2.5 Economic Model The economic estimate is a fundamental section of the HOMER software because of its essential aim (minimize the cost). The top solution for different system models is evaluated using NPC and COE. In addition, the best system component collection is ranked founded on the lowest lifespan price [12]. The cost of energy (COE) is the average cost of electrical energy produced by the system, COE is the total annual cost (Cat ) divided by the total electricity load served (Eser ), as follows [13]: COE =
Cat Eser
(1)
The total net present cost (NPC) is the principal economic output for the system; it is based of the total annualized cost, and the capital recovery of the system. The net present cost is calculated according to the following equation [14, 15]: NPC =
Cat CRF(i, Rp)
CRF(i, Rp) = where: CRF: capital recovery factor i interest rate (%) Rp: project lifetime (yr)
i(i + 1)Rp (i + 1)Rp − 1
(2) (3)
432
T. Touahri et al.
The annual interest rate is calculated by the following equation [16]: i=
in − f 1+f
(4)
where In : is the nominal interest rate (%), f: is the annual inflation rate (%).
3 Results and Discussions Utilizing HOMER input data for optimizing the configuration of the system to meet the load demand of 4.79 kWh/day with a stand-alone PV system including PV panels, inverter, and battery. The system consists of Generic flat plate PV modules, system converter, and Generic 1KWh lead acid batteries. The COE and NPC of the three zones are 0.770 e and 17 388,86 e for Adrar, 0,733 e and 16 555,82 e for Tinerkouk, and 0,888 e and 20 058,95 e for timiaouine. Table 2 present the detailed cost analysis. Table 2. Economic parameters of optimal PV system in the study zones Component Capital Operating Replacement Salvage cost cost (e) cost (e) (e) (e) Adrar
Tinerkouk
Battery
3900
1681
3445
Resource Total (e) ($)
−467.14 0
8559
PV
7691
397.70
0
0
0
8089
Inverter
417.61
179.96
177.18
−33.35
0
741.41
System ($)
12009
2258
3623
−500.49 0
17389
Battery
3900
1681
3445
−467.14 0
8559
PV
6960
359.91
0
0
0
7320
Inverter
381.33
164.32
161.79
−30.45
0
676.98
System ($)
11241
2205
3607
−497.59 0
16556
5700
2456
5036
−682.74 0
12509
Timiaouine Battery PV
6770
350.09
0
0
0
7120
Inverter
241.92
104.25
102.64
−19.32
0
429.48
System ($)
12712
2911
5138
−702.06 0
20059
Analysis Techno-Economic of a Stand-Alone Photovoltaic System
433
The monthly average electric production of systems in the study zones is shown in Fig. 6, The maximum monthly average electric production is in April (0.68 KW) for Tinerkouk, while the minimum is in December (0.35 KW) for Timiaouine. Monthly average electric production values are relatively higher in April, and August for the three zones all year.
Adrar
Tinerkouk
Timiaouine
Elctric Production (KW)
0.8
0.6
0.4
0.2
0
Jan Fab Mar Apr May Jun Jul Aug Sep Oct Nov Dec Months
Fig. 6. The monthly average electric production of systems
PV array output is significantly dependent on data meteorological such as temperature and solar irradiance and can be calculated by the following equation [17]: PPV = PPVrated .fPV
IT 1 + KC TC − Tref IS
(5)
where PPVrated : the PV rated power at standard test conditions (kW), fPV : the PV derating factor (%), IT : global solar radiation incident (kW/m2 ), IS : the solar radiation at standard temperature conditions (IS = 1 kW/m2 ), Kc : the temperature coefficient of the PV array (%/ºC), Tc : the PV cell temperature (ºC), Tref : the PV cell temperature under standard test conditions (25 ºC). Figure 7, 8, and 9 show the effect of electricity production, NPC, and COE of stand-alone PV systems for Adrar, Tinerkouk, and Timiaouine respectively. As the electricity production increases, NPC and COE values of the PV systems for the three zones increase.
T. Touahri et al.
NPC
16720
COE
0.74
16680
NPC (€)
0.736
16600 16560
COE (€/kWh)
0.738 16640
0.734 16520 0.732
16480 5111
5127
5160
4179
5191
Electricity production (KWh/yr)
Fig. 7. The effect of electricity production, NPC, and COE for Tinerkouk NPC
COE
17520
0.775
0.773 17440 17400 0.771
COE (€/kWh)
NPC (€)
17480
17360 0.769
17320 5555
5569
5578
5594
5611
Electricity production (KWh/yr)
Fig. 8. The effect of electricity production, NPC, and COE for Adrar NPC
COE
20200
0.894 0.893
20160 20120
0.89 0.889
20080
0.888
COE (€/kWh)
0.892 0.891
NPC (€)
434
0.887
20040
0.886 0.885
20000 4639
4649
4675
4699
4721
Electricity production (KWh/yr)
Fig. 9. The effect of electricity production, NPC, and COE for Timiaouine
Analysis Techno-Economic of a Stand-Alone Photovoltaic System
435
4 Conclusion In this study, the techno-economic analysis of stand-alone PV power systems with battery storage for a building in the three zones of the Adrar region was examined. The results showed that PV system with battery system is a good solution for remote areas. The COE and NPC of the three zones are 0.770 e and 17 388,86 e for Adrar, 0,733 e and 16 555,82 e for Tinerkouk, and 0,888 e and 20 058,95 e for timiaouine, the NPC and COE values of the PV systems for the three zones increased with the electric production increased. From an economic perspective, Tinerkouk, with its climate conditions, may be especially suitable for PV power generation in the considered zones. It is expected that the results will help policymakers in future energy planning in the region as well as providing an alternative option for the government in electrifying remote areas. Due to the rapid growth of the building sector in Algeria in terms of both power generation capacity as well as the extension of the grid, technical and economic analysis is needed to contribute to the state’s prospective work.
References 1. Koussa, D., Alem, M., Belhamel, M.: Système hybride (eolien, solaire) pour l’alimentation electrique d’une charge à usage domestique. Rev. Energ. Ren. Zones Arides 1–8 (2002) 2. Le société Sonelgaz: Énergies renouvelables. http://www.sonelgaz.dz/?page=article&idb=3 3. O˘guz, Y., Özsoy, M.F.: Sizing, design, and installation of an isolated wind-photovoltaic hybrid power system with battery storage for laboratory general illumination in Afyonkarahisar, Turkey. J. Energy South. Africa 26(4), 70–80 (2015) 4. Singh, S.N., Singh, B., Ostergaard, J.: Renewable energy generation in India: present scenario and future prospects. In: 2009 IEEE Power & Energy Society General Meeting, pp. 1–8. IEEE (2009) 5. Jamil, M., Kirmani, S., Rizwan, M.: Techno-economic feasibility analysis of solar photovoltaic power generation: a review smart grid and renewable. Energy 2012(3), 266–274 (2012) 6. Rezzouk, H., Mellit, A.: Feasibility study and sensitivity analysis of a stand-alone photovoltaic–diesel–battery hybrid energy system in the north of Algeria. Renew. Sust. Energ. Rev. 43, 1134–1150 (2015) 7. Hammaoui, K., Hamouda, M., Touahri, T.: A technical and economic evaluation of renewable energy in the Sahara: a case study of Adrar, Algeria. PONTE Int. J. Sci. Res. 76(6), 20–30 (2020). https://doi.org/10.21506/j.ponte.2020.6.3 8. Kholladi, M.K.: SIG pour l’Etude de l’Evolution de la Répartition de la Population de la Wilaya d’Adrar. In: 4th International Conference: Sciences of Electronic, Technologies of Information and Telecommunications – TUNISIA (2007) 9. Touahri, T., Aoun, N., Maouedj, R., Laribi, S., Ghaitaoui, T.: Design of stand-alone PV system to provide electricity for a house in Adrar, Algeria. In: Hatti, M. (ed.) ICAIRES 2018. LNNS, vol. 62, pp. 225–232. Springer, Cham (2019). https://doi.org/10.1007/978-3-030-04789-4_25 10. Laaboudi, A., Slama, A.: Potential evapotranspiration estimation from piche evaporimeter measurements based on adaptive neuro fuzzy inference system technique. PONTE 75(2), 92–107 (2019) 11. Li, C., Zhou, D., Zheng, Y.: Techno-economic comparative study of grid-connected PV power systems in five climate zones, China. Energy 165, 1352–1369 (2018)
436
T. Touahri et al.
12. Aderemi, B.A., Chowdhury, S.D., Olwal, T.O., Abu-Mahfouz, A.M.: Techno-economic feasibility of hybrid solar photovoltaic and battery energy storage power system for a mobile cellular base station in Soshanguve, South Africa. Energies 11(6), 1572 (2018). https://doi. org/10.3390/en11061572 13. Louafi, N., Khaldi, F.: Techno-economic study of photovoltaic pumping system for a remote area in Algeria. Revue des matériaux et énergies renouvelables 2(1), 21–27 (2017) 14. Hiendro, A., Kurnianto, R., Rajagukguk, M., Simanjuntak, Y.M.: Techno-economic analysis of photovoltaic/wind hybrid system for onshore/remote area in Indonesia. Energy 59, 652–657 (2013) 15. Demiroren, A., Yilmaz, U.: Analysis of change in electric energy cost with using renewable energy sources in Gokceada, Turkey: an island example. Renew. Sust. Energ. Rev. 14, 323–333 (2010) 16. Dursun, B.: Determination of the optimum hybrid renewable power generating systems for Kavakli campus of Kirklareli University, Turkey. Renew. Sust. Energ. Rev. 16(8), 6183–6190 (2012) 17. Das, B.K., Hoque, N., Mandal, S., Pal, T.K., Raihan, M.A.: A techno-economic feasibility of a stand-alone hybridpower generation for remote area application in Bangladesh. Energy 134, 775–788 (2017)
Convolution Neural Network Deployment for Plant Leaf Diseases Detection Dalila Cherifi(B) , Meroua Bayou, Assala Benmalek, Ines Mechti, Abdelghani Bekkouche, Belkacem Bekkour, Chaima Amine, and Halak Ahmed Institute of Electrical and Electronic Engineering, University of Boumerdes, Boumerdes, Algeria [email protected], [email protected], [email protected], [email protected]
Abstract. The automated identification of plant diseases based on plant leaves is a huge breakthrough. Furthermore, early and accurate detection of plant diseases positively impacts crop productivity and quality. However, managing the accessibility of early plant disease detection is crucial. This work has environmental goals aiming to save plants from different threatening diseases by providing early detection of the affected leaves. We studied the performance of different Convolutional Neural Network (CNN) architectures in predicting 26 diseases for 14 plant species. The work studied the complexity of the system and compared the two main deep learning frameworks, TensorFlow and PyTorch, to get the most accurate results with higher accuracy. Using the “New PlantVillage Dataset” from Kaggle [1], the TensorFlow models achieved an accuracy of 90,94% for the basic CCN architecture, and 95,59% for the Transfer Learning architecture with VGG19. Whereas the PyTorch models achieved an accuracy of 93,47% for the basic CCN architecture, and 98,53% for the Transfer Learning architecture with ResNet34. Finally, after examining the feasibility of the model’s implementation and discussing the main problems that may be encountered, the models were deployed in a mobile application using the Tflite and torch mobile flutter SDK to let them as an internal feature in the mobile without the need for any access to the cloud, which is known as edge AI. Keywords: Plant leaf diseases detection · Convolutional Neural Network (CNN) · Transfert learning
1 Introduction By 2050, the global population is predicted to reach about 10 billion people [2]. This means that the market demand for food will continue to grow. Additionally, projections show that feeding the world by that time would require raising food production by 70% [3, 4]. In other words, the agriculture sector will face multiple challenges. However, one of the most dangerous reasons that may decrease the food production rate is infectious plant diseases. 80% of the food consumed by humans is primarily provided by plants. Unfortunately, plant diseases affect food production with a range of up to 30% loss continuously. These losses may lead populations to become reliant on imported goods. The incorrect examination and the late detection of these diseases lead to their spread © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 437–447, 2023. https://doi.org/10.1007/978-3-031-21216-1_46
438
D. Cherifi et al.
infecting other healthy plants, thus destroying crops and causing losses of up to onethird of crop production annually [5]. Hence, adopting new agricultural technologies and methodologies is required since the traditional ways are no more efficient. The preliminary stage of plant disease diagnosis is a significant task, where farmers need periodic monitoring by professionals, which might be costly and time-consuming. Here comes the role of artificial intelligence in improving the agriculture sector by replacing traditional methods with quick, less costly, and precise ways to smartly detect diseases from the indicators that look to be on the plant leaves. This paper aims to build a flutterbased mobile app for plant leaf disease recognition using deep learning algorithms. A comparative study is one based on different experiments. The work covers deep learning-based models, with both the TensorFlow and PyTorch frameworks and different architectures. This article consists of four sections, the second section covers information and the related work on plant disease detection. The third section presents how we used the Convolutional Neural Networks and their parameters in plant leaf disease recognition. The fourth section includes the experimental parts and the results, in addition to the model deployment on a mobile App, followed by a discussion and a conclusion.
2 Related Work on Plant Diseases Recognition Plant diseases are one of the most common problems in agriculture. However, treatment of the affected plants is frequently done in a late stage using chemical control after the disease has spread. In the world of agriculture, the automated diagnosis of plant diseases using plant leaves is a big step forward. In addition, early and accurate detection of plant diseases improves crop output and quality. Even an agriculturist and pathologist may fail to identify diseases in plants by viewing disease-affected leaves due to the cultivation of a vast variety of crop items. However, in underdeveloped countries’ rural areas, visual inspection is still the major method of illness detection. It also necessitates expert monitoring regularly. Farmers in rural places may have to travel a long distance to visit an expert, which is both time-consuming and costly [6]. In general, a plant gets diseased when a causal element affects its normal structure, development, function, or other activities, resulting in an atypical physiological process. Undesirable symptoms or conditions result when one or more of a plant’s vital physiological or biochemical processes are disrupted. Depending on the type of primary causative agent, plant diseases are classified as infectious or noninfectious. Unfavorable growing conditions, such as temperature extremes, poor moisture-oxygen connections, poisonous compounds in the soil or atmosphere, and an excess or shortage of an important mineral, cause noninfectious plant illnesses [7, 8]. In-plant disease identification and detection as part of many agricultural applications, the extraction of data required for analysis are done through image processing methods and machine learning applied to images of plant leaves [9]. The process of the general structure of plant disease identification via image processing begins with acquiring images through a camera or available databases, and the captured images are in RGB (Red, Green, and Blue), for which a color transformation structure is created. Then, different image preprocessing techniques are used to remove noise in an image; it is required to resize the leaf images from high resolution to low resolution to extract the
CNN Deployment for Plant Leaf Diseases Detection
439
interesting region, and this is done through the process of interpolation (converting the input image into a grayscale image with color conversion). The photos are then enhanced and smoothed to get a higher clarity. After that, the image segmentation approach divides the image into several segments based on comparable properties for further examination. Various methods are available for this technique, such as region and edge-based methods, boundary and spot detection algorithms, Otsu’s method, k-means clustering, and thresholding techniques. Feature extraction, which is the process of evaluating only the interesting area of a picture as a compressed feature set, will be applied using the dimensions reduction approach. Various features, such as texture, edges, color, and morphology can be extracted to identify the plant disease. Lastly, classifiers are used to categorize the different diseases that occur on plant leaves based on obtained features [10]. The most commonly used classifiers in earlier work to detect diseases in plants are Support Vector Machines (SVM), K-nearest neighbors (K-NN), Artificial Neural Network (ANN), and Convolutional Neural Network (CNN) [11]. In 2016, Parikh et al. [12] proposed a system that detects one of the main diseases in India using cascades of KNN classifiers and multiple training sets. The authors collected 150 natural images of cotton plants from a university and achieved an accuracy of 82.5%. On the other hand, Badol et al. [13] used 137 images of grapes to detect and classify leaf diseases of grapes using the SVM classification technique. First, images are preprocessed to remove noise using a gaussian filter, and unwanted components are removed using thresholding. Then, the diseased region is found using segmentation by K-means clustering, and color and texture features are extracted. Finally, SVM is a classification approach that is used to determine the kind of leaf disease. The system provided an accuracy of 88.89%. In 2017, Ramcharan et al. [14] used transfer learning from the CNN model for sugarcane disease identification. The classification of the disease has been done in three ways: KNN, SVM, and the softmax layer of Inception v3. The dataset contained 11,670 images, and the obtained accuracy was 73% by applying KNN and 91% by using SVM. Moreover, Singh et al. used 500 images collected from an agricultural research and extension center in India for the detection of fungal rust disease in the Pea Plant. The Support Vector Machine classifier was employed to detect pea plant leaf disease, and it was shown to be 89.60% accurate in detecting and examining illness. Finally,Suresha et al. [15] have identified two diseases seen in paddy plants, Blast and Brown Spot. The system took about 300 images as inputs. KNN and Otsu were employed for identification, and the thresholding approach was utilized for fragmenting the abnormal pictures, resulting in an overall accuracy of 76.59%. Kumar et al. in 2018 Developed in this study a relatively new exponential spider monkey optimization approach (ESMO) for feature selection and many techniques for the classification of healthy and diseased leaves: KNN, SVM, ZeroR, and LDA with a dataset containing 1000 images of Potatoes and Apples from PlantVillage dataset. SVM classifier performed better than the other classifiers, with 92.12% accuracy. In 2019, Hossain et al. [16] performed color and texture-based detection and classification of plant leaf diseases. The dataset comprises 237 leaf images and the performance of the classification using KNN provides 96.76% accuracy. Moreover, Abdulridha et al. studied two steps for the classification process of laurel wilt in avocado, Neural Network Multilayer Perceptron (MLP) and K-NN. The MLP approach obtained higher classification values than the K nearest neighbor and reached up to 99%. Finally,
440
D. Cherifi et al.
Aruraj et al. worked on Banana plant disease classification using 123 images from the PlantVillage dataset. The technique of local binary pattern has been used for texture analysis and SVM as a classifier. The maximum accuracy that the proposed work attained was 90.9% [17]. In a previously published work [18], the authors’ conclusion was that there are numerous developed ways for identifying and classifying plant diseases. But there is still no reliable and practical commercial approach for diagnosing the illnesses. Hence after experiencing the different deep learning models (InceptionV3, InceptionResnetV2, MobileNetV2, EfficientNetB0) they concluded that the most optimized model that limits the parameter number and operations as much as possible is the MobileNetV2 which makes it possible to be easily run-on mobile devices. In another work [19] the author used a MobileNet pre-trained model in plant leaf disease classification using TensorFlow. The deployment was done only on Android edge devices. In this paper, we are proposing different deployment approaches using TensorFlow and PyTorch frameworks for both Android and iOS. Then, in order to compare their results, we deployed these models after optimizing them as tflite and torch models in order to make them a practical solution for plant disease detection for both Android and iOS devices using flutter SDK.
3 Plant Leaf Diseases Recognition Using Convolutional Neural Networks Methodology To solve the problem of plant leaf diseases, we considered using different deep learning approaches and creating a model that can identify plant diseases by picturing a single leaf. CNN algorithms are used to detect diseases in plant leaves by extracting the colors and textures of disease-specific lesions. We trained both TensorFlow and PyTorch models by using specific parameters, which are: Adam optimizer, 64 batch size, Categorical_crossentropy loss function, Accuracy as a metric, and the early stopping to stop the training at the best accuracy to avoid overfitting. We applied a series of transformations to the input images using transformers that provide various features as a first step of the preprocessing, before using the CNN. First, we standardized the pixel values of our input images to get the same pixel intensity and dimensions for all images. Then we resized them from 256 to 128 px for faster processing (see Fig. 1). We also used ImageDataGenerator() with the input preprocessing function to rescale our image data automatically when we used transfer learning with VGG19. Finally, we deployed our models after quantizing them with special flutter SDKs to see which of these quantized models fit the mobile memory requirement.
CNN Deployment for Plant Leaf Diseases Detection
441
Fig. 1. Output of the first step of data pre-processing
3.1 Convolutional Neural Networks A convolutional neural network (CNN) is included among the deep learning computer vision networks that can recognize and classify pictured features. One of the fundamental applications in image classification with deep learning or computer vision, in general, is the Convolutional Neural Networks. Since the 1980s convolution techniques have been developed and improved, and the usage of multilayered neural networks has increased since 2012. Hence, it became the most commonly applied to analyze visual imagery. After the fast improvement of convolution usage, the availability of large sets of ImageNet data is crucial to be more specific and get more accurate results [20]. 3.2 Transfer Learning It is a machine learning technique in which a pre-trained model is utilized as a base for another model to solve new challenges and problematic situations. It aims to improve the performance of the target learner on target domains by transferring information from various but related domains. We use Transfer Learning algorithms in the case of having a complicated learning model so we cannot train it from scratch using the initial concepts. It is used also when we do not have sufficient training data, even if it will be available, the training process with a large dataset will take a lot of time [21]. • VGG19 Architecture: VGG-19 is a 19-layer deep convolutional neural network that has been trained on over a million photos from ImageNet. It consists of 16 convolution layers, 3 fully connected layers, 5 MaxPool layers, and 1 SoftMax layer. This network can categorize photos into 1000 different object categories. We have used this architecture for our second experiment on the TensorFlow model due to the accessibility of its weights on Keras and its good performance when it comes to image classification and features extraction [22]. • ResNet34 Architecture: We applied the residual network pre-trained model ResNet34 to train our PyTorch model. It is a set of 34 convolutional layers based on the VGG19 architecture, with the addition of “Residual blocks,” which are shortcut or skip connections. This is among the most effective Neural network architectures since it helps to ensure a low error rate far deeper in the network. As a result, it has proven to perform well in applications that demand deep neural networks, such as feature extraction and semantic segmentation [23].
442
D. Cherifi et al.
4 Experiments and Results In this section, we will go over the different experiments done to achieve the best plant disease prediction, we will explore the different results, discuss the difference between each model, and end up with a conclusion. We will also go over phone application development, and see how we can deploy our model into a mobile application. The used dataset is the “New PlantVillage Dataset” which is an open-source dataset from Kaggle which contains about 87 k images of healthy and unhealthy crop leaves. It is categorized into 38 different classes of 14 species of plant leaves, divided into 24 types of diseases and 14 types of healthy plants. The dataset is split into train, test, and valid with an 80/20 ratio. 4.1 Experiment 1: Plant Disease Detection Using CNN and TensorFlow We began our experimentation with a basic CNN model architecture built with TensorFlow. We built 5 CNN blocks using convolutional layers with the ReLU activation function, and max-pooling layers with (2,2) pooling sizes. The convolutional layers are used to extract features, and the max-pooling layers are applied to the output of the convolutional layers to calculate the max value of each feature map. We passed these layers by a flattening layer, then a dense layer with 38 units with a Softmax activation function. We compiled and trained our model over 10 epochs provided with an early stopping and model checkpoint to avoid overfitting. From this experiment, we got an accuracy of 90.94% over 8001 s of execution time. 4.2 Experiment 2: Plant Disease Detection Using Transfer Learning and TensorFlow Based on these results, we did the second experiment by building a CNN model using transfer learning and TensorFlow to increase the accuracy and decrease the execution time. We started building the model with the transfer learning architecture using VGG19. First, we defined the VGG19 as a base. We passed it through a flattening layer and a dense layer of 38 units with a softmax activation function, and then we transferred the learning to our plant disease detection model. We compiled and trained our model over 50 epochs, providing it with an early stopping and model checkpoint. The early stopping will stop the training at the fifth epoch. We obtained from this experiment an accuracy of 95.59% over 8700 s of execution time. The accuracy has increased, but the execution time was close to the resultant time of the previous experiment. 4.3 Experiment 3: Plant Disease Detection Using CNN and PyTorch To see if these are the best results that we can conduct from this work, we performed the same previous experiments using PyTorch. We built our model using 5 CNN blocks containing convolutional and pooling layers in this experiment. Then, we passed them to the fully connected layer with a final output size equal to the number of classes in our data. We trained the model over 15 epochs with a learning rate of 0.001 and got an accuracy of 93.47% over 2464 s of execution time from this experiment.
CNN Deployment for Plant Leaf Diseases Detection
443
4.4 Experiment 4: Plant Disease Detection Using Transfer Learning and PyTorch As a last experiment, we built a CNN with transfer learning architecture using ResNet 34, which is very useful for extracting features and getting cutting-edge results within a very short time in computer vision. We first loaded the ResNet model that we obtained from the touchvision library and then changed the parameters of the final layer to fit the data. We trained the model using the same hyperparameters as in the last experiment and got this time a significant increase in terms of accuracy which was equal to 98.53% over 4860 s of execution time. Among all the experiments that we conducted the following Table 1 summarizes the obtained results. Table 1. Comparative results of the experiments
Tensor flow
PyTorch
Experiment
Accuracy
Loss
Validation accuracy
Validation loss
Execution time
Plant leaf disease detection using CNN
90.94%
25.30%
90.93%
27.29%
8001 s
Plant leaf disease detection using CNN and transfer learning (VGG19)
95.59%
3.49%
95.59%
3.43%
8700 s
Plant leaf disease detection using CNN
93.47%
3.13%
92.54%
3.63%
2464 s
Plant leaf disease detection using CNN and transfer learning (Resnet34)
98.53%
1.52%
98.53%
5.05%
4860 s
4.5 Experiment 5: Model Deployment on an EDGE Device Our plant disease detector’s user interface is developed as an inner mobile application with flutter. We used the previous CNN models in this experiment and implemented them in our iOS/Android app. When users launch the app once it has been installed, it
444
D. Cherifi et al.
runs an orientation handler, which runs as a background service in the app to ensure that users receive the appropriate usage instructions and acquire the desired outcomes. The user has the option of immediately uploading the diseased leaf image from the gallery or taking a photo using the phone’s camera presented in Figs. 2 and 3.
Fig. 2. Plant disease detector app workflow.
Fig. 3. Output of the TensorFlow model deployment.
4.6 Discussion There is no doubt that prediction models built using Transfer learning, whether employing a pre-trained VGG19 or Resnet34 model, perform better in terms of accuracy than the models trained from scratch. In terms of execution time, the PyTorch models were faster than the TensorFlow models, and they also produced superior results. In reality, CNN models trained from scratch perform better when we deal with a small number of classes, such as training the model to predict leaf diseases of only one type of crop. Otherwise, it may result in overfitting. Collecting a large amount of data is one technique to avoid overfitting. A convolutional neural network may contain up to a million parameters, and adjusting them needs millions of training instances of uncorrelated data, which is not always achievable. Choosing the proper number of epochs, on the other hand, can be a solution. Overfitting the training dataset can come from using too many epochs, whereas using too few might result in an underfit model. Early stopping is a technique that allowed us to provide an unlimited large number of training epochs and then stop training when the model’s performance on a holdout validation dataset stops increasing. Furthermore, while the model’s training takes several hours on a high-performance GPU, the classification is rapid (less than a second on a CPU), so it can be simply implemented on a smartphone. This paves the way for the widespread use of smartphone-assisted crop disease diagnostics on a worldwide scale. After deploying the models built based on TensorFlow and PyTorch frameworks, the mobile application works offline and the model takes a few seconds to determine the results and provide the output to the user, however, using an old edge device or a non-powerful smartphone may prevent the app from running and the user cannot upload images for detection. For PyTorch, the plug-in is still being developed therefore there are many limitations to our deployment. While it is true that deep learning-based plant disease detection using a mobile application is a beneficial tool for early plant diagnosis, it has many limitations. By using 38 groups that include both crop species and disease states, we’ve made the problem more difficult than it needed to be from
CNN Deployment for Plant Leaf Diseases Detection
445
a practical standpoint because producers are supposed to know which crops, they are planting. Limiting the classification task to disease state will have no discernible effect due to the great accuracy of the PlantVillage dataset. However, on real-world datasets, we can see huge progress in terms of accuracy. Generally, the proposed methods perform well with a wide range of crop species and pathogens, and it is projected to improve significantly with further training data. The second constraint is that we are now limited to recognizing single leaves that are facing up against a uniform backdrop. While these are simple settings, an application should be able to classify photographs of a disease as it appears on the plant in the real world. Many illnesses manifest themselves not just on the upper side of leaves but also on other plant areas. As a result, future picture collection operations should aim to acquire photographs from a variety of angles to be in a situation as realistic as possible. For the TensorFlow mobile SDK, the tflite conversion causes the model to have less accuracy because of the post-training quantization which converts the weights from float32 to integers. As a result, the model might give wrong results for the user as shown in the figure. However, using dynamic quantization (float16) or aware-training quantization can cause the tflite file to not be supported by flutter SDK. In terms of improvement, since the model works offline the mobile application is not able to implement new and updated features on its model. The PyTorch mobile SDK, it is only supported Android and not iOS, it also results in many errors during the deployment since it hasn’t been updated as the other dependencies.
5 Conclusion Plant diseases are the main cause of losses in plant production. To reduce the damage caused by this phenomenon, we used in our study different deep learning techniques to identify the plant disease from just one leaf, which provides early protection for the plants from different infections. In the proposed work, we have developed CNN-based models with different architectures using TensorFlow and PyTorch frameworks. This work detailed the development and operation of a deep learning plant disease detector that allows farmers to diagnose the most prevalent 38 diseases in 14 plant species. We trained our CNN models using an imaging collection comprising more than 70 000 images of healthy and pathological plant leaves in a variety of positions and lighting conditions. We created a mobile app that would allow farmers with limited funds to detect plant illnesses in their early stages and minimize the application of inappropriate fertilizers, which can harm the health of both plants and soil. By using both Pytorch and TensorFlow, we were able to derive the best accuracies, where we got 95.59% for the CNN models built with TensorFlow and 98.53% for the CNN model built with PyTorch model, and then deployed them on a mobile (Edge AI) using flutter SDK. We concluded through the different experiments that deep learning models have numerous characteristics and perform differently, which helped us to choose the best model that can be deployed on the edge with the least harm to the model’s performance. This work may be further expanded by enhancing the mobile application to become a plant care app, in which the user will receive guidance and plant protection instructions based on the status of their plant. Moreover, data can be collected from our users, which will expand our dataset by increasing its size and adding new plant species and diseases. This will improve the accuracy of the results.
446
D. Cherifi et al.
References 1. Bhattarai, S.: New plant diseases dataset. PlantVillage Dataset (2018) 2. Global Agriculture towards 2050. https://www.fao.org/fileadmin/user_upload/lon/HLE F2050_Global_Agriculture.pdf. Accessed 19 May 2022 3. United Nations: The world population is projected to reach 9.8 billion in 2050, and 11.2 billion in 2100. United Nations. https://www.un.org/en/desa/world-population-projected-reach-98billion-2050-and-112-billion-2100. Accessed 19 May 2022 4. University of California: How do we sustainably feed 8 billion people by 2025? Global Food Systems Forum. https://food2025.ucanr.edu/. Accessed 19 May 2022 5. Mohanty, S.P., Hughes, D.P., Salathé, M.: Using deep learning for image-based plant disease detection. Front. Plant Sci. 7, 1419 (2016) 6. Identification of plant diseases using machine learning. ResearchGate. Accessed 12 Apr 2022 7. Wikimedia Foundation: Great famine (Ireland). Wikipedia. https://en.wikipedia.org/wiki/ Great_Famine_(Ireland). Accessed 13 Apr 2022 8. Encyclopædia Britannica: Epiphytotics. Encyclopædia Britannica. https://www.britannica. com/science/plant-disease/Epiphytotics. Accessed 13 Apr 2022 9. Wikimedia Foundation: Pathogen. Wikipedia. https://en.wikipedia.org/wiki/Pathogen. Accessed 13 Apr 2022 10. Chaudhary, S., Kumar, U., Pandey, A.: A review: crop plant disease detection using image processing. Intl. J. Inn. Tech. Expl. Eng. 8(1), 472–477 (2019) 11. Harrison, O.: Machine learning basics with the K-nearest neighbors algorithm. Medium (2019). https://towardsdatascience.com/machine-learning-basics-with-the-k-nearest-neighb ors-algorithm-6a6e71d01761. Accessed 6 Sept 2022 12. Parikh, A., Raval, M.S., Parmar, C., Chaudhary, S.: Disease detection and severity estimation in cotton plant from unconstrained images. In: 2016 IEEE International Conference on Data Science and Advanced Analytics (DSAA), pp. 594–601. IEEE (2016) 13. Padol, P.B., Yadav, A.A.: SVM classifier based grape leaf disease detection. In: 2016 Conference on Advances in Signal Processing (CASP), pp. 175–179. IEEE (2016) 14. Ramcharan, A., Baranowski, K., McCloskey, P., Ahmed, B., Legg, J., Hughes, D.P.: Deep learning for image-based cassava disease detection. Front. Plant Sci. 8, 1852 (2017) 15. Suresha, M., Shreekanth, K.N., Thirumalesh, B.V.: Recognition of diseases in paddy leaves using kNN classifier. In: 2017 2nd International Conference for Convergence in Technology (I2CT), pp. 663–666. IEEE (2017) 16. Hossain, E., Hossain, M.F., Rahaman, M.A.: A color and texture based approach for the detection and classification of plant leaf disease using KNN classifier. In: 2019 International Conference on Electrical, Computer and Communication Engineering (ECCE), pp. 1–6. IEEE (2019) 17. Abdulridha, J., Ehsani, R., Abd-Elrahman, A., Ampatzidis, Y.: A remote sensing technique for detecting laurel wilt disease in avocado in presence of other biotic and abiotic stresses. Comput. Electron. Agric. 156, 549–557 (2019) 18. Hassan, S.M., Maji, A.K., Jasi´nski, M., Leonowicz, Z., Jasi´nska, E.: Identification of plant-leaf diseases using CNN and transfer-learning approach. Electronics 10(12), 1388 (2021) 19. Obam, Y.S.: Plant Disease Classification with TensorFlow Lite on Android Part 1 (2019). https://medium.com/@yannicksergeobam/plant-diseaseclassification-with-tensor flow-lite-on-android-part-2-c2d47371cea3 20. Mahmood, H.: Gradient descent. Medium (2019). https://towardsdatascience.com/gradientdescent-3a7db7520711. Accessed 13 Apr 2022 21. Transfer learning: Understanding transfer learning for deep learning. Analytics Vidhya (2021). https://www.analyticsvidhya.com/blog/2021/10/understanding-transfer-lea rning-for-deep-learning/. Accessed 9 June 2022
CNN Deployment for Plant Leaf Diseases Detection
447
22. Deep Network designer: VGG-19 convolutional neural network - MATLAB. https://www. mathworks.com/help/deeplearning/ref/vgg19.html;jsessionid=67c2538b9c2af96885f7a444 f163. Accessed 9 June 2022 23. Ruiz, P.: Understanding and visualizing ResNets. Medium (2019). https://towardsdatascie nce.com/understanding-and-visualizing-resnets-442284831be8. Accessed 9 June 2022
Study and Implementation of U-Net Encoder-Decoder Neural Network for Brain Tumors Segmentation Dalila Cherifi(B) , Abdelghani Bekkouche, Meroua Bayou, Assala Benmalek, Ines Mechti, Belkacem Bekkour, Chaima Amine, and Halak Ahmed Institute of Electrical and Electronic Engineering, University of Boumerdes, Boumerdes, Algeria [email protected], [email protected]
Abstract. Emerging advanced technologies have seen a revolution of applications into medical field, in all its aspects and sides, this has helped healthcare practitioners and empowered them in achieving accurate diagnosis and treatment, specifically with the evolution of computer Aided Diagnosis systems which use image processing techniques, Computer vision,and deep learning applied on different medical images in order to diagnose the image, or sections of the image with particular diseases or illnesses. Medical images of multiples organs or parts of the body (Liver, brain, kidney, skin, etc...) can today be visualized thanks to the advanced medical imaging techniques that exists in the market (MRI, CT, etc…) these technologies uses high energy in order to acquire high quality images but high energy can harm human cells, this is why we us low energy and with this used we get slightly low quality medical images, and here technology intervenes where we can use preprocessing techniques in order to increase image resolution prior to perform diagnosis either by doctor or CAD system. We present in this paper a computer aided diagnosis system that provides an automated brain tissue segmentation applied on 3D MRI images with its four different modalities (T1, T1C, T2, T2 weighted) of BRatS 2020 challenge dataset, by implementing a U-Net like deep neural network which provides information about classification of brain tissue into healthy tissue, Edema, Enhancing tumour, Non enhancing tumour. The model achieved an accuracy of 99.01% and dice coefficient of 47.95% after 35 epochs of training. Keywords: Segmentation · Deep learning · Brain tumors · Medical image segmentation · Medical image analysis
1 Introduction During the last decade we have seen great impact brought by implementation of deep learning and machine learning approaches to healthcare sector, which revolutionized the field of medical imaging which refers to several different technologies that are used to view the human body in order to diagnose, monitor, or treat medical conditions. The involvement of the latest technologies has helped healthcare workers specifically © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 448–456, 2023. https://doi.org/10.1007/978-3-031-21216-1_47
Study and Implementation of U-Net Encoder-Decoder Neural Network
449
physicians and doctors in the diagnostic and early detection of critical diseases, like computer aided diagnosis systems who assisted doctors in interpretation of medical images and in getting a second opinion. Medical imaging broadly deals with information in image that the medical practitioner and doctors must evaluate and analyze abnormality in short time, analysis of imaging in medical field is a very crucial task because imaging is basic modality to diagnose any diseases at the earliest, but acquisition of images is not to harm the human body. Imaging techniques like MRI, x-ray, endoscopy, ultrasound, etc. If acquired with high energy will provide good quality image but they will harm the human body; hence, images are taken in less energy and therefore, the images will be bad in quality and low contrast. Cad systems are used to improve the quality of the image, which helps to interpret the medical images correctly and process the images for highlighting the conspicuous parts to achieve an accurate diagnosis. Cad is a technology that includes multiple elements like concepts of artificial intelligence (ai), computer vision, and medical image processing, which all have a common goal which is accurately detecting and diagnosing an abnormality in human body. Segmentation of abnormality within medical imaging is one of the prime challenges like brain tumor segmentation, cardiac ventricle segmentation, abdominal organ segmentation, and cells segmentation in biological images. In this work, we will implement a computer aided diagnosis system to achieve segmentation of 3D MRI modality-based brain tumors, tumors with an encoderdecoder deep neural network, which performs specific classification of brain tissues and cells into healthy tissues, edema, enhancing tumor, and non-enhancing tumor. The tumor detection is major challenging task in brain tumor quantitative evaluation. In recent years, owing to non-invasive and strong soft tissue comparison, magnetic resonance imaging (MRI) has gained great interest. MRI is a commonly used image modality technique to locate brain tumors. Heterogeneity, isointense and hypointense tumor properties restrict manual segmentation in a fair period, thus restricting the use of reliable quantitative measures in clinical practice [1, 2]. This article consists of four sections, the second section covers an overview about the medical image segmentation. The third section presents U-Net architecture. The fourth section includes the experimental parts and the results followed by a conclusion.
2 Medical Image Segmentation Overview Previous work and methods of medical image segmentation includes a varied range of techniques that are grouped into two main groups which are Classical and non-classical techniques, Classical methods include Thresholding, Clustering, Edge detection, Region based segmentation, Graph based segmentation, watershed method, and deformable model. Non classical methods are self-learning methods based on Artificial Neural Networks. In thresholding an image is converted into binary image, it chose a threshold in order to divide the image into several regions [3]. Clustering the process of grouping similar objects within an image, clustering algorithms include K-Means, adaptive KMeans, Fuzzy C-Means, etc. [4]. Images can be segmented with detection of edges by finding boundaries of objects in an image which obtained by identifying sharp changes or discontinuity in brightness [5–7]. Another way to segment an image is to predefine criteria on which the images will be partitioned into similar regions [8]. Subgraphs can
450
D. Cherifi et al.
also be used in segmentation process of an image by using matrices [9]. If we observe an image as a topographic landscape with its ridges and valleys, we can apply concepts of mathematical morphology [10]. Deformable models are curves or surfaces defined within an image domain that can move under the influence of internal forces, which are defined within the curve or surface itself, and external forces, which are computed from the image data [11]. In Artificial Neural Network, which are adaptive models for the analysis of data which are inspired by the functioning processes of the human brain. They are systems which are able to adjust their internal structure in relation to a function objective and are particularly suited for solving nonlinear problems, being able to reconstruct the approximate rules that put a certain set of data [12].
3 Brain Tumors Segmentation Using U-Net Medical image segmentation has been extensively studied in image analysis community due to the fact that manual, dense labeling of large amounts of medical images is a hard tedious task subjected to a high rate of error. Accurate and reliable solutions are desired to increase clinical workflow efficiency and support decision making through fast and automatic extraction of quantitative measurements. With the advancement of Convolutional Neural Network (CNNs), near radiologist performance can be achieved with the help of Computer aided diagnosis systems, thanks to the great evolution of emerging technologies like deep learning and machine learning, the thing that helped advance healthcare sector, by helping doctors, and clinicians achieve accurate and precise diagnosis of MRI brain scans. In this paper we propose a U-Net like network that performs segmentation of brain scans by providing a contracting path that captures context and a symmetric expanding path that enables precise localization, the model will take as input an MRI brain image and will classify it pixel wise which will divide it into homogeneous regions which correspond to: Healthy tissue, Edema, Enhancing Tumor, Non-Enhancing Tumor. In this work the architecture of the deep learning model implemented for segmentation of medical brain images is a U-Net like fully connected network (shown in Fig. 1) that constitute of an encoder or a down-sampling path that extracts features, and a decoder network or an expanding path that perform classification of the features extracted. We are interested to use U-Net in order to segment brain tumors from 3D MRI dataset, The essential idea is to supplement and provide typical contracting network by successive layers, where pooling operations are replaced by up-sampling operators, and this increases the resolution of the output, a successive convolutional layer can then learn to acquire a precise output based on this information. There are a large of feature map channels in the upsampling part, the thing that allows the network to propagate information to higher resolution layer, as a sequence the expanding path is symmetric to the contracting path which yields the U shape of the network. The network consists of a contracting path and an expansive path, which gives it the ushaped architecture. The contracting path is a typical convolutional network that consists of repeated application of convolutions, each followed by a rectified linear unit (ReLU) and a max pooling operation. During the contraction, the spatial information is reduced while feature information is increased. The expansive pathway combines the feature
Study and Implementation of U-Net Encoder-Decoder Neural Network
451
and spatial information through a sequence of up-convolutions and concatenations with high-resolution features from the contracting path [13]. U-Net network is composed of U channel and skip-connection. The U channel is similar to the encoder-decoder structure of SegNet. The encoder has four submodules, each of which contains two convolutional layers. After each submodule, there is a max pool to realize downsampling. The decoder contains four submodules. The resolution is increased successively by upsampling. Then it gives predictions for each pixel. The network structure is shown in Fig. 4. The input is 572 × 572, and the output is 388 × 388. The output is smaller than the input mainly because of the need for segmentation in the medical field, which is more accurate. It can be seen from the figure that this network has no fully connected layer, only convolution and downsampling. The network also uses a skip connection to connect the upsampling result to the output of submodule with the same resolution in the encoder as the input of next submodule in the decoder. Throughout the development of our model we have used the following operations: Convolution Layer, Rectified Linear Unit (Relu), Max pooling, Upsampling, Dropout Layer, Softmax [13].
Fig. 1. U-Net neural network architecture [13].
4 Experiments and Results In this section we will the details of the implementation step by step, starting with exploring data up to training of the deep learning model and results obtained. For the experiments we have used BraTS 2020 dataset [14] that provides 3D MRI brain volume, with four different modalities: native (T1), post-contrast T1-weighted (T1Gd), T2-weighted (T2), and T2 Fluid Attenuated Inversion Recovery (T2-FLAIR). We have used the following metrics in ordes to measure the prefomrance of the implemented algorithms: • Dice Similarity Coefficient(DSC), also known as the Sorensen–Dice index or simply dice coefficient, is a statistical tool which measures the similarity between two sets of data.
452
D. Cherifi et al.
• Specificity is defined as the proportion of actual negatives, which got predicted as the negative (or true negative). • Sensitivity is a measure of the proportion of actual positive cases that got predicted as positive (or true positive). • Accuracy is defined as ‘the degree to which the result of a measurement conforms to the correct value or a standard’ and essentially refers to how close a measurement is to its agreed value. Precision is defined as ‘the quality of being exact’ and refers to how close two or more measurements are to each other, regardless of whether those measurements are accurate or not. It is possible for precision measurements to not be accurate. • Mean Intersection over Union (MIoU) is the area of overlap between the predicted segmentation and the ground truth divided by the area of union between the predicted segmentation and the ground truth. 4.1 Data Visualization In order to get to know more about our dataset we need to visualize the images provided by BraTS 2020 dataset, Fig. 2 shows subplots of a brain scan for the four MRI modalities.
Fig. 2. Brain scan visualization with corresponding mask
4.2 Tumor Segments Visualization Using the plotting effects, we can accurately visualize the position of tumors segments in the MRI brain scans. We can view the entire 3D brain scan by creating a class to generate a 3D plot, that we can view in the Fig. 4 (Fig. 3).
Study and Implementation of U-Net Encoder-Decoder Neural Network
Fig. 3. Tumor segments visualization
453
Fig. 4. 3D Brain scan visualization
4.3 Model Building and Training In this experiment we build our U-Net model with its different layers encoder and decoder constituting the whole architecture of the model as shown previously in Fig. 1. After building the model architecture, we compile it and execute it, the results of the training process using the number of epochs for the respectively values of 15, 25, 35 are given in the following table: Table 1. Training metrics results Number of epochs Metrics Loss
Accuracy MIoU
Dice-coef Precision Sensitivity Specificity
15 epochs
0.0502 0.9850
0.5496 0.3246
0.9889
0.9822
0.9963
25 epochs
0.0290 0.9899
0.7190 0.4918
0.9921
0.9872
0.9973
35 epochs
0.0300 0.9901
0.4367 0.4795
0.9924
0.9875
0.9974
For every class of our pixel classification and segmentation class we get the per class dice coefficient as represented in the Table 2: Table 2. Dice coefficient per class training results Number of epochs
Dice-coefficient per class Dice-Coef-NECROTIC
Dice-Coef-EDEMA
Dice-Coef-ENHANCING
15 epochs
0.1817
0.2731
0.1714
25 epochs
0.3371
0.6160
0.5641
35 epochs
0.3489
0.5598
0.5644
Regarding validation step of our deep learning model, the values of metrics are shown in the following tables (Tables 3 and 4):
454
D. Cherifi et al. Table 3. Validation process metrics results
Number of epochs Metrics Loss
Accuracy MIoU
Dice-coef Precision Sensitivity Specificity
15 epochs
0.0594 0.9842
0.6229 0.3298
0.9894
0.9799
0.9964
25 epochs
0.0368 0.9881
0.6380 0.4611
0.9895
0.9858
0.9965
35 epochs
0.0385 0.9883
0.6369 0.4917
0.9907
0.9856
0.9968
Table 4. Dice coefficient per class validation results Number of epochs
Dice-coefficient per class Dice-Coef-NECROTIC
Dice-Coef-EDEMA
Dice-Coef-ENHANCING
15 epochs
0.2121
0.2708
0.3669
25 epochs
0.3279
0.5940
0.5372
35 epochs
0.2312
0.4659
0.5793
Observing the different tables, we see that the three trained models achieve good results, with a highest accuracy, dice coefficient, mean-io-u,precision,and sensitivity for the model trained with 35, the case where we had 15 epochs of training resulted in a low value of dice-coef which is an important metric to measure the performance of a segmentation model, for the 25 epochs we have achieved good accuracy, dice coefficient and other metrics, but 35 epochs of training results override and we conclude that, when our model is trained with 35 epochs it has better performance. 4.4 Model Evaluation and Testing We evaluate and test our trained model with the same metrics over testing dataset and we get the following results (Table 5): Table 5. Evaluation metrics Metrics
Loss
Accuracy
MIoU
Dice-Coef
Precision
Sensitivity
Specificity
Results
0.0151
0.9947
0.8302
0.6351
0.9948
0.9934
0.9983
Another way to evaluate our model is applying it to real brain scans and comparing it with the ground truth we get the Fig. 4 where multi classification is performed, and in Fig. 5 classification per class is performed (Fig. 6). In this section we have studied and implemented our deep learning model for segmentation of medical images. We successfully attained an accuracy of 99.01 and Dice
Study and Implementation of U-Net Encoder-Decoder Neural Network
455
Fig. 5. Testing the model on brain scan
Fig. 6. Evaluating segmentation model
coefficient of 0.47 for the U-Net model that we have trained with Brats 2020 training and validation dataset.
5 Conclusion In this paper we presented a brain tissue segmentation model that deals directly with MRI brain scans. We have demonstrated that the model is able to produce segmentation results that are in good agreement with the ground truth for the four different classes: healthy tissue, Edema, Non-Enhancing tumor (necrotic), and Enhancing tumor with the good metrics results obtained over three tries with different number of training epochs (15, 25, and 35), and we chose to take the trained model with best values combination of metrics, which was the model trained with 35 epochs (see Table 1) with an accuracy of 99.01%, Dice-coeff of 47.95, and a loss of 3%. The U-Net like network developed in accordance with a different input image dimensions from the original network that was for microscopic images. Further work in upgrading the model performance may include adding dense layers between the encoder and decoder to reduce the semantic gaps between the feature maps of the two subnetworks as the optimizer would deal with an easier learning task when the feature maps from the decoder and encoder networks are semantically similar.
References 1. Frackowiak, R.S.J.: Human Brain Function. Elsevier (2004) 2. Friston, K.J., Jezzard, P., Turner, R.: Analysis of functional MRI time-series. Hum. Brain Mapp. 1(2), 153–171 (1994)
456
D. Cherifi et al.
3. Al-Amri, S.S., Kalyankar, N.V., et al.: Image segmentation by using threshold techniques. arXiv preprint arXiv:1005.4020 (2010) 4. Ambeth Kumar, V.D., et al.: Exploration of an innovative geometric parameter based on performance enhancement for footprint recognition. J. Intell. Fuzzy Syst. 38(2), 2181–2196 (2020) 5. Lakshmi, S., Sankaranarayanan, V., et al. A study of edge detection techniques for segmentation computing approaches. In: IJCA Special Issue on “Computer Aided Soft Computing Techniques for Imaging and Biomedical Applications”, CASCT, pp. 35–40 (2010) 6. Hien, N.M., Binh, N.T., Viet, N.Q.: Edge detection based on fuzzy c means in medical image processing system. In: 2017 International Conference on System Science and Engineering (ICSSE), pp. 12–15. IEEE (2017) 7. Chakraborty, S., Roy, M., Hore, S.: A study on different edge detection techniques in digital image processing. In: Feature Detectors and Motion Detection in Video Processing, pp. 100– 122. IGI Global (2017) 8. Wazarkar, S., Keshavamurthy, B.N., Hussain, A.: Region-based segmentation of social images using soft kNN algorithm. Procedia Comput. Sci. 125, 93–98 (2018) 9. Felzenszwalb, P.F., Huttenlocher, D.P.: Efficient graph-based image segmentation. Int. J. Comput. Vis. 59(2), 167–181 (2004) 10. Digabel, H., Lantu´Ejoul, C.: Iterative algorithms. In: Proceedings of 2nd European Symposium Quantitative Analysis of Microstructures in Material Science, Biology and Medicine, vol. 19, p. 8. Riederer Verlag (1978) 11. McInerney, T., Terzopoulos, D.: Deformable models in medical image analysis. In: Proceedings of the Workshop on Mathematical Methods in Biomedical Image Analysis, pp. 171–180. IEEE (1996) 12. Jeevitha, K., Iyswariya, A., RamKumar, V., Mahaboob Basha, S., Praveen Kumar, V.: A review on various segmentation techniques in image processsing. Eur. J. Mol. Clin. Med. 7(4), 1342–1348 (2020) 13. Badrinarayanan, V., Kendall, A., Cipolla, R.: SegNet: a deep con- volutional encoder-decoder architecture for image segmentation. IEEE Trans. Pattern Anal. Mach. Intell. 39(12), 2481– 2495 (2017) 14. https://www.med.upenn.edu/cbica/brats2020/data.html
Bayesian Regularized Backpropagation Neural Network Model to Estimate Resilient Modulus of Unbound Granular Materials for Pavement Design K. Sandjak1(B) , M. Ouanani2 , and T. Messafer1 1 Faculté de Technologie, Université M’hamed Bougara, 35000 Boumerdes, Algeria
[email protected] 2 Faculté des Sciences et de la Technologie, Université Ziane Achour, 3117 Djelfa, Algeria
Abstract. The resilient modulus of unbound granular materials is one of the main input design parameters for pavements, especially for low-volume roads. Almost, laboratory and/or in-situ tests have been carried out to determine the resilient modulus of unbound granular materials used as base and subbase layers in road construction. Nevertheless, these operations are usually expensive, time-consuming, and complex. Hence, the prediction of the resilient modulus of unbound granular materials using machine learning techniques has been commonly used in recent years. In this study, Backpropagation Neural Network model coupled with Bayesian regularization method is used to estimate the resilient modulus of unbound granular materials based on 260 specimens collected from an experimental database. The performance of the model is assessed by specific statistical criteria, including the Pearson correlation coefficient (R) and mean square error (MSE). The results show that the proposed algorithm performed well in the prediction of the resilient modulus of unbound granular materials. Thus, it can be concluded that the Bayesian regularized backpropagation neural network model is a rationally accurate and practical prediction tool for pavement engineers. Keywords: Bayesian regularization · Backpropagation algorithm · Resilient modulus · Unbound granular materials
1 Introduction The road network, in Algeria, is one of the largest in North Africa; the length is currently estimated at 133741 km, secondary roads cover more than 50% of the total road network. These road structures generally consist of thin asphalt layers and significant unbound granular base and subbase layers over subgrade soil to bear the traffic load [1]. For this pavement structure, the resilient modulus of unbound granular materials (UGMs) is one of the main input design parameters.
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 457–468, 2023. https://doi.org/10.1007/978-3-031-21216-1_48
458
K. Sandjak et al.
The Mechanistic Empirical Pavement Design Guide (MEPDG) [2] defines three levels of reliability, the first level (equivalent to Algerian road network class 1) needs input from resilient modulus test to provide the highest level of reliability, while for the second and the third levels (levels equivalent to Algerian road network class 2 and secondary roads classified as medium to low volume roads respectively) the use of correlations and local database is allowed. In the last decades, many challenges facing the spread of the Algerian Mechanistic Empirical Pavement Design Guide method [3], since it is based essentially on laboratory characterisation of pavements materials and the use of a large materials database. However, due to the complex nature of materials used in road construction, laboratory determination of the resilient modulus of unbound aggregate materials is time-consuming, requires capital investment and special training [4]. Currently, several local transport agencies in Algeria have not the required testing capabilities to determine the resilient modulus of their UGMs. To deal with this situation, many practitioners tend to use empirical formulas to relate the resilient modulus of UGMs to different basic engineering properties via coefficients determined by classical regression methods [5]. However, despite their simplicity, empirical methods have several limitations; for example, the degree of nonlinearity and the effect of all influencing parameters cannot be considered; some empirical relationships also give weak correlations using classical regression methods [6]. In this case, the application of soft computing techniques such as artificial neural networks could be beneficial [7]. Over the past decades, Artificial Neural Networks models have been used in geotechnical engineering to predict many geomaterials complicated behaviour and relate inputs to targets of several experimental datasets. ANN method has also been recently implemented to predict resilient modulus of subgrade soils and granular base layers from basic engineering properties and stress state conditions for analysis and pavement design [8]. The backpropagation algorithm (BP) is extensively utilized to adjust ANN’s parameters. This algorithm uses a set of input and output values to find the relevant weight and bias of the neural network. Nonetheless, in traditional BP networks, there are some shortcomings, such as the low convergence speed and an easy drop to the local minimum [4]. Hence, to minimize the error associated to the backpropagation algorithm, some generalization methods as Bayesian regularization (BR) [9] and Levenberg–Marquardt (LM) [10] are employed, due to their advantage in reaching a lower mean squared error. Several researchers noticed that BR achieved better than LM [11, 12]. Furthermore, BR algorithm has been well used in many domains, including data mining, stock price volatility prediction, and engineering [13, 14]. This research article focuses on estimating the resilient modulus of unbound granular materials generally used as base and subbase materials in the Northern region of Algeria. Common evaluation indicators, such as the Pearson correlation coefficient (R) and mean square error (MSE) are used to evaluate the performance of the proposed model. A local database containing 260 experimental results is used to develop the ANN model. Simulations results indicate that backpropagation neural network model coupled with Bayesian regularization algorithm have good accuracy in predicting the resilient modulus of unbound granular materials.
Bayesian Regularized Backpropagation Neural Network Model
459
2 Materials and Methods The present study is carried out based on the proposed methodology that incorporates three main stages: (1) data preparation, (2) model development, and (3) validation of the proposed model. In the first stage, the data obtained from laboratory tests are used to create two datasets: the testing and training datasets. The first dataset is generated from 80% of the total data, whereas the second dataset is built from 20% of the remaining data. To develop the ANN model based on the Bayesian regularization backpropagation algorithm, the training dataset is considered. In this stage, the effect of varying the number of neurons in the hidden layer is investigated. In the third stage, the testing dataset is adopted to validate the proposed model. Statistical indicators, including MSE and R, are employed. 2.1 Data Preparation The resilient modulus of unbound aggregate materials (Mr) is influenced by many factors. However, this research will focus on the main factors that significantly affect the resilient modulus to reduce the model complexity. An experimental database is utilized to generate the ANN models of indirect estimation of UGMs resilient modulus based on material type, basic engineering characteristics and loading conditions. The database consists of 260 experimental datasets obtained from RLT tests performed by the laboratory of the Central Transportation Agency located in Algiers, Algeria [15]. These RLT tests were carried out on different types of UGMs resulting from the quarry crushing of three types of massive rocks: granite, limestone, and diabase available in different deposits located in the central region of northern Algeria. Based on this experimental database, several input parameters are selected, namely, Aggregate Mineralogical Nature or rock type (AMN), Coefficient of Uniformity (CU), Coefficient of Curvature (CU), Fine content (Fc), Liquidity Limit (LL), Plasticity Index (PI), Maximum Dry Density (MDD), Water content (Wc) and two loading components: confining pressure σ3 noted (SIG3), the deviator of stress σd noted (SIGD). The output of these parameters in modelling is the resilient modulus of unbound aggregate materials (Mr). Detailed definitions and how to determine the input variables from laboratory tests can be found in [16, 17]. Note that the AMN variable is an index that takes three values: 1 for granite, 2 for limestone and 3 for diabase. Table 1 details the symbol, unit, as well as statistical analysis of the inputs and output continuous variables. 2.2 Bayesian Regularization Algorithm An artificial neural network (ANN) is an efficient machine learning-based data analysis algorithm. This machine learning approach tries to simulate the process of knowledge achievement and assumption occurring in the human brain [18]. ANN has been commonly used to resolve nonlinear regression analysis problems. It has been proven that an ANN with a hidden layer can simulate extremely complicated nonlinear functions [19]. To create a reliable model, adequate training of a neural network is the most important
460
K. Sandjak et al. Table 1. Descriptive statistics of input parameters used in this study
Variable
Total count
Mean
StDev
Minimum
Maximum
Range
Cu
260
58.28
29.22
4.17
112.00
107.83
Cc
260
3.875
1.860
0.880
6.920
6.040
Fc (%)
260
9.461
1.991
8.000
14.080
6.080
LL (%)
260
28.456
4.932
19.000
37.000
18.000
PI (%)
260
7.079
2.613
2.000
12.000
10.000
MDD (g/cm3 )
260
2233.2
67.0
2109.0
2341.0
232.0
MC (%)
260
6.5363
1.1292
3.6000
8.5000
4.9000
SIG3 (kPa)
260
102.85
71.34
10.00
250.00
240.00
SIGD (kPa)
260
334.8
160.4
150.0
600.0
450.0
Mr (MPa)
260
267.23
128.19
46.60
590.31
543.71
phase. Backpropagation is an algorithm generally used to train neural networks. Typical backpropagation networks usually apply a gradient descent algorithm with a slow convergence rate [20]. Therefore, one of the algorithms that enhance the convergence or learning rate of the neural network is the backpropagation training network coupled with the Bayesian regularization algorithm. Bayesian regularization is the linear combination of Bayesian methods and ANN to calculate the optimal regularization parameters automatically. Unlike conventional network training, in which the optimal weight set is selected by minimizing the error function, the Bayesian approach requires the probability distribution of network weights. Thus, the network predictions are also a probability distribution [21]. In the training process, a general performance function is used for calculating the distance between real and predicted data, namely the mean sum of squared network errors: N 2 1 Mro,i − Mrt,i F = Ed = N
(1)
i=1
where F is the target function, N is the number of samples in the database, Mro,i and Mrt,i are the predicted and the measured experimental values respectively. To enhance the generalization of the model, the gradient-based optimization algorithm is chosen to minimize the target. The target function in Eq. (1) expanded with the addition of a term Ew which is the sum of the squares of the lattice weights: F = αEw + βEd
(2)
Here, the α and β are parameters that are to be optimized in the Bayesian framework of MacKay [22]. To search for the optimum regularization parameters, a Bayesian regularization method is utilized. The optimal regularization parameters can so be obtained automatically. Bayesian optimization of the regularization parameters needs the computation of the Hessian matrix of the objective function. Nevertheless, the optimal regularization technique requires the costly computation process of the Hessian matrix.
Bayesian Regularized Backpropagation Neural Network Model
461
2.3 Statistical Indicators of Performance Assessing the model accuracy is a fundamental part of the process of creating machine learning models to explain how well the model is operating. In this research, the mean square error (MSE) and Pearson correlation coefficient (R) are used to evaluate the predicted error rate and model performance. The mean square error (MSE) indicates the level of scattering that the ANN model produces, as shown in Eq. (3) [23]. R is an important indicator of regression analysis which represents the correlation between the predicted results and the actual output, varying from − 1 to 1, as shown in Eq. (4). The closer the absolute value of R is to 1, the better the model is. The level of correlation is considered acceptable if the R values exceed 0.8 [24]. MSE = R=
N 2 1 Mro,i − Mrt,i N
(3)
i=1
N i=1 Mro,i − Mro Mrt,i − Mrt 2 N 2 N i=1 Mro,i − Mro i=1 Mrt,i − Mrt
(4)
where Mro , Mro are the measured experimental value and the average measured experimental value, Mrt and Mrt are the predicted value and the average predicted value.
3 Results and Discussion 3.1 Effect of the Number of Neurons in the Hidden Layer on Model Performance The performance of the ANN model relies upon the structure of the neural network, specifically, the number of hidden layers and the number of neurons in each hidden layer. Once the number of inputs and outputs is fixed, the unspecified architecture parameters are the number of the hidden layer and the number of neurons in each hidden layer. The number of hidden layers is usually determined firstly, based on the complication of the relationship between input and output. The process of trial-and-error test is used to create the network structure. Some studies have shown that most specific problems using only one hidden layer can be sufficient to efficiently solve the complex nonlinear relationship between input and output [25]. In this study, after conducting several runs, it was observed that the transfer function form had a negligible on the computed MSE values. Therefore, the commonly used neuron activation function, Tansig (Eq. 5) was used and a linear function namely ‘purelin’ (Eq. 6) was selected for transferring data between the hidden layer and the output layer. f 1 (x) =
2 −1 1 + e−2x
f 2 (x) = x
(5) (6)
462
K. Sandjak et al.
The optimisation approach proposed by Soleimanbeigi and Hataf [26] was adopted to select the optimum number of hidden layer nodes. For this, the hidden layer nodes were increased until no further enhancement was obtained over the testing data set. Figure 1 represents the optimisation procedure for selecting the number of hidden nodes. It can
Fig. 1. The optimisation procedure for selecting the number of hidden nodes.
Fig. 2. Optimal architecture of the selected ANN model.
Bayesian Regularized Backpropagation Neural Network Model
463
be observed that the lowest mean square error (MSE = 14.41) is obtained at five hidden nodes. The optimal structure of the ANN model is illustrated in Fig. 2. A training dataset with 215 samples with 10 input parameters and 1 output parameter is utilized to build the Bayesian Regularized-Backpropagation Neural Network model (BR-BPNN). In this research work, the impact of training iterations on the Neural Network model accuracy is analysed. The number of iterations ranges from 100 to 500. Table 2. reproduces the effect of the number of iterations on the values of the performance indicators. The results show that considering the value of MSE, 100 iterations provide the lowest error, and the highest value of R compared to the results of the other number of iterations. Overall, choosing 100 iterations is the optimal selection to have the best prediction results. Table 2. Number of iterations versus BR-BPNN model performance Number of iterations
MSE
R
100
14.41
0.9994
200
26.02
0.9991
300
36.43
0.9988
400
43.01
0.9987
500
31.24
0.9991
3.2 Performance Analysis of the Proposed Model The performance of the proposed BR-BPNN model to predict the resilient modulus of unbound granular materials (UGMs) is established by checking the equilibrium between training and testing patterns. A network model that makes an accurate prediction on testing data can predict the new resilient modulus for any other inputs of unbound granular materials. However, the accuracy of predicting resilient modulus is dependent on the kind of experimental data utilized for network training. Figure 3 shows the error histogram obtained after training and testing the network model. The error on the x-axis indicates how the predicted resilient modulus (output) differs from the experimentally measured resilient modulus (target). Instances on the y-axis define the number of unbound granular materials specimens in the training or testing dataset with a specific error. Many of the errors after training and testing with the proposed BR-BPNN model lie in the range of −4.907 to 4.369. In addition, the proposed model can predict the resilient modulus for most unbound granular materials specimens with an error between −2.583 to 2.05, which is near the zero-error line. Figure 4 shows the pattern of the MSE performance of the BR-BPNN model for epochs during the training and testing phase. The results show that, when the epochs are increased, BR-BPNN model can predict UGMs resilient modulus with the lowest MSE due to adequate training.
464
K. Sandjak et al.
Fig. 3. Error Histogram of the BR-BPNN model for UGMs resilient modulus prediction.
Fig. 4. Mean squared error performance of BR-BPNN model for UGMs resilient modulus prediction.
Bayesian Regularized Backpropagation Neural Network Model
465
Fig. 5. Correlation between predicted and measured UGMs resilient modulus for the BR-BPNN model. (a) Training Data; (b) Testing Data; (c) All Data.
466
K. Sandjak et al.
Fig. 5. (continued)
The prediction capacity of the BR-BPNN model enhances to 250 epochs and stands constant thereafter. The best training performance in terms of lowest MSE is displayed with a circle corresponding to the prediction with MSE value of 14.41 at epoch 80. The BR-BPNN model is still capable to predict the resilient modulus on the testing dataset with an equivalent MSE, verifying the validity of the BR-BPNN model. Figure 5 presents the correlation curves achieved after applying the BR-BPNN model on training, testing, and all data of UGMs specimens. An ideal fitting is represented by a dashed line at an angle of 45 degrees where output resilient modulus meets the target resilient modulus, i.e., coefficient of correlation R = 1. The blue, green, and red lines represent the fitting for training, testing, and entire data of UGMs specimens respectively. A strong relationship between output and target resilient modulus with the same coefficient of correlation (R = 0.9995) for training, testing, and all data is reached, which illustrates very good data fitting. This shows the accuracy of the BR-BPNN model in predicting resilient modulus for any other input data of Unbound Granular Materials.
4 Conclusions In this work, an Artificial Neural Network using Backpropagation algorithm coupled with Bayesian regularization method is proposed to estimate the resilient modulus of unbound granular materials for pavement design. A total of 260 experimental datasets performed by the laboratory of the Central Transportation Agency on unbound granular materials are used to develop the BR-BPNN model.
Bayesian Regularized Backpropagation Neural Network Model
467
The input parameters are the essential basic engineering characteristics of UGMs and the loading stress components. Two statistical criteria, including, the Pearson correlation coefficient (R) and mean square error (MSE) are utilized to evaluate the correlation between the predicted and the experimentally measured values. The results show that the proposed BR-BPNN model is a good predictor in estimating the resilient modulus of unbound granular materials, with R values close to one and lower values of MSE for training and testing datasets. However, this proposed BR-BPNN model is built using a dataset collected principally from quarries located in the central northern region of Algeria. Thus, collecting additional data from other regions can aid to improve the generalization of the proposed model and to build a reliable soft computing tool for pavement design especially for low to moderate volume roads.
References 1. Mamma, F.: Réseau routier et autoroutier Algérien : consistances et perspectives. In: French, Conference on Road Safety, Algiers, Algeria (2017) 2. AASHTO: Mechanistic-Empirical Pavement Design Guide: A Manual of Practice. American Association of State and Highway Transportation Officials, USA (2008) 3. CTTP-Direction des Routes, Ministère des Travaux Publics, 2001, Catalogue de Dimensionnement des Chaussées (In French), Algeria 4. Nguyen, T., Ly, H.B., Luo, Q., Pham, B.T., Backpropagation Neural Network-Based Machine Learning Model for Prediction of Soil Friction Angle, Mathematical Problems in Engineering, vol. 2020, ID 8845768, p. 11 (2020). https://doi.org/10.1155/2020/8845768 5. Yau, A., Von Quintus, H.L.: Predicting elastic response characteristics of unbound materials and soils. Trans. Res. Rec. J. Trans. Res. Board USA 1874(1), 47–56 (2004) 6. Alnedawi, A., Al-Ameri, M., Nepal, K.P.: Neural network-based model for permanent deformation of unbound granular materials. J. Rock Mech. Geotech. Eng. 11, 1231–1242 (2019) 7. Jebur, A.A., et al.: New applications of a supervised computational intelligence (CI) approach: case study in civil engineering. In: Berry, M.W., Mohamed, A., Yap, B.W. (eds.) Supervised and Unsupervised Learning for Data Science. Unsupervised and Semi-Supervised Learning, pp. 145–182. Springer, Cham (2020). https://doi.org/10.1007/978-3-030-22475-2_8 8. Saha, S., Gu, F., Luo, X., Lytton, R.L.: Use of an artificial neural network approach for the prediction of resilient modulus for unbound granular material, transportation research record. J. Transp. Res. Board USA 2672(52), 47–56 (2018) 9. Burden, F., Winkler, D.: Bayesian regularization of neural networks. Methods Mol. Biol. 458, 23–42 (2008) 10. Saini, L.M., Soni, M.K.: Artificial neural network based peak load forecasting using Levenberg-Marquardt and Quasi-Newton methods. IEE Proceed.-Gener. Trans. Distrib. 149(5), 578–584 (2002) 11. Kayri, M.: Predictive abilities of Bayesian regularization and Levenberg-Marquardt algorithms in artificial neural networks: a comparative empirical study on social data. Math. Comput. Appl. 21(2), 20 (2016). https://doi.org/10.3390/mca21020020 12. Kim, S.H., Young, J., Beadles, S.: Estimate of resilient modulus of graded aggregate base in flexible pavement. T& DI Congress ASCE, USA 10, 003 (2014). https://doi.org/10.1061/978 0784413586.003 13. Sariev, E., Germano, G.: Bayesian regularized artificial neural networks for the estimation of the probability of default. Quantitative Finan. 20(2), 311–328 (2020)
468
K. Sandjak et al.
14. Wu, D., et al.: A Bayesian Application of Bayesian regularization back propagation neural network in sensorless measurement of pump operational state. Energy Rep. 8, 3040–3051 (2022) 15. Sandjak, K., Ouanani, M.: Experimental characterisation and numerical modelling of the resilient behaviour of unbound granular materials for roads. J. Build. Mater. Struct. 7(2), 159–177 (2020) 16. Huang, Y.H.: Pavement Analysis and Design, 2nd Edition, USA, ISBN-13: 978–0131424739 17. Papagiannakis, A.T., Masad, E.A.: Pavement Design and Materials. John Wiley & Sons, USA (2008) 18. Braspenning, P.J., Thuijsman, F., Weijters, A.J.M.M.: Artificial neural networks: an introduction to ANN theory and practice. Springer, Berlin/Heidelberg, Germany (1995). https://doi. org/10.1007/BFb0027019 19. Bishop, C.M.: Pattern Recognition and Machine Learning (Information Science and Statistics). Springer, Germany (2006). https://doi.org/10.1007/978-1-4615-7566-5 20. Zhou, R., Wu, D., Fang, L., Xu, A., Lou, X.: A Levenberg-Marquardt backpropagation neural network for predicting forest growing stock based on the least-squares equation fitting parameters. Forests 9(12), 757 (2018) 21. Okut, H.: Bayesian regularized neural networks for small n big p data. In: Artificial Neural Networks - Models and Applications. IntechOpen (2016). https://doi.org/10.5772/63256 22. MacKay, D.J.C.: A practical Bayesian framework. Neural Comput. 472(1), 448–472 (1992) 23. Bruce, P., Bruce, A., Gedeck, P.: Practical Statistics for Data Scientists: 50+ Essential Concepts Using R and Python, 2nd edn. O’Reilly, USA (2020) 24. Smith, G.N.: Probability and statistics in civil engineering, Collins Professional and Technical Books, p. 244, UK (1986) 25. Amjad Raja, M.N., Shukla, S.K., Arif Khan, M.U.: An intelligent approach for predicting the strength of geosynthetic-reinforced subgrade soil. Int. J. Pavement Eng. (2021). https://doi. org/10.1080/10298436.2021.1904237 26. Soleimanbeigi, A., Hataf, N.: Prediction of settlement of shallow foundations on reinforced soils using neural networks. Geosynth. Int. 13(5), 218 (2006)
Optimal Placement of Phasor Measurement Units Considering the Topology Transformation Method Abdelkader Azzeddine Laouid1(B) , Aicha Djalab2 , and Nail Alaoui3 1 Applied Automation and Industrial Diagnosis Laboratory, Faculty of Science and Technology,
Djelfa University, Djelfa, Algeria [email protected] 2 Faculty of Science and Technology, Djelfa University, Djelfa, Algeria 3 Laboratoire de Recherche Modélisation, Simulation et Optimisation des Systèmes Complexes Réels, Université Ziane Achour de Djelfa, Djelfa, Algeria
Abstract. The optimal location of phasor measurement units (PMUs) necessitates reducing both the needed number of PMUs and ensuring that the whole power system is observable. To determine if a power system is observable, it is required to know the voltages of all its buses. This study proposes selection rules for the topology transformation approach, which involves merging a bus with zero injection with one of its neighbors. The selection of a bus to merge with a bus with zero injections will alter the outcome of the merging procedure. The suggested technique would employ four principles to calculate the minimal number of PMUs necessary to provide complete observability of the power system in order to choose the most suitable bus to merge with the zero injection bus. The issue is articulated and resolved using a grey wolf optimization (GWO) strategy. The proposed GWO was implemented on the IEEE 14-bus and 24-bus. Keywords: Phasor measurement units (PMUs) · Grey wolf optimization (GWO) · Topology transformation method · Zero injection bus (ZIBs)
1 Introduction In the modern era, almost everything has been modernized to achieve greater efficiency, reliability and control independence, the traditional power grids are also in transition to become a modernized power grid, or widely known as the smart grid. The Smart Grid is designed to monitor and operate the electrical grid in the most efficient manner possible, thus enhancing its reliability and stability. There is a welcome opportunity to replace an older infrastructure with a smart grid that uses advanced technologies to achieve this vision. Among the advanced technologies used is the phasor measurement unit (PMU) [1]. The Phasor Measurement Unit (PMU) was launched in the mid-1980s as a monitoring device [2]. Using a common time source for synchronization, this device estimates the amplitude and phase angle of a quantity of electrical phasor (such as voltage or current) © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 469–481, 2023. https://doi.org/10.1007/978-3-031-21216-1_49
470
A. A. Laouid et al.
in the power grid. Typically, GPS is used to synchronize time, allowing for real-time synchronized measurements at many remote places on the power system. The PMU is designed to capture samples of a waveform in a short series and reconstruct the phasor value from the magnitude and angle measurement. A synchrophasor is a resultant measurement. These time-synchronized measurements are necessary since, if either the production and consumption sides of the system are not completely aligned, frequency imbalances can lead to network stress, which is a potential cause of blackouts. The high cost of installing PMUs makes the possibility of changing all traditional measurements in the near future very unlikely. As a result, several techniques have been proposed by the researchers to solve the problem of the optimal placement of PMUs (OPP) [3]. Numerous optimization strategies have been implemented in the latest years to determine the optimal placement of PMUs in a power system, such as integer linear programming (ILP) [4–6], Binary integer linear programming (BILP) [7], biogeography based optimization [8], Cellular Learning Automata [9], Mixed Integer Linear Programming (MILP) [10], Empirical observability Gramian [11], The Gravitational Search Algorithm [12], Revised Analytical Hierarchy Process [13], The exponential binary particle swarm optimization (EBPSO) [14], integer linear programming (ILP) methodology [15], Binary cuckoo search [16], Binary integer linear programming [17]. The presence of a zero-injection bus can also contribute to reducing the number of PMUs required. Several studies have adapted the merging approach to handle with ZIB. However, the merging method has two limitations, one is to identify the exact placement of the PMUs and the other is to choose the right bus to merge. For this reason, this paper proposes three rules to deal with these limitations. Following the three rules developed, the best candidate bus to merge with ZIB will be evaluated. The results obtained by the proposed method will determine the precise location of the PMU. The main aim of this paper is to find the optimal placement of PMUs in different power systems, by using the topology transformation method, to attain full observability by maximizing the measurement redundancy (SORI). The rest of this article is arranged as following: Sects. 2 and 3 presents the mathematical formulation of PMU placement problem with and without ZIBs and topological observability rules related to each of them. Section 4 specifies the system Observability Redundancy Index (SORI to evaluate the quality of the optimal solution obtained. In Sect. 5, the suggested method is fully detailed. Section 6 describes the case study for the suggested method via the IEEE 9-bus system. In Sect. 7, an overview of the GWO approach is presented. In Sect. 8, the application of GWO to fix the OPP issue is described. Section 9 presents the simulation results, while provides the conclusion of the article.
2 The Formulation of PMUs Issue The primary aim of the OPP problem will be to gather the smallest amount of PMU with associated positions in order to provide a constantly controlled electric grid. As a result, the OPP problem’s objective function is just as follows: Min
N Bus i=1
(ci xi )
(1)
Optimal Placement of Phasor Measurement Units
Subject to fi = AX ≥ 1ˆ
471
(2)
where: • • • •
NBus equals the overall number of network buses. ci is the full cost of PMU installation at bus i. fi is the observability function of bus i A is a binary connection matrix with the following entries: 1, if i = j or i and j are connected Aij = 0, Otherwise
(3)
• 1 is a vector which each element is a one 1 = [1, . . . , 1]. • X is a binary variable array whose entries xi determine the possibility of placing a PMU on the bus i. Its entries are specified as follows: 1, When PMU is placed on bus i xi = (4) 0, else 2.1 PMUs Placement Criteria 1. On a bus integrated with a PMU, both the voltage of its own phasor and the currents of all branches attached to it are monitored directly by the PMU. 2. Using Ohm’s law, it is easy to calculate the voltage at the end of a branch when the voltage and current at one end are known. 3. If the voltage phasors at both ends of a branch are known, it is possible to specify the branch’s current using Ohm’s law. To detail exactly how these rules work, follow Fig. 1 when a PMU is installed in bus 1, the value V 1 , I 1–2 , I 1–3 and I 1–4 can be directly obtained conforming to Rule 1.
Fig. 1. Modelling PMUs placement rule.
472
A. A. Laouid et al.
Once the current branches values determined I 1–2 , I 1–3 and I 1–4 , the voltages at busses 2, 3, and 4 can be calculated by ohm’s law following rule 2. Lastly, the current phasor of branch 3–4 will be available, according to rule 3.
3 Impact of Zero Injection Bus (ZIB) The zero-injection buses are required to transport energy throughout the power grid without injection or consuming it. Therefore, The total flow along all branches connecting to the ZIB is equivalent to 0. Following is a classification of the ZIB rules: 1. When all buses adjacent to an observable ZIB are observable except one, it is possible to consider the unobservable bus as observable by applying the current Kirchhoff law in the ZIB. 2. If all adjacent buses to a non-observable ZIB are observable, the ZIB can be deemed as observable by using the node equation. Consider Fig. 2 to illustrate these rules. Here, bus 1 is a zero-injection bus, whereas buses 2, 3, 4, and 5 are its neighbors. Assume that buses 1, 2, 3 and 5 are all observable (their voltages are known) excluding bus 4. According to rule 1, when applying the KCL at bus 4 (ZIB), the current value I 1–4 can be calculated. Concerning the last rule, suppose that buses 2, 3, 4, and 5 are observable and the ZIB is not. The voltage of bus 1 may be computed via the node equation at the ZIB.
Fig. 2. Modeling the rules of ZIBs
4 System Observability Redundancy Index (SORI) The System Observability Redundancy Index (SORI) is an essential indicator to evaluate the quality of the optimal solution obtained. The set of optimal solutions is chosen on the basis of the greatest SORI value, which denotes the most reliable solution [18]:
Optimal Placement of Phasor Measurement Units
SORI =
Nbus
Ai xi ∀i ∈ I
473
(5)
i=1
where AX corresponds to the number of times a bus is observed by the PMU.
5 The Proposed Transformation Method The method of bus merging includes the ZIB and one of its adjacent buses merging together. Consequently, the merging procedure will combine the constraints of the two buses into one single constraint, decreasing the number of requirements that must be met for every bus to be observable by PMU placement collection. According to the observability principles described before, if all buses linked to the ZIB except one are observable, the non-observable bus is also classified as observable. Consequently, the combined bus means that if it is observable, the bus chosen to combine with it will similarly be observable. The proposed method consists of four rules for which every candidate bus will be evaluated in sequence. Following are the four rules: 1. Candidate bus must not have been merged previously. 2. Merge the ZIB with its adjacent bus, which is radial bus. 3. If the rule 2 is not satisfied, merge the ZIB and its adjacent bus that has the most number of branches and one of its neighbors must be connected with the same ZIB.
Fig. 3. Flowchart for rules evaluation for candidate bus.
474
A. A. Laouid et al.
4. If the above rules are not respected, the ZIB must be merged with the adjacent bus that has the most branches attached to it. The flowchart in Fig. 3 shows how to assess each bus on the basis of the above rules.
6 Grey Wolf Optimization Algorithm (GWO) The Grey Wolf Optimizer (GWO) is an innovative optimization method that simulates grey wolf behaviour. The Grey Wolves, also known as “Canis Lupus”, are among the most famous Canidae species in the world. As depicted in Fig. 4, grey wolves reside in packs of 5 to 12 individuals and adhere to a distinct social structure [19].
Fig. 4. The hierarchal order of gray wolves.
The pack is led by a pair of wolves called alphas (either alpha male or alpha female). The alpha is the most dominating member of the pack. Alpha must be the lone wolf in order to make decisions for the pack, such as waking time, hunting, and sleeping location. In addition, he is the only member of the pack permitted to reproduce. In a unique sense, the Alpha is not always the strongest member of the pack, but rather the one most suited to lead this group. Clearly, the pack’s structure and concentration are far more important than their power [20]. Beta (β) is the second tier of the grey wolf’s social structure. Beta wolves are the ones below the alpha wolf. They help the alpha wolf to make decisions and do other group tasks. The beta wolf can be female or male, and it is the ideal contender to succeed the alpha wolf in the event that the latter dies or gets extremely old. The beta wolf should show respect to the alpha, but has the authority to order lower-ranking wolves [19].
Optimal Placement of Phasor Measurement Units
475
The delta wolves (δ) occupy the third rank in the social structure of this group. These wolves get orders from the alpha pair through the beta wolves, and they exert dominance over the omega wolves (the last in the hierarchy). There are many categories of delta wolf, including scouts, sentinels, elders, hunters, and caretakers [19]. Omega wolves (ω) are the final wolves in this chain. They submit to the other wolves and serve as scapegoats. Omega wolves appear to be unimportant members of the pack, and they are the last ones permitted to feed [19]. The grey wolf hunting process (hunt, encirclement, and attack) can be considered as the following optimization procedure: 1. strategy of hunting is called “optimization”; 2. The prey denotes the “optimum”; 3. The optimal solutions are the alpha, beta, and delta wolves, with alpha being the best solution. Omega represents the remaining solutions. 4. The alpha, beta, and delta wolves guide the hunt, while omega wolves obey the dominant pack. Encircling the prey is the initial step in the hunting process. The grey wolf is capable of locating its prey and encircling it. This phase’s mathematical model is as follows: · Xp (t) − X (t) = C (6) D ·D X (t + 1) = Xp (t) − A
(7)
where t indicates the current iteration, Xp represents the position vector of the prey, and C are coefficient vectors and X represents the position vector of the grey wolf, A X (t + 1) represents the grey wolf’s next location. Consequently, grey wolves adjust their location by assessing the location of their prey with stochastic Eqs. (6) and (7). and C: The following formula determines the vectors A = 2a · r1 − a A
(8)
= 2 · r2 C
(9)
− → → r1 and − r2 are random vectors with elements in the interval [0,1]. Initially, the value of a is set to 2 and decreases linearly until it reaches 0 during the course of the algorithm’s iterations. The majority of the time, alpha guides the hunt. Beta and delta occasionally participate in this hunt. Alpha, beta, and omega wolves carry out the second phase of the grey wolf hunting process. Supposing that alpha, beta, and omega wolves are aware of probable prey locations. As stated by the following equations, we will record the location of these wolves and refresh the locations of the other wolves. 1 · Xα (t) − X (t) α = C (10) D 2 · Xβ (t) − X (t) β = C D
(11)
476
A. A. Laouid et al.
3 · Xδ (t) − X (t) δ = C D
(12)
1 · D α X1 = Xα (t) − A
(13)
2 · D β X2 = Xβ (t) − A
(14)
3 · D β X3 = Xδ (t) − A
(15)
X1 + X2 + X3 X (t + 1) = 3
(16)
The last phase involved attacking the prey once the wolves have ceased their pursuit. This stage is represented by the drop of the value of a. The A variable has a random value within the interval [1,1], which decreases linearly from 2 to 0 as the algorithm iterates. Thus, the values of A are also decreasing. If |A| < 1, the wolves will attack the prey. This represents the exploitation process. If |A| > 1, wolves are obligated to separate themselves from their prey. This illustrates the exploratory The exploring procedure in the GWO technique is modelled mathematically using the A variable with random values higher than 1 or less than −1 to push the search agents to deviate from the prey [21]. The Pseudo code of GWO algorithm is presented as follows:
Initialize the grey wolf population. Initialize a, A, and C using equation (34). Calculate the fitness of each search agent (wolf). =the best wolf. =the second best wolf. =the third best wolf. While (maximum iteration is not reached) For (each wolf) Update the position of the current wolf by equation (36). End for Update a, A, and C using equation (34). Calculate the fitness values of all wolves. Update the three best wolves positions , , and End while Return .
Optimal Placement of Phasor Measurement Units
477
7 Application of the Suggested Algorithm The GWO technique was applied to solve the OPP problem. The OPP problem’s decision variables are PMU installation states. The location of the ith agent in a system with K agents is defined by: X = [x1 , x2 , . . . , xK ] For i = 1, 2, 3, . . . , K
(17)
The following are the different procedures for resolving the OPP problem with the GWO: 1. 2. 3.
Read the line and bus data of the power system. Accumulate the Connectivity Matrix (A). Initialize the GWO parameters as the Population Size (PS), the maximum number and C). of iterations Itmax and the vector coefficients (a, A 4. Identify the upper and lower limits of the control variables. 5. Generating an arbitrary population of N agents. For each agent, the initial values are randomly selected between the minimum and maximum values of the control variables. 6. In the OPP problem, the fitness values are calculated for each agent in the population. 7. Select the new leader wolves X α , X β and X δ from the repository. and C). 8. Use Eqs. (10) and (11) to calculate the coefficient vector (a, A 9. Actualize the position of the wolves using Eq. (16). 10. Find and save non-dominated solutions in the repository. 11. Put t = t + 1. 12. Repeat steps 3 to 6 until reaching the end criterion.
8 Results and Discussion MATLAB R 2017b software is used to run the simulations. The laptop used to run simulations has an Intel i7 core processor with a speed of 2.4 GHz and 8 GB of RAM. The results of the simulation have been obtained by assuming that each PMU contains the maximum number of channels possible while all PMUs are equally expensive. The proposed method focuses on finding the minimum number of PMUs, to ensure complete monitoring of the system and maximize measurement redundancy (SORI). High SORI values imply too much reliability of the monitoring system for unexpected events (Table 2). Table 3 presents the optimal set of PMUs and its locations, as well as the measurement redundancy value in the absence of ZIBs. In the event that ZIBs exist, Table 4 displays the number of PMUs, their positions, and the SORI value. Due to the presence of ZIB, it seems from Tables 2 and 3 that fewer PMUs are required to enable comprehensive network monitoring in the ZIB situation than in the base case. In the instance of IEEE 24-bus, there are (7) PMUs when ZIBs are not included, but only (6) when ZIBs are present.
478
A. A. Laouid et al. Table 1. The values of each parameter used in simulation Parameter
Value
Number of iterations
200
Number of populations
10* NBus
Weight value for the number of bus observed, w1
1
Weight value for the number of PMUs, w2
−2
Weight value for the measurement redundancy c
0.01
Table 2. Test systems specifications Test system
Number of PMUs
Positions
SORI
IEEE 14-Bus
4
4, 6, 7, 9
19
IEEE 24-Bus
7
2, 3, 8, 10, 16, 21, 23
31
Table 3. Optimal PMUs placement results for case 1 Test system
Number of PMUs
Positions
SORI
IEEE 14-Bus
4
4, 6, 7, 9
19
IEEE 24-Bus
7
2, 3, 8, 10, 16, 21, 23
31
Table 4. Results of optimal PMUs placement for case 2 Test system
Number of PMUs
Locations
SORI
IEEE 14-Bus
3
2, 6, 9
16
IEEE 24-Bus
6
1, 8, 9, 10, 19, 21
29
To better estimate the performance of the proposed method, the results achieved by the simulations performed with the applied approach are compared to previous studies. The PMUs number and SORI value are compared versus the results achieved from previous studies which have employed different techniques to resolve the OPP problem and considering normal operation and ZIB. Tables 5 and 6 summarizes the comparison between the results of the suggested method and those of existing studies. All tested IEEE bus system solutions are compared. The most qualitative solution is the one with the highest SORI, which is worth mentioning once again. As mentioned in this Table, the different studies compared, even the approach proposed, succeeded in obtaining an identical number of PMUs for all the IEEE bus
Optimal Placement of Phasor Measurement Units
479
systems tested. However, the measurement redundancy values are different for some bus systems. Table 5. Comparaison results with prior studies for case 1 Test system
Parameter
IEEE 14-Bus
NPMUs SORI
IEEE 24-Bus
NPMUs SORI
Suggested approach
BPSO [22]
BPSO [23]
BSDP [24]
4
-
4
4
19
-
19
16
7
7
7
-
31
29
31
-
Table 6. Comparaison results with prior studies for case 2 Test system
Parameter
IEEE 14-Bus
NPMUs SORI
IEEE 24-Bus
NPMUs SORI
Suggested approach
MOPSO [23]
GSA [12]
ES [25]
3
3
3
3
16
15
16
16
6
6
6
6
29
29
29
27
9 Conclusion In this paper, a solution is provided to the OPP problem with the objective to reduce the number of PMUs and to improve the redundancy of measurements, on the basis of the SORI values that assess the quality of the placement of PMUs in the power system. This study investigated two distinct cases, involving ignoring and considering ZIBs. A grey wolf optimization (GWO) was utilized as an optimization means, which simulates the behavior of grey wolves in the wild. The suggested method has been validated on two different test systems, IEEE 14-bus and IEEE 24-bus. To verify the results, a comparison has been made with other recent approaches. It appears from the results obtained that the proposed method has reduced the number of PMUs and improved the power system observability.
References 1. Abd Rahman, H.N.: Optimal Allocation of Phasor Measurement Units Using Practical Constraints in Power Systems. Brunel University London (2017)
480
A. A. Laouid et al.
2. Laouid, A.A., Rezaoui, M.M., Kouzou, A., Mohammedi, R.D.: Optimal PMUs Placement Using Hybrid PSO-GSA Algorithm. In: 4th International Conference on Power Electronics and their Applications (ICPEA) 2019. IEEE (2019) 3. Almasabi, S., Mitra, J.: Multistage optimal PMU placement considering substation infrastructure. IEEE Trans. Ind. Appl. 54, 6519–6528 (2018) 4. Gou, B.: Optimal placement of PMUs by integer linear programming. IEEE Trans. Power Syst. 23, 1525–1526 (2008) 5. Huang, L., Sun, Y., Xu, J., Gao, W., Zhang, J., Wu, Z.: Optimal PMU placement considering controlled islanding of power system. IEEE Trans. Power Syst. 29, 742–755 (2013) 6. Aghaei, J., Baharvandi, A., Akbari, M.-A., Muttaqi, K.M., Asban, M.-R., Heidari, A.: Multiobjective phasor measurement unit placement in electric power networks: Integer linear programming formulation. Elect. Power Comp. Syst. 43, 1902–1911 (2015) 7. Enshaee, A., Hooshmand, R.A., Fesharaki, F.H.: A new method for optimal placement of phasor measurement units to maintain full network observability under various contingencies. Elect. Power Syst. Res. 89, 1–10 (2012) 8. Jamuna, K., Swarup, K.S.: Multi-objective biogeography based optimization for optimal PMU placement. Appl. Soft Comput. 12, 1503–1510 (2012) 9. Mazhari, S.M., Monsef, H., Lesani, H., Fereidunian, A.: A multi-objective PMU placement method considering measurement redundancy and observability value under contingencies. IEEE Trans. Power Syst. 28, 2136–2146 (2013) 10. Aghaei, J., Baharvandi, A., Rabiee, A., Akbari, M.-A.: Probabilistic PMU placement in electric power networks: an MILP-based multi-objective model. IEEE Trans. Ind. Inf. 11, 332–341 (2015) 11. Qi, J., Sun, K., Kang, W.: Optimal PMU placement for power system dynamic state estimation by using empirical observability Gramian. IEEE Trans. Power Syst. 30, 2041–2054 (2014) 12. Laouid, A.A., Mohammedi, R.D., Rezaoui, M.M., Kouzou, A.: Optimal PMUs placement to ensure power system observability under various contingencies. Electrotehn. Electron. Autom. 68, 1–14 (2020) 13. SadanandanSajan, K., KumarMishra, A., Kumar, V., Tyagi, B.: Phased optimal PMU placement based on revised analytical hierarchy process. Elect. Power Comp. Syst. 44, 1005–1017 (2016) 14. Maji, T.K., Acharjee, P.: Multiple solutions of optimal PMU placement using exponential binary PSO algorithm for smart grid applications. IEEE Trans. Ind. Appl. 53, 2550–2559 (2017) 15. Pal, A., Vullikanti, A.K.S., Ravi, S.S.: A PMU placement scheme considering realistic costs and modern trends in relaying. IEEE Trans. Power Syst. 32, 552–561 (2016) 16. Babu, N.P., Babu, P.S., Sivasarma, D.V.S.S.: Binary cuckoo search based optimal PMU placement scheme for united Indian grid-a case study. Int. J. Eng. Sci. Technol. 10, 10–24 (2018) 17. Babu, R., Bhattacharyya, B.: An approach for optimal placement of phasor measurement unit for power network observability considering various contingencies. Iranian J. Sci. Technol. Trans. Elect. Eng. 42(2), 161–183 (2018). https://doi.org/10.1007/s40998-018-0063-7 18. Biswal, A., Mathur, H.: Optimized PMU stationing for wide area monitoring of power grid. Procedia Technol. 21, 2–7 (2015) 19. Mirjalili, S., Mirjalili, S.M., Lewis, A.: Grey wolf optimizer. Adv. Eng. Softw. 69, 46–61 (2014) 20. Mech, L.D.: Alpha status, dominance, and division of labor in wolf packs. Can. J. Zool. 77, 1196–1203 (1999) 21. Mirjalili, S.: How effective is the Grey Wolf optimizer in training multi-layer perceptrons. Appl. Intell. 43(1), 150–161 (2015). https://doi.org/10.1007/s10489-014-0645-7
Optimal Placement of Phasor Measurement Units
481
22. Sharma, C., Tyagi, B.: An approach for optimal PMU placement using binary particle swarm optimization with conventional measurements. Int. J. Eng. Sci. Technol. 3, 1–8 (2011) 23. Laouid, A.A., Mohammedi, R.D., Kouzou, A., Rezaoui, M.M.: Optimal PMU placement in power system based on multi-objective particle swarm optimization. In: 15th International Multi-Conference on Systems, Signals & Devices (SSD) 2018. IEEE (2018) 24. Korres, G.N., Löfberg, J., Manousakis, N.M., Xygkis, T.C.: Optimal phasor measurement unit placement for numerical observability in the presence of conventional measurements using semi-definite programming. IET Gener. Transm. Distrib. 9, 2427–2436 (2015) 25. Roy, B.S., Sinha, A., Pradhan, A.: An optimal PMU placement technique for power system observability. Int. J. Elect. Power Energy Syst. 42, 71–77 (2012)
The Effect of the Intelligent Control System on the Tram Timetable Efficiency and Its Influence on the Road Capacity at Signalized Intersections Mouloud Khelf1(B) and Bhouri Neila2 1 Laboratory of Transportation Engineering and Environment (LITE), Department of Transport
Engineering, University of Constantine 1, Constantine, Algeria [email protected] 2 Engineering of Surface Transportation Networks and Advanced Computing Laboratory (GRETTIA), Department (COSYS), University Gustave Eiffel, Paris, France
Abstract. The main purpose of using the tram intelligent systems is not only to avoid incidents and accidents in real time, but also to improve the control of the tram performance. This aims to balance the transport supply with the real travelers’ demand by implementing an adequate timetable. The objective of this paper is to show, how the implementation of the tram timetable by using the intelligent control system efficiently is so important for the motorists at signalized intersections. The methodology used is based on the tram timetables applied from 2013 to 2021 and a recorded data in two intersections in an Algerian city. The results indicate that the tram timetable has a huge influence on the road traffic capacity. When the interval of time between the trams increases, the number of trams used in operation decreases, therefore the road capacity at the signalized intersections will be improved. The suggested recommendations are important to increase the roads capacity at multimodal intersections. Keywords: Intelligent control system · Tram · Timetable · Road capacity · Intersections · Traffic lights
1 Introduction The bottleneck is a serious problem in many towns around the world, particularly in urban zones and big cities [1]. Among the main causes that create this phenomenon are the population growth and the high utilization of private cars [2]. The principal decision that has been made to reduce the bottleneck problem in several cities is improving the service quality of the existing public transport systems and investing in the modern ones, to offer a sustainable public transport system, especially in the smart city [3]. Among the main issues to develop a modern smart city is to propose an efficient transportation means and manage effectively the multimodal road intersections, particularly in urban areas. This allows reducing pollution, saving energy, and improving the life quality of the population [4]. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 482–492, 2023. https://doi.org/10.1007/978-3-031-21216-1_50
The Effect of the Intelligent Control System
483
As a modern, ecological, rapid and comfortable mode of transport, the tram is one of the interesting means that attract people to travel by public transport, because it encourages them to use public transport as an alternative mode for their trips instead of their own cars [5, 6]. The tram is a sustainable mean of transport for the smart city, because the double down of the light rail offers an efficient and sustainable transport system for citizens in modern smart cities [7, 8]. The new trams are equipped with intelligent control systems in order to improve its performance (Timetable planning, tram location, headway control, collecting data and traffic signal priority at intersections), and to enhance the safety of all users (trams and particular vehicles) [9]. The multimodal intersections are protected by traffic lights signalization system, with an absolute tram priority to ensure a high safety in the intersections [10, 11]. This system is based on several inductive sensors in the infrastructure and inside the trams (Fig. 1) [12]. The present paper will show how an efficient use of the tram intelligent control systems increase the capacity of the multimodal signalized intersections.
Fig. 1. System tram priority at signalized intersections [12].
2 Case Study 2.1 The Study Area The official operation of Constantine tram network started on July 4, 2013. The tram links the old city from Benabdelmalek Ramdane to Nouvelle Ville, along 18.5 km with 21 stop stations. The rolling stock of this transportation means is an Alstom Citadis-402 [1, 13]. 2.2 The Intelligent Control System of Constantine Tram The main intelligent control system is situated in the Centralized Control Room (CCR). This last is located at the administrative building of the operating company. The CCR regulators are present all along the daily operation time, because they permanently control the movement of trams. The CCR gives the regulators the means to monitor the service quality in real time and the respect of tram priority at road intersections.
484
M. Khelf and B. Neila
Any incident must be resolved in a very short time, because a disturbance on the tram or on the tramline section, may have consequences on the operation of the entire line, especially if it is located at the intersections. The main systems existing in the CCR are: • The operating support systems (OSS) and the tram’s signalization system; • The centralized technical management of the tramline, in addition to the control of the tramline signalization (Technical Energy Management, Centralized Technical Management and Automatic Train Supervision); • The CCTV image transmission system, the telephony and radio systems [10, 14]. In the CCR, supervisors can follow and control the tram fleet using the OSS. This last is composed of two operating offices and one statistical office. The role of this system is to ensure (Fig. 2): • • • •
The transportation regularity service and the tram fleet security; The timetable management and optimization (headway between trams); The trams’ movement detection and the supervising in real time; The collecting and the statistical analysis of the tram operation data [10, 15].
Fig. 2. The centralized control room (CCR).
2.3 The Tram Timetable The timetable and the number of trams applied by the company Setram Constantine from the beginning of the tram operation are presented respectively in tables (1) and (2) (cases 1, 2, and 3) [16]. In addition to the case 4 which is the optimal timetable founded by [10]. The result found in case 4 is based on the higher passengers’ demand for the most critical day in years (2019) and in the all years before the Covid-19 pandemic. Despite the intelligent control system (Centralized Control Room CCR) that exists and was presented previously, the company has not managed the tram according to the actual demand to improve the efficiency of the tram timetable. These last studies have proven that the timetable used by the operating company is not optimal because the offered
The Effect of the Intelligent Control System
485
capacity is very high compared to the passengers’ demand from the first year to almost the seven years (the real number of trams needed is almost 25% of the number used by the company). So, this proves that an unnecessary high number of trams has been used and the tram time headway has not been respected. Unfortunately, the road users have been affected by this bad tram intelligent system control [10, 16]. Table 1. Time headway between trams based on Setram data and the optimal time headway. Time
Case 1
Case 2
Case 3
Case 4
07:00 to 09:00
3
4
6
8
09:00 to 10:00
5
4
6
6
10:00 to 11:00
5
4
6
6
11:00 to 12:00
5
4
6
6
12:00 to 14:00
5
4
6
8
14:00 to 15:00
3
4
6
10
15:00 to 16:00
3
4
6
10
16:00 to 17:00
3
4
6
15
17:00 to 18:00
3
4
6
15
Table 2. Number of trams in both directions based on Setram company data and the optimal tram numbers. Time
Case 1
Case 2
Case 3
Case 4
07:00 to 09:00
80
60
40
30
09:00 to 10:00
24
30
20
20
10:00 to 11:00
24
30
20
20
11:00 to 12:00
24
30
20
20
12:00 to 14:00
48
60
40
30
14:00 to 15:00
40
30
20
12
15:00 to 16:00
40
30
20
12
16:00 to 17:00
40
30
20
8
17:00 to 18:00
40
30
20
8
3 Methodology In the first step, Tables 1 and 2 are used to calculate the tram’s passing time at the intersection per hour. Noting that the time required to cross the entire intersection is 40 s per tram. The second step focuses on an analysis of the chosen intersections data
486
M. Khelf and B. Neila
(intersections design, signal cycle lengths, phases, effective green time, and delay), by taking into consideration the required time for tram passage. Finally, combining the previous steps, permit the calculation of the signal cycle number by hour at intersections to evaluate the road traffic flow fluidity. The capacity of intersections, is calculated using a theoretical value of 2 s for the time headway between vehicles [17]. It allows us to show clearly the influence of the tram timetable optimization on the other road users.
4 Results 4.1 Presentation of Intersections Data The intersections chosen in this study are located in strategic positions. An important traffic flow demand exists in these two intersections, because they link the historical area of the city to two touristic and economic zones. The section bellow presents the design, the signal timing, the movement and the phases of each intersection. The first case is Palma Intersection (Fig. 3A). The cycle length (CL ) in this intersection is 60 s. The delay of car drivers at the intersection is 4 s when the light is green. CL = Geff (Phase y) + Geff (Phase z) Phase y includes only itinerary 1. The effective green time (Geff ) is 17 s. Phase z includes itineraries 2 and Itinerary 3. The effective green time (Geff ) is 43 s (Table 3). Table 3. The effective green time per itinerary at Palma Intersection with delay. Itineraries
1
2
3
Geff (second/ cycle)
13
39
39
The second case study is Fadhila SAADANE Intersection (Fig. 3B). The cycle length (CL ) is 90 s. The delay at the intersection is 4 s when the light is green. CL = Geff (Phase α) + Geff (Phase β) + Geff (Phase γ ) Phase α assembles itineraries 1, 2 and 3. The effective green time (Geff ) is 50 s. Phase β assembles itineraries 6 and 2. The effective green time (Geff ) is 20 s. Phase γ assembles itineraries 4, 5 and 2. The effective green time (Geff ) is 20 s (Table 4).
The Effect of the Intelligent Control System
487
Table 4. The effective green time per itinerary at Fadhila SAADANE Intersection with delay. Itineraries
1
2
3
4
5
6
Geff (second/ cycle)
46
46
46
16
16
16
Fig. 3. Intersection of Palma (A) and Fadhila SAADANE (B)
4.2 Results of Palma Intersection The Figs. 4 and 5 show the capacity of itineraries 1, 2 and 3 from 7 a.m. to 6 p.m, with several tram timetables cases. Compared to the case 1 that represents the old timetable, the case 2 increases the traffic flow fluidity with 43 vehicles per hour in itinerary 1, as with 130 vehicles per hour in itineraries 2 and 3 in rush hours. The case 3 improves the road capacity along the day with 87 vehicles per hour in rush hours and 17 vehicles per hour in the other hours in itinerary 1. In addition, this case increases the traffic flow with 160 vehicles per hours in rush hours and 52 vehicles per hour in the other hours. The execution of the optimal timetable that is based on the real passengers’ demand increases highly the road capacity, in rush hours the increase of traffic flow is between 104 and 139 vehicles per hour in itinerary 1, as well as between 312 and 416 vehicles in itineraries 2 and 3. In the other hours, the increase of traffic flow is respectively between 17 and 43 vehicles per hour for itinerary 1, and between 52 and 130 per hour for itineraries 2 and 3. Among the solutions that reduce the negative effects of tram timetable on the traffic roads capacity is to add a tram phase to itinerary 1, because this itinerary is in parallel of the tramline. The effective green time is 40 s. This last is the necessary time for tram to pass from Palma Intersection. The Fig. 6 shows that the use of an additional tram phase for itinerary 1 increases highly the road capacity in itinerary 1. This solution increases the capacity of this itinerary between 1.55 and 2.2 times than the old capacity in the case 1T, 1.75 times in the case 2T, almost 1.5 times the old capacity for the case 3T and between almost 1 and 1.5 times the old capacity for the case 4T. Figure 7 presents a global evaluation of the roads traffic capacity in all cases at Palma Intersection. In itinerary 1, the case 2 allows to increase the traffic road capacity with
488
M. Khelf and B. Neila
Fig. 4. Road traffic capacity for itinerary 1.
Fig. 5. Roads traffic capacity for itineraries 1 and 2.
Fig. 6. The road traffic capacity for itinerary 3 (with tram phase).
130 vehicles per day (from 7 a.m. to 6 p.m.) compared to the case 1. Then, the case 3 permits to raise the capacity of this itinerary with 607 vehicles per day. The utilization of the case 4 increases the traffic fluidity in itinerary 1 with 865 vehicles per day. Moreover, the addition to the tram phase for itinerary 1 increases extremely, the road traffic fluidity compared to the case 1. The case 1T increases the traffic road capacity with 2342 vehicles per day, the case 2T raises the road capacity of itinerary 1 with 2275 vehicles per day, the case 3T elevates the road traffic capacity with 2033 vehicles per day and the case 4T elevates the traffic road capacity in itinerary 1 with 1905 vehicles per day. In itineraries 2 and 3, and compared to the case 1, the case 2 allows to increase the traffic road capacity for every itinerary with 390 vehicles per day. Then, the case 3, permits raising the traffic road capacity with 1820 vehicles per day, and finally the case 4 elevates the traffic road capacity with 2600 vehicles per day. 4.3 Results of Fadhila Saadane Intersection The Figs. 8, 9, 10 and 11 represent the roads traffic capacity of itineraries 1, 2, 3, 4, 5 and 6 from 7 a.m. to 6 p.m. with different tram timetables cases. Compared to the case 1, the use of the case 2 increases the roads traffic capacity in the rush hours for itineraries 1, 2, 3, 4, 5 and 6 respectively with 102 vehicles per hour, 205 vehicles per hour and from 460 to 747 vehicles per hour. The case 3 allows elevating the capacity of the intersection especially in the rush hours with 205 vehicles per hour in itinerary 1, 409 vehicles per hour for itinerary 3, 71 vehicles for itineraries 4, 5 and 6. However,
The Effect of the Intelligent Control System
489
Fig. 7. Global evaluation of the roads traffic capacity at Palma Intersection.
the traffic road capacity decreases in this case with 27 vehicles per hours for itinerary 2. The utilization of the case 4 permits to raise enormously the capacity of the intersection, particularly in the rush hours. The capacity of the road improves respectively between 460 and 746 vehicles per hour, 577 and 632 vehicles per hour, 920 and 1493 vehicles per hour for itinerary 1, 2 and 3. Also, for itineraries 4, 5 and 6, the capacity of the intersection increases between 395 and 425 vehicles per hour for each itinerary.
Fig. 8. The road traffic capacity for itinerary 1.
Fig. 9. The road traffic cSapacity for itinerary 2.
As a solution to increase the road capacity against the negative influence of tram timetable is to add a tram phase to itineraries 1 and 3. These itineraries are in parallel with the tramline. The effective green time of the added phase is 40 s, that is the necessary time for tram to cross Fadhila SAADANE Intersection. The Figs. 12 and 13 show that the use of an add tram phase for itineraries 1 and 3 raise hugely the road capacity of these itineraries. This solution enhances the capacity of itinerary 1 between 1.71 and 2.57 times than the old capacity in the case 1T, 1.85 times in the case 2T, 1.56 times the old capacity for the case 3T and between almost 1.13 and 1.56 times the old capacity for the case 4T. Figure 14 presents a global evaluation of the roads traffic capacity in all cases at Fadhila SAADANE Intersection. In itinerary 1, the case 2 allows to increase the traffic road capacity with 302 vehicles per day (from 7 a.m. to 6 p.m.) compared to the case 1.
490
M. Khelf and B. Neila
Fig. 10. The road traffic capacity for itinerary Fig. 11. The road traffic capacity for itinerary 3. 4, 5 and 6.
Fig. 12. The road traffic capacity for itinerary Fig. 13. The road traffic capacity for itinerary 1 (Tram phase). 3 (Tram phase).
Then, the case 3 permits to raise the capacity of this itinerary with 1435 vehicles per day. The utilization of the case 4 increases the traffic fluidity in itinerary 1 with 5212 vehicles per day. Moreover, the addition of the tram phase for itinerary 1 increases enormously, the road traffic fluidity compared to the case 1. The case 1T increases the traffic road capacity with 7199 vehicles per day, the case 2T raise the road capacity of itinerary 1 with 6906 vehicles per day, the case 3T elevates the road traffic capacity with 5830 vehicles per day and the case 4T elevates the traffic road capacity in itinerary 1 with 8412 vehicles per day. Compared to the case 1, the case 2 and case 3 reduce the traffic road capacity respectively with 80 and 373 vehicles per day in itinerary 2. However, the case 4 increases highly the traffic road capacity with 4840 vehicles per day. In itinerary 3, the road traffic capacity increases respectively with 617, 2861 and 7566 vehicles per day for the cases 2, 3 and 4 compared to the case 1. Furthermore, the use of the tram phase in itinerary 3 elevates the road traffic capacity compared to the case 1 with 14397, 13813, 11662 and 16827 vehicles per hour respectively for cases 1T, case 2T, case 3T and case 4T. In itineraries 4, 5 and 6, compared to the case 1, the case 2 allows to increase the traffic road capacity for every itinerary with 107 vehicles per day. Then, the case 3, permits raising the traffic road capacity with 498 vehicles per day, and finally the case 4 elevates the traffic road capacity with 1813 vehicles per day.
The Effect of the Intelligent Control System
491
Fig. 14. Global evaluation of the roads traffic capacity at Palma Intersection.
5 Conclusion The principal role of the tram intelligent control system is to establish an efficient timetable and supervise the tram operation in real time. An analysis of the tram timetables has been done, to show the indirect influence of the tram intelligent control system on the road capacity at signalized intersections. For this, an analysis of four timetables cases applied by the operating company from 2013 to 2021 has been done, to show their influences on the road capacity at two strategic intersections in Constantine city. The results prove that in Palma Intersection, the total road traffic increase is 520 vehicles, 2427 vehicles, and 3465 vehicles, respectively, for cases 2, 3, and 4 compared to case 1, from 7 am to 6 pm. The addition of the green light phase (at the same time as the tram phase) for the parallel road itinerary of the tramline increased the capacity of the intersection, with 2665 vehicles, 3853 vehicles, and 4505 vehicles, respectively, for cases 2, 3, and 4 compared to the case 1, from 7 am to 6 pm. The vehicles increase rate is almost 32%, 26% and 8% for case 4 compared, respectively, to the cases 1, 2 and 3 in all itineraries. Also, the results have proven that the capacity of Fadhla Saadane Intersection increases highly for cases 2, 3, and 4 compared to case 1, respectively, with 1162 vehicles, 5419 vehicles, and 24109 vehicles from 7 am to 6 pm. The use of the green light with the tram phase for parallel roads itineraries has increased the capacity of the Fadhila SAADANE Intersection, respectively, with 20962 vehicles, 18615 vehicles, and 35522 vehicles for cases 2, 3, and 4 from 7 am to 6 p.m. The vehicles increase rate is almost 73%, 81% and 48% in the case 4 compared respectively to the cases 1, 2 and 3 in the itineraries 1, 3, 4, 5 and 6, and almost 28% in the itinerary 2 for all cases. It is recommended to manage the intelligent control system efficiently by the CCR staff, to establish an optimal timetable that responds efficiently to the passengers’ demand, so to reduce the negative influence of tram operation on the motorists at the signalized junctions. Also, the execution of the green light time with the tram phase for parallel roads itineraries with the tramline should be executed, because this solution permits to highly increase the road traffic fluidity at the intersections.
References 1. Mouloud, K., Salim, B.: The effect of noise on the comfort of passengers inside the tramway and its impact on traffic congestion in the urban area. J. Vibroeng. 20, 530–540 (2018) 2. Rida, K., Hummayoun, N., Sana, E., Mukhtar, F., Batool, T.: Service quality and customer satisfaction in public transport sector of Pakistan: an empirical study. Int. J. Econ. Manag. Sci.. 4, 125–130 (2010)
492
M. Khelf and B. Neila
3. Lu, K., Han, B., Zhou, X.: Smart urban transit systems: from integrated framework to interdisciplinary perspective. Urban Rail Transit. 4(2), 49–67 (2018). https://doi.org/10.1007/s40 864-018-0080-x 4. Nassar, A.S., Montasser, A.H., Abdelbaki, N.: A survey on smart cities’ IoT. In: Hassanien, A.E., Shaalan, K., Gaber, T., Tolba, M.F. (eds.) AISI 2017. AISC, vol. 639, pp. 855–864. Springer, Cham (2018). https://doi.org/10.1007/978-3-319-64861-3_80 5. Yuxiong, J., Yu, T., Wei, W, Yuchuan, D.: Tram-oriented traffic signal timing resynchronization. J. Adv. Transp. 2018, 1–13 (13 pages) (2018). https://doi.org/10.1155/2018/879 6250 6. Guerrieri, M.: Tramways in urban areas: an overview on safety at road intersections. Urban Rail Transit. 4(4), 223–233 (2018). https://doi.org/10.1007/s40864-018-0093-5 7. Savchuk, I., Tymofii N.: Tramway as an indicator of the realisation of Smart City concept. In: E3S Web of Conferences, 159(3), 03006 (2020). https://doi.org/10.1051/e3sconf/202015 905013 8. Marc, B.: If we want smart cities, we need to double down on rail transit. Smart Cities Dive. https://www.smartcitiesdive.com/news/smart-cities-double-down-rail-transit-transport ation/571592/. Accessed 12 May 2022 9. Audikana, A., Kaufmann, V., Messer, M.A.: Governing the Geneva tram network: making decisions without making choices. J. Urban Technol. 22(4), 103–124 (2015) 10. Khelf, M., Boukebbab, S., Bhouri, N.: Evaluation of the tram intelligent system management by an analysis of its key performance indicators for an optimal mixed traffic control in Algeria. Int. J. Ship. Transp. Logist. 14(1/2), 33–55 (2022). https://doi.org/10.1504/IJSTL.2022. 120668 11. Robert, S., Martin S.: Optimizing traffic signal settings for public transport priority. In: Gianlorenzo D’Angelo and Twan Dollevoet. (eds) 17th Workshop on Algorithmic Approaches for Transportation Modelling, Optimization, and Systems (ATMOS 2017), vol. 59, pp. 9:1--9:15 (2017). https://doi.org/10.4230/OASIcs.ATMOS.2017.9 12. Entreprise Metro Alger (EMA) : Road Signs Description (EMA), Algeria (2008) 13. Entreprise Metro Alger (EMA): Le tramway de Constantine. http://www.metroalger-dz.com/ fr/activites.php?idAC=12&EMA=TRW. Accessed 5 Oct 2022 14. Entreprise Metro Alger (EMA): A general presentation of Constantine tram system (EMA), Algeria (2018) 15. Entreprise Metro Alger (EMA): Presentation of the signalization and telecommunication system (EMA), Algeria (2011) 16. Khelf, M., Boukebbab, S., Bhouri, N., Boulahlib, M.S.: Tram service quality and its impact on the passengers’ modal choice in Constantine City (Algeria). In: Kabashkin, I., Yatskiv (Jackiva), I., Prentkovskis, O. (eds.) RelStat 2018. LNNS, vol. 68, pp. 35–44. Springer, Cham (2019). https://doi.org/10.1007/978-3-030-12450-2_4 17. Cohen, S.: Ingénierie du Trafic Routier: Eléments de théorie du trafic et applications. Presses de l’école nationale des Ponts et chaussées, pp. 246 (1990). (in French)
Soil-Structure Interaction Effects on the Vibration Control of Building Structures Mohamed Seghir Jaballah1(B) , Salaheddine Harzallah2 , and Nail Bachir3 1 Laboratory of Development in Mechanics and Materials LDMM, Faculty of Science
and Technology, University of Djelfa, Djelfa, Algeria [email protected] 2 Built Environmental Research Lab., Civil Engineering Faculty, Sciences and Technology Department, University of Houari Boumediene, B.P 32 El Alia, 16111 Bab Ezzouar, Algiers, Algeria 3 Mechanical Engineering, Materials, and Structures Laboratory, Faculty of Science and Technology, Tissemsilt University of Tissemsilt, Tissemsilt, Algeria [email protected]
Abstract. The earthquake is a phenomenon that disturbs the stability of the constructions, and to reduce the damage it causes, man has sought to find reliable solutions. Among the latter, there is the active vibration control technique for structures which is the subject of this study. In this study, we investigated this type of control on structures to show its effectiveness in reducing seismic effects, using an active tuned mass damper mounted on the top floor of a building structure. This study showed that this type of control is a reliable solution to protect structures against earthquakes. In another part of this study, the soil-structure interaction effect (SSI) was introduced in the response of the controlled structures. This showed that in the case where the soils under the base of the structures have high deformability, it is very important to introduce the interaction effect to bring it closer to the real behavior of the structures. Keywords: Active control · Soil-Structure Interaction (SSI) · Active tuned mass damper · Base isolation
1 Introduction Earthquakes are a natural geological activity. They induce significant destruction. The human being seeks to satisfy his need to be protected against these phenomena. As a result, he thought of building shelters. Faced with the development that the world is experiencing, these have become buildings, skyscrapers, and other very dangerous structures [1]. Having arrived at this stage, the structures themselves have become a real danger in the face of influencing factors such as wind, earthquakes, and not to mention the vibrations caused by the man himself, for example, explosions. At this level, the human being has thought again of other solutions to eliminate these major risks threatening its stability. In the very beginning, he designed very solid buildings with empirical © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 493–500, 2023. https://doi.org/10.1007/978-3-031-21216-1_51
494
M. S. Jaballah et al.
standards but sufficiently strict to be able to guard against natural phenomena. With the development that has affected urbanization, the importance of these standards has taken a great place, especially in terms of foundations [2]. The realization of a project according to the new standards did not help much in the fight against earthquakes and the damage that they cause. This has pushed research towards other solutions, such as control. Since the sources of excitation are diverse and different in terms of intensity, origins, and influence, we will focus on the most aggressive and widespread phenomenon in the world, such as the earthquake. From a technical point of view, the latter is considered as a source of random vibration. The likelihood of it occurring differs from region to region. So, predicting the moment that comes just before the arrival of this phenomenon becomes the concern of current research [3–5]. The passive vibration control device is basically designed to reduce only a particular mode of vibration of the structure. In contrast, an active vibration control system can dampen a wide band of frequencies. Hence, the study of active control of structures is a logical extension of passive control technology. A control system is active if one or more actuators apply forces to a structure according to control law and use an external energy source for their operation. These forces can be used to add or dissipate energy from the structure to be controlled. To build such a system, there are two approaches that are radically different: the first consists in identifying the seismic excitation, which creates the vibrations to cancel it by superimposing an “inverse” excitation on it. This active control strategy is called feedforward control. It is mainly developed in acoustics [6], but it is also very useful for controlling the vibration of structures [5]. The second is to identify the response of the structure rather than the excitation that makes it vibrate. It, therefore, requires the modeling of the dynamic behavior of the structure. The vibration control work that involves this type of strategy is called feedback loop control [7].
Fig. 1. Structure with active control
The goal of this study is to simulate the application of active control to reduce the seismic response of structures, taking into consideration the effect of soil-structure interaction (SSI) in order to bring the behavior of structures closer to reality under seismic forces.
Soil-Structure Interaction Effects
495
2 Controlling Algorithm Control laws are used by the computer to calculate the force required to be applied. This control force is used to modify and reduce the structural response. The linear quadratic regulator (LQR) algorithm proved to be a very reliable controlling algorithm. This controller is used widely in the industry. It belongs to the category of optimal control algorithms. In an optimal control, a cost function called J function, indicating a performance index, is chosen. This last one will be later on used to be minimized in order to obtain an optimal input. It can be chosen to be quadratically depending on the control input or on the response of the system: [8, 9] J =
1 tf T ∫ (x Qx + uT Ru)dt 2 t0
(1)
where: Q (output) represents the weight matrix, and R(input) is the control vector. The performance index J represents the balance between the structural response, and the control energy, the purpose of this index is to reduce the response of the building structure. The performance index given in Eq. (1) is chosen to minimize the structural response and the control energy over the time interval from t0 to tf . If the elements of the matrix Q are large, the system response will be minimized at a large driving force. When the elements of the vector R are large, the driving force should be small [10–14]. u(t) = −KX (t)
(2)
K (LQR gain vector) is given by the relation: K = R−1 BT P where: P is the solution matrix of the Riccati equation.
Fig. 2. LQR control schema
(3)
496
M. S. Jaballah et al.
3 Mathematical Formulation We have used a 6-story building for this study. This type of building structure is largely found in our country Algeria. The different characteristics of the building are shown in Table 1. On the top floor, we mounted an active tuned mass damper (ATMD). This last one will be controlled using the LQR control algorithm. The equation of motion of our system can be presented as: (4) [M ]{¨x} + [C] {˙x} +[K]{x} = −[M ]{r} x¨ g − {d }f (t) where: M, C, and K are the mass, damping, and rigidity matrices.
Fig. 3. Building equipped with ATMD
Table 1. Building proprieties Story
Mass (Kg)
Rigidity (KN/m)
Damping (KN.s/m)
1
3.45 x 103
3.404 x 108
34
2
3.45 x 103
3.404 x 108
34
3
3.45 x 103
3.404 x 108
34
4
3.45 x 103
3.404 x 108
34
5
3.45 x 103
3.404 x 108
34
6
3.45 x 103
3.404 x 108
34
ATMD
1050
8.56
0.763
Figure 4 shows a n-DOF structure controlled by the active system and considering the effect of SSI. The difference between the two cases, with and without the SSI effect,
Soil-Structure Interaction Effects
497
is that there are two additional forces that appear when considering the motion of the ¨ where mi and hi are the mass and height of floor foundation. They are mi x¨ 0 and mi hi ∅, i, respectively. Therefore, the equation of motion of the superstructure, in the case of structures with the SSI effect, is obtained by adding these inertial forces to the case of structures without the SSI effect. For this study, the El Centro 1940 earthquake will be used for this simulation.
Fig. 4. Soil-structure interaction
The state-space representation of the system with the SSI effect will be as follows: x¨ (t) ˙ Z(t) = [At ]{Z(t)} + [Bu ]{u(t)} + [Br ] g (5) 0 where:
[At ] =
[0] [I ] ; −[MSSI ]−1 [KSSI ] −[MSSI ]−1 [CSSI ] [0] ; [Bu ] = [MSSI ]−1 δas ⎡ ⎤ [0] [Br ] = ⎣ −[] ⎦ −[I2 ]
4
Results and Discussion
From these figures, it can be seen that:
(6) (7)
(8)
498
M. S. Jaballah et al.
without SSI with SSI
0.1
x(m)
0.05
0
-0.05
-0.1 0
10
20
30
40
50
t(s)
Fig. 5. Building fondation displacement (without and with SSI effect)
without SSI with SSI
3 2
V(N)
1 0 -1 -2 -3 -4 -5 0
10
20
30 t(s)
40
50
Fig. 6. Building basement shear force (without and with SSI effect)
• With the effect of SSI, the displacements of the structure are increased compared to those of the structure without the effect of SSI, because of the displacements of the foundation. • With the SSI effect, the inter-story displacements are reduced compared to those of the structure without the SSI effect because of the flexibility of the base, which plays the role of filtering. • With the SSI effect, the shear forces at the base are reduced by 30% compared to those of the structure, ignoring the SSI effect. • With the effect of SSI, the control forces of the structure are large compared to those of the structure without the effect of SSI, because of the importance of the displacements of the foundation, which require an additional force to reduce them.
Soil-Structure Interaction Effects 3
499
without SSI with SSI
2 1
U(N)
0
-1 -2 -3 -4
-5 0
10
20
30
40
50
t(s)
Fig. 7. Control force (without and with SSI effect)
5 Conclusion What can be concluded from these results is that the effect of soil-structure interaction is necessary to introduce it into the calculation of the seismic response of structures, it increases the displacements of the structure because of the flexibility of the base, but it reduces the displacements between floors as well as the reduction of the shear force at the base.
References 1. Pnevmatikos, N., Gantes, C.J.: Actively and Semi-Actively Controlled Structures Under Seismic Actions: Modeling and Analysis, pp. 1–24. Springer, Encyclopedia of Earthquake Engineering, New York, NY, USA (2014). https://doi.org/10.1007/978-3-642-35344-4_146 2. Manchalwar, A., Bakre, S.V.: Vibration control of structure by top base isolated storey as tuned mass damper. Int. J. Dyn. Control 8(3), 963–972 (2020). https://doi.org/10.1007/s40 435-020-00614-1 3. Xu, L., Cui, Y., Wang, Z.: Active tuned mass damper based vibration control for seismic excited adjacent buildings under actuator saturation. Soil Dyn. Earthq. Eng. 135,(2020) 4. Arfiadi, Y., Hadi, M.N.S.: Passive and active control of three dimensional buildings. Earthquake Eng. Struct. Dynam. 29, 377–396 (2000) 5. Soong, T.T., Spencer, B.F.: Active, semi-active and hybrid control of structures. Bull. N. Z. Soc. Earthq. Eng. 33(3), 387–402 (2000) 6. Fuller, C.C., Elliott, S.J., Nelson, P.A.: Active control of vibration. Academic press (1996) 7. Kelly, J.M.: The role of damping in seismic isolation. Earthquake Eng. Struct. Dynam. 28(1), 3–20 (1999) 8. Ezzraimi, M., Tiberkak, R., Melbous, A., Rechak, S.: LQR and PID algorithms for vibration control of piezoelectric composite plates. Mechanics 24(5), 734–740 (2018) 9. Heidari, A.H., Etedali, S., Javaheri-Tafti, M.R.: A hybrid LQR-PID control design for seismic control of buildings equipped with ATMD. Front. Struct. Civ. Eng. 12(1), 44–57 (2016). https://doi.org/10.1007/s11709-016-0382-6
500
M. S. Jaballah et al.
10. Alavinasab, A., Moharrami, H., Khajepour, A.: Active control of structures using energybased LQR method. Comput.-Aided Civil Infrastruct. Eng. 21(8), 605–611 (2006) 11. Zhang, J., He, L., Wang, E., Gao, R.: December. A LQR controller design for active vibration control of flexible structures. In: 2008 IEEE Pacific-Asia Workshop on Computational Intelligence and Industrial Application , Vol. 1, pp. 127–132. IEEE (2008) 12. Jaballah, M.S., Harzallah, S., Nail, B.: A Comparative study on hybrid vibration control of base-isolated buildings equipped with ATMD. Eng. Technol. Appl. Scie. Res. 12(3), 8652– 8657 (2022) 13. Seghir, J.M., Bachir, N., Salaheddine, H., Salim, G.: Hybrid vibration control of structures using fractional order PIλ Dμ controller. In: International Conference on Artificial Intelligence in Renewable Energetic Systems, pp. 569-577. Springer, Cham (2021) 14. Harzallah, S., Chabaat, M., Saidani, M. and Moussaoui, M.: Numerical investigation of the seismic vulnerability of bridge piers strengthened with steel fibre reinforced concrete (SFRC) and carbon fibre composites (CFC). Case Stud. Constr. Mater. 17, e01235 (2022)
Robust Control of Multiphase Induction Generator Equipped with Fuzzy Flywheel Energy Storage System Derkouche Djamel and Kouzi Katia(B) Laboratoire Matériaux, Systèmes Énergétiques, Energies Renouvelables et Gestion de l’Énergie (LMSEERGE), University of Amar TelidjiLaghouat, Laghouat, Algeria [email protected]
Abstract. Controlling wind generators has become a challenging task for those interested in this field to ensure adequate and stable energy for consumers, so they worked to create solutions to the problem of wind energy fluctuation, among these solutions a flywheel energy storage system, which has proven to be effective in helping the wind generators to contribute to the grid. The aim of this work is to improve the performance of a wind energy conversion system (WECS) based on dual star induction generator (DSIG) integrated with a flywheel energy storage system based on the squirrel cage induction machine (SCIM). A novel control based on a synergetic control (SC) combined with vector control applied on the FESS machine. On the other one, a powerful optimization method is proposed to tuning the values of SC parameters. The model of the system is simulated for different wind generator operating modes using Matlab–Simulink. The results show the good performance of the studied system. Keywords: Wind energy conversion system · Dual star induction machine · Flywheel energy storage system · Vector control · Synergetic controller · Fuzzy logic Algorithm · PSO algorithm
1 Introduction Artificial intelligence technology is a crucial means to create intelligent manufacturing, which is a significant aspect of the industry to reduce time and money waste. It is apparent that industrial system optimization is important for competitiveness of the industry. Therefore, many mathematicians and engineers are interested in learning how to use advanced modeling and optimization technologies, as well as developing novel modeling and optimization methods, to build and manage complex systems. One of the most popular methods of optimization is the particle swarm optimization, which is a nature inspired by social behavior of bird flocking when searching for food. PSO proved to be a great solution to improve the control of the electrical machine drives. In this paper, A flywheel energy storage system (FESS) integrated to a wind energy conversion system (WECS) based on dual star induction generator (DSIG) incorporated with a flywheel energy storage system (FESS) based on a squirrel-cage induction machine (IM). The © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 501–510, 2023. https://doi.org/10.1007/978-3-031-21216-1_52
502
D. Djamel and K. Katia
Flywheel energy storage systems have advanced significantly in recent decades due to their robustness, long lives and quick response. The main concept of FESS is to convert the kinetic energy in the flywheel into electrical energy in the case of a power shortage in the grid. However, the control design of the electrical machine drives become a challenging task due to the linear-dynamics. The Synergetic Strategy to Control Theory (SACT), suggested by Kalashnikov [6, 7], is a new control approach for regulating nonlinear systems that consists of reaching a zero tracking error in a finite time using the macro variable and its first-time derivative. This work investigated the synergetic control with the flux-oriented control applied on the dual star induction machine and the Fuzzy logic controller applied on the squirrel induction machine of the Fess. The principle of FOC control approach is to align the flux vector on an axis of the reference frame linked to the rotating field to make the IM performance equal to that of a DC machine. On the other one, the Particle Swarm Optimization Algorithm is proposed to tuning the values of the synergetic controller parameters in order to improve the performance of the proposed system.
2 Mathematical Model of All Parts of the System Flywheel energy storage works by converting electrical energy into mechanical energy and storing it in the flywheel, which is then used to drive generators to produce power when it is required. The good features of the flywheel system is that have no impact on the environment, low maintenance costs and extreme power density. However, it have some disadvantages include expensive costs and the potential of self-discharge. Figure 1 illustrates the global diagram of the studied system which consists of a wind generator based on dual star induction machine, four converters power which are controlled by Pulse Width Modulation, the DC bus, the input filter, a transformer and the Fess which in turn consists of the flywheel and the induction machine. Flywheel energy storage plays the role of a power monitor in the system so that constant power must pass to the grid. Through the following equation we can determine the reference power applied on the Fess [1, 2] PFess−ref = Preg − Pwind
(1)
A Standard PI voltage controller is used to regulate the DC bus voltage and provides the value of the power required to keep the voltage at the reference value udc-ref. The reference active power is supplied via the dc link voltage control, The following is the evolution of the DC bus voltage: dUdc 1 = (idc − im − ig ) dt C
(2)
For a given inertia, the energy stored in the flywheel is proportional to the square of the rotational speed, as shown t1 PFess−ref dt (3) Ec−ref = Ect0 + t0
Robust Control of Multiphase
503
Fig. 1. Global system studied
2.1 Induction Machine Model The Park model is the most common model used in the induction machines. The following system describes the flux and currents of squirrel induction machine [1]: ⎧ Mp disd Rsr M 1 ⎪ ⎪ dt = − ρLs isd + ωs isq + ρLs Lr Tr φrd + ρLs Lr IM φrq + ρLs vsd ⎪ ⎪ ⎨ disq = −ω i − Rsr i − Mp φ + M φ + 1 v s sd dt ρLs sq ρLs Lr IM rd ρLs Lr Tr rq ρLs sq (4) ⎪ d φrd = M isd − 1 φrd + (ωs − IM p)φrq ⎪ dt T T ⎪ r r ⎪ ⎩ d φrd = M i − 1 φ − (ω − p)φ s IM rq dt Tr sq Tr rq 2
2
With; Rsr = Rs + M R And, ρ = 1 − LMs lr Lr 2 r The mechanical speed of the Fess is defined by Jfess
d IM = Tem−fess − fIM IM dt
(5)
By Application the vector control on the induction machine of the Fess, the system (3) will be as follows ⎧ disd Rsr = − ρL isd + ωs isq + ρLsMLr Tr φrd + ρL1 s vsd ⎪ ⎪ s ⎪ didtsq ⎨ Mp Rsr 1 dt = −ωs isd − ρLs isq − ρLs Lr IM φrd + ρLs vsq (6) d φ M 1 rd ⎪ = Tr isd − Tr φrd ⎪ ⎪ dt ⎩ The reference speed and the reference current are determined, respectively by: 2.Ec−ref Lr Tem−refIM , isq−refIM = (7) IM −ref = JIM pM ϕr−refIM
504
D. Djamel and K. Katia
The stator pulsation is calculated by ωsIM = pv +
MRr isq−ref Lr ϕr−ref
(8)
2.2 DSIG Model A schematic of the stator and rotor windings for a dual-stator induction machine is given in Fig. 2. Three phases are distributed in each winding of the dual star induction machine, and their magnetic axes are 120° apart. The following system in the Park frame (9) gives the electrical equations of DSIM [3–5]:
Fig. 2. Scheme of dual-stator induction machine winding.
˙ = AX + BU X
(9)
T T With; X = φds1 φqs1 φds2 φqs2 φdr φqr and U = vds1 vqs1 vds2 vqs2 0 0 The system matrices of DSIG are written as follows
L
With; Ts = Rs(1,2) And, Tr = RLrr s(1,2) The mechanical equation and the electromagnetic torque are calculated by: J
dr = Tr − Tem − Jr dt
(10)
Robust Control of Multiphase
Tem = P
lm [ iqs1 + iqs2 φdr − (ids1 + ids2 )φqr (lm + lr )
505
(11)
We apply the flux oriented control on DSIG model, the electromagnetic torque became as follow Tem−ref = P
lm (iqs1 + iqs2 )φ ∗ r (lm + lr )
(12)
3 Combined Synergetic-Vector Control Applied to DSIG Machine To build the synergetic control we should choose the macro- variable and limit it, which we consider a linear function of the mechanical state variables. We choose the first group of macro variables as follow [8, 9] ψ1 = k1 x1 + k2 x2
(13)
With: x1 = r−ref − r , and x2 = φr−ref − φr ψ1 Must satisfy the following homogeneous differential equation: ˙ + ψ = 0, with T > 0 Tψ
(14)
We replace ψ1 of (14) in (12) which gives
where:
T(k1 x˙ 1 + k2 x˙ 2 ) + k1 x1 + k2 x2 = 0
(15)
dr dφr −T k1 + k2 + k1 (r−ref − r ) + k2 (φr−ref − φr ) = 0 dt dt
(16)
d φr dt
= 1J Tem−ref − TL − kf r Rr r Lm = − Lr +L φr + LRr +L (i +isd2 ) m m sd1
dr dt
(17)
Finally, by combining (17) and (16), we obtain the control law dφr J k1 (r−ref − r ) + k2 (φr−ref − φr ) − Tk 2 + TL + kf r = 0 Tem−ref = Tk 1 dt (18) where: k1 , k2 and T are the controller parameter.
506
D. Djamel and K. Katia
4 Design of Fuzzy Logic Algorithm of the Fess Machine The structure of the fuzzy logic algorithm is illustrated in Fig. 3, which is proposed by Mamdani [12] in order to control the speed of the flywheel energy storage machine, where the inputs are the speed error (e) and the change of speed (de) and the output is the electromagnetic torque Tem−ref . The fuzzy controller consists of fuzzification interface, knowledge base, inference and defuzzification. k, k e , ke are a proportional gains. For each input and output fuzzy set, the fuzzy controller provides five typical triangletype membership functions, The linguistic set proposed are; negative big (NB), negative small (NS)„ zero (EZ), positive small (PS and positive big (PB).
Fig. 3. Fess integrated to the wind generator
The inputs and the output are determined by the following equations [13]: e(k) = refIM − IM
(19)
e(k) = ke [e(k) − e(k − 1)]
(20)
Tem−ref = Tem (k − 1) + kTem ∗ Tem
(21)
5 Design of PSO Algorithm to Tuning Synergetic Control Parameters The proposed Particle Swarm Optimization Algorithm for finding the values of the synergetic controller parameters T, k1 and k2, is divided into the following steps [10, 11]: Step 1: All velocity and position of the particles are set at random within a predetermined range. Step 2: Updating the velocities and positions of all particles according to the following equations
(22) Vi (t + 1) = w.V i (t) + ϕ1 .r1 (t).(pbi (t) − xi (t))+ϕ 2 .r2 (t). pgi (t) − xi (t)
where xi and vi are the position and velocity of particle i, respectively; pbi , pgi are the best position and the best swarm position; r1 and r2 are random variables between [0,1];
Robust Control of Multiphase
507
ϕ1 and ϕ2 are two accelerations constants that influence the relative weighting of linked terms; and the inertial weight w is chosen as a compromise between the local and global exploring capabilities of the swarm. Step 3: Updating the velocity and position of all particles as follows xi (t + 1) = xi (t) + Vi (t)
(23)
Step 4: When the conditions are met, the memory will update according to. pbi = pi If f (pi ) > f (p
bi ). pgi = pi If f (pi ) > f pgi . Where f(x) is the objective function optimized. Step 5: Stopping condition, the final value of T, k1, and k2 are the best controller parameters if we reach the maximum number of iterations (Fig. 4).
Fig. 4. An optimized synergetic controller by PSO algorithm
6 Simulation Results The mathematical model of the global system is implemented on MATLAB / Simulink for a variable wind speed. The simulation is performed for a period of time of 30 s. The rated power of DSIG and IM are 1.5 MW and 0.45 MW respectively, their parameters are given in Table 1. The amount of active power delivered to the grid is fixed at Preg = 0.85MW, and the amount of reactive power is set to zero (Qr* = 0). The parameters of the synergetic controller obtained by PSO are: T = 9.5751e−04, k1 = 6.3236e−04, k2 = 9.7540e−05. According to the obtained results, the optimized synergetic control of the DSIG showed a great ability to improve the system studied, where we got a very fast response of DSIG velocity as shown in Fig. 6 and 7, less than 0.02 s of short settling time, and the curve of the velocity follows its reference perfectly. The evolution of the DC bus voltage is presented in Fig. 8, where the initial voltage is equal to udc0 = 1 kV, we note that the voltage Udc kept at a constant value equal to its reference which is equal to 1.131 kV.
508
D. Djamel and K. Katia
Fig. 5. Wind speed profile
Fig. 6. DSIG speed
The electromagnetic torque and the speed of Fess follow the evolution of the wind profile as shown in Fig. 5 and 6, also they follow their references perfectly. The stator voltage and current waveforms are plotted in Fig. 7. According to the simulation conditions, we get a constant active power of the grid and reactive power equal zero as illustrated in Fig. 8.
Fig. 7. Zoom in DSIG speed
Fig. 8. DSIG electromagnetic torque
From the Fig. 9, we notice that the active power changes between the positive and negative case, which proves that the Fess machine works both as a motor and as a generator. If the power supplied by the wind generator Pge is higher than the power required Preg, the difference between the two will be kept in the Fess and if there is a deficit, the power kept in the Fess covers that deficit. The electromagnetic torque of Fess follows the evolution of the wind profile as shown in Fig. 10, also it follows its reference perfectly. We see through Fig. 12 the speed of Fuzzy Fess which increases when Fess stores the energy and decreases when it delivers the energy to the grid in case of deficit. The wind generator and the grid powers are presented in Fig. 13, where the reactive power of DSIG changes between 0.2 and 0.5MW while it equals zero in the grid side, we note also that the active power of DSIG is variable and at a constant value in the grid side, which equal to the desired power. Figure 14 represents the voltage and current of the grid side, it can be seen that are in opposite phases, which means that the power is constant and generated (Fig. 11).
Robust Control of Multiphase
Fig. 9. Fess active power
Fig. 10. Fess electromagnetic torque
Fig. 11. The Fess power
Fig. 12. Fess mechanical speed
Fig. 13. The grid power
Fig. 14. Grid voltage and current
509
Table 1. Parameters Type
Symbol and Value
DSIG
400 V, 50 Hz, 2 pairs of pole, rs1 = rs2 = 0.008X , ls1 = ls2 = 0.134 mH, lm = 0.0045 H, rr = 0.007X , lr = 0.0067 mH, J = 100 kg m2 , fr = 2.5 NmS/rd
IM
400 V, 50 Hz, 2 pole pairs, Rs = Rr =0.0171 , Ls = Lr =0.0173 H, M = 0.0135 H, fv = 250 NmS/rd
Turbine
Turbine: Radius = 35 m, blades = 3, hub high = 85, G = 90
7 Conclusion In this article, a model and a control strategy of a complete wind energy conversion system based on dual star induction machine with a Fuzzy flywheel energy storage system. The synergetic approach to control theory has been suggested and implemented to control the dual star induction machine. Moreover, Particle swarm optimization has been proposed to select the appropriate parameters of the synergetic control. The proposed
510
D. Djamel and K. Katia
control approach shows attractive results in optimizing wind turbine energy extraction and ensuring the stability of the system, and the flywheel energy storage system proves that is a good solution to integrate wind generators with the grid.
References 1. Davigny, A.: Participation AUX Services systèmes de fermes d’éoliennes à vitesse variable intégrant du stockage inertiel d’énergie. University of Lille France, PhD (2007) 2. Cimuca, G.: Système inertiel de stockage d’énergie associé à des générateurs éoliens. University of Lille France, PhD (2005) 3. Amimeur, H., Aouzellag, D., Abdessemed, R., Ghedamsi, K.: Sliding mode control of a dual-stator induction generator for wind 4. El Aimani, S.: Modelling and simulation of doubly fed induction generator for variable speed wind turbines integrated in a distribution network. In: 10th European Conference on Power Electronics and Application, Toulouse, France (2003) 5. Kouki, H., Ben Fredj, M., Rehaoulia, H.: Modeling of double star induction machine including magnetic saturation and skin effect. In: 10th International Multi-Conferences on Systems, Signals & Devices 2013 (SSD13), pp. 1–5. IEEE (2013). https://doi.org/10.1109/SSD.2013. 6564045. G. Système inertiel de stockage d’énergie associé à des générateurs éoliens. PhD, University of Lille France, 2005 6. Kolesnikov, A., et al.: A synergetic approach to the modeling of power electronic systems. In: 7th Workshop on Computers in Power Electronics COMPEL 2000, Proceedings (Cat. No.00TH8535), pp. 259–262. IEEE (2000). https://doi.org/10.1109/CIPE.2000.904726 7. Kolesnikov, A., Veselov, G.: A synergetic approach to the modeling of power electronic systems. In: Proceedings of COMPEL, Blacksburg, VA (2000) 8. Davoudi, A., Bazzi, A.M., Chapman, P.L.: Application of synergetic control theory to nonsinusoidal PMSMs via multiple reference frame theory. In: 2008 34th Annual Conference of IEEE Industrial Electronics, pp. 2794–2799. IEEE (2008). https://doi.org/10.1109/IECON. 2008.4758401 9. Guermit, H., Kouzi, K.: Investigate the performance of an optimized synergetic control approach of dual star induction motor fed by photovoltaic generator with fuzzy MPPT. In: Hatti, M. (ed.) ICAIRES 2018. LNNS, vol. 62, pp. 297–310. Springer, Cham (2019). https://doi. org/10.1007/978-3-030-04789-4_33 10. Dorigo, M., et al. (eds.): ANTS 2010. LNCS, vol. 6234. Springer, Heidelberg (2010). https:// doi.org/10.1007/978-3-642-15461-4 11. Bhubaneswar, Odisha, India: Induction motor Using PSO-ANFIS International Conference on Intelligent Computing, Communication & Convergence. https://doi.org/10.1016/J.PROCS. 2015.04.212 12. Zadeh, L.A.: The role of fuzzy logic in the management of uncertainty in expert systems. Fuzzy Sets Syst 11, 199–227 (1983) 13. Kouzi, K., Mokrani, L., Nait, S.: High performances of fuzzy self-tuning scaling factor of PI fuzzy logic controller based on direct vector control for induction motor drive without flux measurements. In: Proceedings of IEEE International conference on Industrial Technology, 8–10 December 2004: Hammamet Tunisia, pp. 1106–1111 (2004)
Urban Flood Risk; Diagnosis and Proposed Management. A Case Study in Bechar City, South Western Algeria Bouhellala Kharfia(B) Département de Génie Civile et Hydraulique, Université de Bechar, BP 417 Route Kenadsa, 08000 Bechar, Algeria [email protected]
Abstract. The city of Bechar is confronted with the phenomena of floods which cause catastrophic floods and this constitute a major constraint for the economic and social development. This work represents a study of the hydraulic management within the framework of the protection of bechat, against the floods. The design of development and protection suggestions is necessary. For this purpose, hydrological and hydraulic simulations of the wadi of bechar is carried out. These developments were proposed for the feasibility of a dam at the level of wadi of Al Abiod as well as the rehabilitation of degraded walls and structures with narrow openings (bridge, footbridge, etc.) in the centre of the city. After using the ArcGis program which allowed us to define the morphological characteristics of the studied catchment area, we determined different flood hydrographs corresponding to a specific event at different frequencies by empirical formulas and compared them with the floods observed at the hydrometric station. These obtained flood flows were used as boundary conditions in the hydraulic model "HEC-RAS" in order to calculate the variation of the flooded water surface in the watershed in time and at several points of the Wadi. Keywords: Hydrological simulations · Hydraulics · ArcGis · HEC-RAS · Bechar
1 Introduction Floods are one of the most important natural hazards and affect almost all regions of the world. In Algeria, floods are characterized by sudden rises in wadis, which are characteristic of semi-arid regions. On 8 October 2008, with 99.3 mm (whereas the annual average recorded in the region is 100 mm), the overflowing of the Bechar wadi led to a toll of 13 deaths and more than 4,300 damaged houses. (National Water Resources Agency ANRH of Bechar) [3].
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 511–525, 2023. https://doi.org/10.1007/978-3-031-21216-1_53
512
B. Kharfia
The study of floods that cause catastrophic flooding has been the subject of much research. When data are available for a large number of years, frequency analysis of flows (YAHIAOUI, 1997) and hydro meteorological methods (GUILLOT and DUBAND, 1967; MARGOUM, 1992) such as GRADEX and AGREGEE are the preferred approaches to study flood regimes and obtain a predetermination of rare and extreme floods. However, the frequency analysis generally characterizes only the peak flows or maximum flow of the floods, and must be completed by treatments aiming at determining the exceeded flow thresholds for different time intervals considered critical with regard to the vulnerability of the environment. The objective of this project is the development of the wadi of Bechar watercourse, which passes through the centre of Bechar, as well as the implementation of an alert and risk forecasting strategy, through the collection and exploitation of the related information. Indeed, the determination of the outlet flow of a catchment area is of great importance for a hydrologist, especially when it comes to the control of flood phenomena, the regulation of watercourses, the dimensioning of hydraulic works, etc... [1, 2] In the case of the city of Bechar, flooding can only be random or accidental during a flood produced by exceptional rains, because it occurs when excess water cannot be evacuated by natural ways (minor river beds) or artificial ways planned for this purpose (rainwater evacuation networks). Based on these considerations, the present work aims at a study of protection against Floods of the city of Bechar, it will be based on the statistical treatment of hydrometric data and empirical formulas based on the samples of observed flows, with the fundamental aspects of the flow in order to evaluate the flows of floods and the parameters being used for the design of the protection works.
2
Presentation of the Study Area
The wilaya of BECHAR is bordered to the North by the Wilayas of Naâma and El Bayadh, to the East by the Wilaya of Adrar, to the South by the Wilayas of Adrar and Tindouf and to the West by the Kingdom of Morocco. Its wilaya capital is the commune of Bechar located in the north of the Wilaya with a surface area of 5,050 km2, 1150 km southwest of the capital Algiers. The study site is the Wadi Bechar which is located in the wilaya of Bechar. The section intended for the elaboration of the development study of the Bechar wadi is about 17 km long (Fig. 1).
Urban Flood Risk; Diagnosis and Proposed Management
513
Fig. 1. Localization of Bechar wadi (Google Earth)
3 The Hydrographic Network The Wadi Bechar originates at an altitude of 1919 m in the Djebel Grouz via the Wadi El Abiod. After a rapid descent, it receives in its course the waters of Wadi R’tem, Wadi Roknet El Betoum, as well as the waters of numerous tributaries coming from Djebel Antar, Djebel Horriet and Djebel Bechar. After a journey of about fifty kilometres, it passes through the town of Bechar and receives the waters of Wadi Tigheline. Beyond the town, the wadi runs for about a hundred kilometres in a NE-SW direction, passing from 900 m to 600 m in altitude. At the level of Ksiksou, it turns to the NNW-SSE and silts up at Daïet Tiour, at 547 m altitude. The catchment area of the Bechar region includes four hydrometric stations and eight meteorological stations (see Table 1.). Table 1. Hydrometric stations (ANRH of Bechar) Station name
Code
Longitude
Latitude
Elevation
Bechar ANRH
13 01 32 13 01 01
29°40 33 N 31°30 19 N
413
Djorf Torba
02°12 44 W 02°46 00 W 02°02 01 W 01°12 20 W
30°56 24 N 32°14 36 N
685
Taghit
13 01 31
Rosfa Taiba
13 01 34
4
729 950
Background on the Flooding of Wadi Bechar
Despite the fact that the study region has a very significant rainfall deficit, it is not uncommon for violent stormy rainfall to occur, causing significant damage to human
514
B. Kharfia
life and property. The 1959 and 2008 floods are still the reference floods. Major floods occurred in 1965, 1979, 1993, 1994 and 1999, which flooded urban areas. The last major floods were in December 1999, October 2007 and October 2008, when large amounts of rainfall were recorded in a relatively short period of time. In 1959, the extent of the event was regional which experienced very heavy rainfall where the meteorological services recorded during the period from 19 to 21 March. 4.1 Consequences of the Floooding in Bechar (2008) Thirty-six hours of uninterrupted torrential rain (Wednesday and Thursday) were enough to transform the capital of the Saoura and its suburbs into gigantic torrents of mud, puddles of stagnant rainwater, bringing traffic to a standstill between several communes and isolating them from the northern regions of the country. Telephone connections were cut and road traffic with the northern cities was banned from Friday on the instructions of local authorities to prevent any danger, it is said. The mobilization of officials from the public bodies concerned, who meet at the crisis unit set up at the wilaya, is almost general. The heavy floods have caused significant material damage, leading to the collapse of several houses, usually built in adobe. The fury of the waters of the wadi of Bechar (13 km) swept away the few animals in the public garden along the wadi and caused the collapse of a primary school in the Haï Nour district. For the moment, seventy families have been evacuated to the reception centre for disaster victims created in a hurry at a youth hostel, the former CFA and the paramedical school, whereas the day before they were only 26, 20 other families from the Tinerkouk district came to join the other disaster victims on Thursday, we learnt this Friday. Since 1958, it is claimed, the waters of the wadi of Bechar have never caused such an important flood (1000 m3 of flow per second) which, in their fury, have infiltrated inside the houses bordering the wadi, even though they are far away, and have violently damaged two bridges separating the Debdaba district from the town centre. The Chouffane bridge, used by passers-by, was seriously damaged and threatened to collapse. Dozens of citizens affected by the floods gathered in front of the wilaya headquarters yesterday. It should be noted that the amount of water that fell during the two days is 90 mm, while the annual average recorded in the region is 100 mm. But the persistence of the rainy weather this Saturday suggests a deterioration that the population fears with anxiety and with consequences that could be dramatic (see Fig. 2).
Fig. 2. 2008 Photo of the damage caused by the flooding of the Wadi Bechar in 2008
Urban Flood Risk; Diagnosis and Proposed Management
515
5 Climatic Overview The city of Bechar is characterized by a continental desert climate. There are two types of zones: • The transition zone: Delimited by Beni Ounif to the north and the Igli parallel to the south. Very hot in summer (+ 45 °C) and cold in winter (2 °C to 3 °C), rainfall is around 60 mm/year. The sandy winds are frequent and often violent (100 km/h). • The desert zone: extends beyond Beni Abbes. Annual rainfall is around 40 mm. Sand winds are very frequent. The climatic changes experienced in the region are mainly due to exceptional meteorological episodes where the annual average can be reached or even exceeded in a single sequence and then remain negligible for years. These upheavals lead to extreme and catastrophic events such as drought or floods [4]. 5.1
Precipitation
Rainfall is generally produced by Saharan lows. The latter can only produce rain if they are associated with moist air masses from the Atlantic or Mediterranean regions. The term precipitation is used to cover all meteorological water that falls on the earth’s surface. This meteorological parameter is the fundamental component of hydro climatology and knowledge of this water supply to the soil is essential for estimating soil water reserves and groundwater recharge. The only station in the northern zone of the Wadi Bechar basin, which led us to carry out our study, is characterised by the coordinates given in Table 2. The northern watershed of the Wadi Bechar has enabled us to identify certain geomorphological characteristics of the region, which are summarised in the following table. Table 2. Geomorphological characteristics of the northern watershed of wadi Bechar Designation
Symbole Unité
Symbole Unité
Valeur
Superficie du bassin versant
SBV
Km2
2311,12 Altitudes Maximale
Valeur
Désignation
Hmax
m
2000
Périmètre du bassin versant
PBV
Km
437,61
Moyenne
Hmoy
m
1097,12
Longueur du thalweg principal
LCP
Km
121,86
Minimale
Hmin
m
557
Coefficient de compacité
Kc
–
2.55
de fréquence H5% 5%
m
1570
Longueur équivalent
Lr
Km
207.68
de fréquence H95% 95%
m
840
Largeur équivalente
Ir
Km
11.13
de fréquence H50% 50%
m
1000
(continued)
516
B. Kharfia Table 2. (continued)
Designation
Symbole Unité
Valeur
Désignation
Surface du rectangle équivalent
Seq
Km2
Périmètre du rectangle équivalent
Peq
Km
437,61
Nombre des talwegs d’ordre 1
Coefficient d’allongement
–
6,43
Indice de pente globale
Ig
Pente du bassin versant Indice de pente de M Roche
Symbole Unité
2311,12 Pente moyenne de B. V Lm
Valeur
%
1.10
N1
–
12918
Densité de drainage
Dd
Km/Km2
2.97
m/Km 0.35
Coefficient de torrentialité
Ct
–
16.60
Ibv
m/Km 1.30
Temps de concentration Tc
h
15
Ip
%
Vitesse de ruissèlement Vr
Km/h
8.12
0.65
6 Flood Study The objective of any hydrological study is to determine the flood hydrographs for a given catchment area at different return periods and to define the corresponding probable peak flows. In this flood analysis, for the estimation of the project flood and the evaluations of the probable peak flows of the flood of different return periods, the approach based on the frequently used empirical formulas was used [5]. The instantaneous maximum flow Qmax is the maximum flow of the project flood. The maximum instantaneous flow Qmax can be estimated for different return periods from the numerous empirical formulas applied to the conditions in Algeria (formulas of MALLET GAUTHIER, TURRAZA, POSSENTI, SAMIE, ALEXEEV and SOKOLOVSKY). These formulas use estimates of the maximum daily rainfall and that for a duration equal to the time of concentration (tc) [8]. The empirical formulas use runoff coefficients of 0.6 for the 10% frequency and 0.7 for the 1% frequency and 0.8 for the 0.1% frequency. These formulas can therefore be applied using the corresponding values of the runoff coefficient for the estimation of the maximum flood discharge of the considered wadi basins [9]. 6.1 Hydrometric Data From the hydrological study of the northern part of the wadi Bechar watershed, we deduce that the latter presents a very variable regime, and it allowed us to obtain the following results: The average annual flow is 0.467 m3 /s. The water flow is 4.15 mm. The flow deficit is about 82 mm.
Urban Flood Risk; Diagnosis and Proposed Management
517
The values of the flows observed from 11 November 1993 to 1 November 1993 for an exceptional flood are shown in Table 3. Table 3. The values of the flows observed from 11 November 1993 to 1 November 1993 for an exceptional flood Date
Time
Height (cm) Flow (m3 /s) Date
Time Height (cm) Flow (m3 /s)
11-nov-93 00:00
64
16.2
12-nov-93 06:00 166
99.36
11-nov-93 01:00
64
16.2
12-nov-93 07:00 159
89.14
11-nov-93 02:00
64
16.2
12-nov-93 08:00 152
78.92
11-nov-93 03:00
64
16.2
12-nov-93 09:00 150
76
11-nov-93 04:00
64
16.2
12-nov-93 10:00 147
73.48
11-nov-93 05:00
64
16.2
12-nov-93 11:00 145
71.8
11-nov-93 06:00
90
27
12-nov-93 12:00 145
71.8
11-nov-93 07:00 120
50.8
12-nov-93 13:00 144
70.96
11-nov-93 08:00 150
76
12-nov-93 14:00 144
70.96
11-nov-93 09:00 145
71.8
12-nov-93 15:00 143
70.12
11-nov-93 10:00 135
63.4
12-nov-93 16:00 140
67.6
11-nov-93 11:00 130
59.2
12-nov-93 17:00 130
59.2
11-nov-93 12:00 150
12-nov-93 18:00 122
52.48
11-nov-93 13:00 178
116.88
76
12-nov-93 19:00 120
50.8
11-nov-93 14:00 190
134.4
12-nov-93 20:00 118
49.12
11-nov-93 15:00 200
149
12-nov-93 21:00 116
47.44
11-nov-93 16:00 230
218
12-nov-93 22:00 114
45.76
11-nov-93 17:00 270
320.8
12-nov-93 23:00 112
44.08
11-nov-93 18:00 327
492.4
13-nov-93 00:00 108
40.72
11-nov-93 19:00 372
648.72
13-nov-93 01:00 107
39.88
11-nov-93 20:00 432
887.76
13-nov-93 02:00 105
38.2
11-nov-93 21:00 466
1033.72
13-nov-93 03:00 102
35.68
11-nov-93 22:00 420
837.6
13-nov-93 04:00
98
32.6
11-nov-93 23:00 356
588.56
13-nov-93 05:00
97
31.9
12-nov-93 00:00 318
463.6
13-nov-93 06:00
96
31.2
12-nov-93 01:00 270
320.8
13-nov-93 07:00
93
29.1
12-nov-93 02:00 240
241
13-nov-93 08:00
91
27.7
12-nov-93 03:00 216
185.8
13-nov-93 09:00
90
27
12-nov-93 04:00 194
140.24
13-nov-93 10:00
85
24
12-nov-93 05:00 180
119.8
13-nov-93 11:00
70
20
518
B. Kharfia
Figure 3 shows the hydrograph of the exceptional flood of 11 November 1993 to 1 November 1993. Hydrogram of flow in 11 Nov 1993 1200
Flow m3/s
1000 800 600 400 200 0 Time (hours)
Fig. 3. Hydrograph of the exceptional flood of 11 November 1993 to 1 November 1993
The values of the frequency flows obtained are shown in Table 4. According to Table 6. and depending on the characteristics of the study area, the average flow calculated by the Mallet method and the one obtained by the Possenti method were chosen. Table 5. shows the frequency flows of the six return periods corresponding to the time of flood and decline. Table 4. Value of the flows calculated by the different empirical formulas corresponding to the time of flood and decline Fréquence
Intensité (mm/h)
Pjmax (mm)
Pluie de courte duré (mm)
Qmax,p% (m3/s) Alexeev
Sami
Sokolovsky
Méthode fréquentielle
Mallet
Turazza
Possenti
5
1,75
30.20
26.23
26,49
227,87
157,20
45,32
241,70
93,10
429,57
10
2,32
40,10
34,82
38,67
242,65
233,50
88,25
470,35
181,17
570,38
20
2,95
50,70
44,03
52,86
258,47
297,68
125,48
619,71
238,70
721,16
50
3,90
66,10
57,40
75,29
281,46
392,79
167,36
774,12
298,18
940,21
100
4,57
78,80
68,43
95,17
300,42
461,15
232,32
872,97
336,26
1120,85
1000
7,53
129,00
112,03
183,62
375,36
758,84
288,80
1141,46
439,68
1834,90
Urban Flood Risk; Diagnosis and Proposed Management
519
Table 5. Value of frequency flows Time (h)
Qmax f% (m3 /s) T = 5 ans
Qmax (m3 /s) 367.64
T = 5 ans Qmax (m3 /s) 367.64
T = 5 ans Qmax (m3 /s) 367.64
Qmax (m3 /s)
0
0,00
0
0,00
0
0,00
0
1
1,63
1
1,63
1
1,63
1
2
6,54
2
6,54
2
6,54
2
3
14,71
3
14,71
3
14,71
3
4
26,14
4
26,14
4
26,14
4
5
40,85
5
40,85
5
40,85
5
6
58,82
6
58,82
6
58,82
6
7
80,06
7
80,06
7
80,06
7
8
104,57
8
104,57
8
104,57
8
9
132,35
9
132,35
9
132,35
9
10
163,40
10
163,40
10
163,40
10
11
197,71
11
197,71
11
197,71
11
12
235,29
12
235,29
12
235,29
12
13
276,14
13
276,14
13
276,14
13
14
320,26
14
320,26
14
320,26
14
15
367,64
15
367,64
15
367,64
15
16
332,09
16
332,09
16
332,09
16
17
298,90
17
298,90
17
298,90
17
18
268,01
379,35
488,74
624,87
726,75
1084,88
19
239,32
338,74
436,43
557,98
648,95
968,75
20
212,75
301,14
387,98
496,04
576,92
861,22
21
188,23
266,43
343,26
438,87
510,42
761,95
22
165,67
234,49
302,12
386,26
449,24
670,62
23
144,99
205,22
264,40
338,04
393,15
586,89
24
126,10
178,49
229,96
294,01
341,94
510,45
25
108,93
154,18
198,65
253,97
295,38
440,94
26
93,39
132,19
170,31
217,75
253,25
378,05
27
79,41
112,40
144,81
185,15
215,33
321,45
28
66,90
94,69
121,99
155,97
181,40
270,79
29
55,77
78,94
101,71
130,03
151,24
225,76 (continued)
520
B. Kharfia Table 5. (continued)
Time (h)
Qmax f% (m3 /s) T = 5 ans
Qmax (m3 /s) 367.64
T = 5 ans Qmax (m3 /s) 367.64
T = 5 ans Qmax (m3 /s) 367.64
Qmax (m3 /s)
30
45,96
65,05
83,80
107,15
124,61
186,02
31
37,36
52,89
68,14
87,11
101,32
151,24
32
29,92
42,34
54,55
69,75
81,12
121,09
33
23,53
33,30
42,91
54,86
63,80
95,24
34
18,12
25,65
33,05
42,25
49,14
73,36
35
13,62
19,27
24,83
31,75
36,92
55,12
36
9,93
14,05
18,10
23,14
26,92
40,18
37
6,97
9,87
12,71
16,25
18,90
28,22
38
4,67
6,61
8,52
10,89
12,66
18,91
39
2,94
4,16
5,36
6,86
7,98
11,91
40
1,70
2,41
3,10
3,97
4,62
6,89
41
0,87
1,23
1,59
2,03
2,36
3,53
42
0,37
0,52
0,67
0,86
1,00
1,49
43
0,11
0,15
0,20
0,25
0,30
0,44
44
0,01
0,02
0,02
0,03
0,04
0,06
Q%max(m3/s)
The flood hydrogram for the different return periods are shown in Fig. 4: 2500 2000
flood hydrograms Q100 00 Q100 0 Q100
1500 1000 500 0
Time (h)
Fig. 4. Flood flows for different return periods
Urban Flood Risk; Diagnosis and Proposed Management
521
It follows from the old flood statistics that the Wadi Bechar experiences several flood sequences each hydrological year; most of the times quite violent. The number of floods per 100 years (F = 1%) is higher in October (Table 5.). The annual variation of the months of flooding in Bechar shows two periods of maxima: one in spring, in April; the other in autumn and winter. The latter presents two maxima separated by a relative minimum in November. Two periods of minima are observed in January and July. The annual variation in the number of floods shows a similar pattern, but with more pronounced maxima in spring and autumn. Table 6. Flood statistics (source ‘Hydrologie saharienne. by Dubief J., Alger, 1959) Number o f Sept Oct Nov Dec Jan Fev Mar Apr May Jun Jul Agst Years Flow 2 3 5 8 3 3 1 5 5 9 5 8 57 For 100 years
7
71
90
45
67
15
27
42
67
27
27
9
50
537
Methodology of the Hydraulic Modelling
The purpose of the hydraulic study is to determine at what height the development works must be dimensioned to protect the city of Bechar against floods. After entering the geometrical data of each section, the next step is the hydraulic modelling by HEC-RAS (integrated software for hydraulic analysis which allows to simulate the flows at free surface. It was developed by the Hydrologic Engineering Center of the US Army Corps of Engineers) is to specify the input flows and any boundary conditions necessary to be able to perform the calculation of the water surface profiles in each section of the river system. Flow data is entered from upstream to downstream for each section. A flow value is written at the upstream end of the river system. The applied flows are the results of the hydrological simulation retained using the HEC-RAS model for the four frequencies considered (see figure below) [6]. The hydraulic simulation by HEC-RAS, allowed us to calculate the propagation of the flood wave along the Wadi Bechar system, and to plot the water surface profile [7]. Several options to visualise the calculation results are available and several types of tabulated and graphical results can be viewed and printed (Fig. 5). We understand between a real photo of the exceptional flood of 08th of August 2008 and the result of the simulation of the frequent floods in the same bridge of quarter 8. we notice that there is only about 30cm between the bridge and the free surface of the flood. on the other hand there was even an overflow of the water under two other bridge [2] (Fig. 6).
522
B. Kharfia
Fig. 5. Schematic of the 3D geometry data with simulation
Fig. 6. Bridge in Ward 8 during the exceptional flood of 2008
8
Discussions and Proposals for Different types of Development
In order to prevent these floods from occurring, it is however possible to mitigate their effects or to reduce their frequency as a priority in the most sensitive and exposed areas. These protections can be divided into two groups: direct and indirect. 8.1
Direct Protection
Direct protection consists of direct intervention on the threatened site by implementing the following actions. – Dredging to improve flow conditions by removing all obstacles and deposits that impede the flow of water in the watercourse. – Clearing of undergrowth: is also necessary at the crossing of settlements for sanitary reasons and in current sections to reduce roughness and increase flow.
Urban Flood Risk; Diagnosis and Proposed Management
523
– Re-grading of watercourse sections to increase their water evacuation capacity and ensure a wetted section meeting the desired protection criteria. • Reinforcement of structures: • The creation of canals, allowing the regeneration of the initial wadi corridor. • Protection of the banks to maintain the stability of the land despite the action of the water. • The containment of wadis allows for selective protection. 8.2 Indirect Protection Indirect protection, on the other hand, consists of intervening further away from the threatened sites, outside the development perimeter, by carrying out works on the wadis responsible for the flooding: Creation of peripheral canals allowing the water to be returned to areas located outside the areas to be protected. Creation of dykes or sills in order to store and control floods upstream of the threatened areas. Development of catchment areas to combat erosion. 8.3
Proposed Development of the Wadi Bechar Basin:
• Building a dam on the Wadi El Biad The Ouakda dam is located in the north-eastern part of the catchment area and the Wadi El Abiod dam project is located in the upstream part, which is estimated as an outlet of a catchment area of 628.09 km2 and a perimeter of 187.6 km. It is surrounded by a mountainous chain (Djebel Antar) in the East and Djebel Horreit in the West. The characteristics of the Wadi El Biad catchment area are shown in Table 11 (Fig. 7). After a detailed study of the feasibility of building a dam at Wadi El Abiod, it was found that the dam will have a very significant impact on the liquid and solid input of the Ouakda catchment area – To manage sustainably the upstream of the Wadi Bechar watershed because the activities of the hydraulic domain in terms of extraction of alluvium from the wadis contribute to the modification of the regime of the watercourse by accelerating the runoff and the propagation of the floods on the watershed. – Relocate the existing crushing units on the Ouakda plain to mining sites. – Consider a control model to control the activity of sand removal by individuals in order to ensure the cleaning of the Wadi. – Prohibit the extraction of sand from the bed of the Wadi Bechar along the section that separates the town of Bechar, except for cleaning operations. – Consider the installation of speed bumps upstream of the Ouakda dyke in order to retain the coarse elements that can be used as sand traps.
524
B. Kharfia
Fig. 7. Situation of the proposed dam at Wadi El Biad
– Reinforcing the banks from Ouakda onwards, in order to protect agricultural land and the main sewage collector. – Avoiding the poor management of the wadis, which leads to the narrowing of the major bed (which in turn can cause choking and a rise in the flood level). – Maintenance and weeding of the wadi bed: Water runs off and concentrates rapidly in the watercourse, resulting in sudden and violent floods. The bed of the wadi is usually quickly clogged with sediment and dead wood can form dams. When they break, they release a huge wave, which can be deadly. – Prohibit building on the Bechar Wadi easements, as well as in the high angle river bend areas (in the middle of the river). – (in the direction of flow of the wadi).
9 Conclusion Taking into account the risk of flooding must usually be perceived as a constraint that is integrated as best we can in development and construction projects. Various flood risk prevention measures must be taken for new expansion sites in the city. On the other hand, for old sites, work will have to be undertaken in order to secure the population, property and the vulnerable environment in a general way. This consideration of flood risks must lead to a gradual change in attitude on the part of developers and be generalised in urban planning documents, particularly the POS (land use plans). Consequently, the residential areas and housing estates that have invaded the Chaaba bottoms and their flood zones without any particular precautions must be taken care of and the exposed population must be informed. The same applies to the neighbourhoods built on the lower parts.
References 1. Alaghmand, S., Bin Abdullah, R., Abustan, I., Eslamian, S.: Comparison between capabilities of HEC-RAS and MIKE11 hydraulic models in river flood risk modeling (a case Study of Sungai Kayu Ara River basin, Malaysia). Int. J. Environ. Sci. Technol. 2(3), 270–291 (2012)
Urban Flood Risk; Diagnosis and Proposed Management
525
2. Alexander, M.: Aging, bioavailability, and overestimation of risk from environmental pollutants. In: Institute of Comparative and Environmental Toxicology and Department of Crop and Soil Sciences, Cornell University, Ithaca, New York 14853, Environ. Sci. Technol. 34(20), 4259–4265 (2000) 3. ANRH: Rapport Inédit sur les Ressources Hydriques dans la Région de Béchar, Agence Nationale des Ressources Hydrique à Béchar. 4. Bessiere, H.: Assimilation de données variationnelles pour la modélisation hydrologique distribuée de crue à cinétique rapide, Doctorat de l’Université de Toulouse – INPT (2008) 5. HEC-RAS: River Analysis System, Version 4.1: User’s Manuel. In: US Army Corps of Engineers, Hydrologic Engineering Center (HEC), p. 766 (2010) 6. Marc, I.: Virginie Chaouch (CETMEF), Mohamed El Fadili (CETMEF), Coût des protections contre les inondations fluviales, Cerema, Direction technique Eau, mer et fleuves Margny Lès Compiègne (France) (2014) 7. Dalezios, N.R., Eslamian, S.: Regional design storm of Greece within the flood risk management framework. Int. J. Hydrol. Sci. Technol. 6(1), 82–102 (2016)
Electromagnetic Converter for Electric Vehicles Integrated with Renewable Energy Sources for Sustainable Mobility Larbi Belkacem1,3(B) , Hatti Mustapha2 , Kouzi Katia3 , and Ghadbane Ahmed1 1 Research Nuclear Center of Birine CRNB, PO Box 180, Ain Oussera, Djelfa, Algeria
[email protected]
2 Research Center in Renewable Energy, Bousmail, Tipaza, Algeria
[email protected]
3 Electrical Department, University Amar Telidji of LAGHOUAT, Laghouat, Algeria
[email protected]
Abstract. In this article, we tried to shed light on the challenges that Algeria faced in order to establish a solid base for the automobile industry and the failures that accompanied these attempts. On the other hand, we highlighted the possibilities that our country has, especially lithium metal and rare lands that could be a trump card for riding the electric car train. On the other hand, we tried to provide a practical solution to address the problem of traffic congestion and gas emissions in major cities. We proposed the city of Tamanrasset as a model for the manufacture of an electric car with an innovative engine and charged by solar energy, and all this for the sake of sustainable development and energy transition and the establishment of the smart city principles through smart mobility And the smart grid. Keywords: Solar Cars · Axial flux PM motor · TORUS NS · Smart mobility · Smart grid
1
Introduction
The digitization of the city is underway but it is progressing very slowly, even if the subjects of SMART CITY and smart cities have entered the vocabulary, nothing has yet been written. The subjects are complex and protean and must be supported by political decisions and it was moreover Bill Clinton who was the first to speak of the concept of “SMART CITIES” in 2005 [1], Urban performance no longer depends only on the endowment of the city of physical infrastructures but also to work on the social, the services and especially the environmental, the subjects of mobility are at the center of the concerns. Transport is responsible for a quarter of CO2 emissions worldwide and increasingly strict regulations are part of the global fight against climate change. The Paris climate agreement to contain global warming below 2 degrees obliges us to “decarbonize” [2] our economies very quickly and significantly, and in particular the transport sector. C02 emissions in g/KWh for electrical consumption are considerable, Electric vehicles (EVs) are one of the most promising technologies for reducing emissions in © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 526–533, 2023. https://doi.org/10.1007/978-3-031-21216-1_54
Electromagnetic Converter for Electric Vehicles
527
global transportation, but the benefits they bring depend on where the energy they use comes from. Today, too few electric vehicles are powered by renewable energy. For them to be a true green option, this needs to change. The electric vehicle revolution is upon us. According to the International Energy Agency (IEA), the number of electric passenger vehicles on the world’s roads could exceed 250 million by 2030 [3], while the International Renewable Energy Agency (IRENA) estimates that electric buses and other public transport vehicles could well number more than 10 million. In this article we propose a vision of a solar vehicle that provides services that guarantee a clean and sustainable environment using renewable energies,;. It was a small vehicle powered by solar panels and batteries with a new motor consumes less electricity a new low-speed coreless AFPM machine designed for gearless in-wheel drive for solar vehicle is proposed and the analytical design procedure to achieve high efficiency at different vehicle speeds is presented. The AFPM has a three-phase winding which can produce a rotating magnetic field in the air gap. This type of electric machine can provide high power density at low speed and therefore it is acceptable to use it as a direct drive in solar vehicles.
2
Recognized Rules for Papers Submitted for Communication in IC-AIRES2022
2.1 Situation of the automotive sector in Algeria The automotive sector, through the importation and construction of cars, has been experiencing a loss of economic efficiency in recent years. Contrary to what was expected, the automotive industry in Algeria finds itself in the midst of deadweight losses following the disruption of market supply. In fact, the current imbalance is the consequence of the freezing of the import of cars into Algeria, and the cessation of the activity of car manufacturing factories. How could the automotive industry revive the Algerian economy? With a well-coordinated project for the revival of the automotive industry, Algeria will be able to count on its local skills by taking the train of the electric vehicle industry. In this sense, the Minister of Industry, Ahmed Zeghdar affirmed that the new strategy of the automotive sector provides for the manufacture of electric and hybrid vehicles to fit in with the objectives set in terms of energy transition [4]. 2.2 Solar Cars Solar Cars’ vision is to provide services that ensure a clean and sustainable environment using renewable energy, to accelerate the use and growth of conventional renewable energy sources, and to design and build electric vehicles. to make them affordable. 2.3 Presentation of the Initiative It was a small vehicle powered by solar panels and batteries, The introduction of this prototype of Solar Cars on the Algerian market has a direct impact on the sector of “mobility and sustainable transport in Africa”. The Solar Cars project aims to introduce
528
L. Belkacem et al.
electric vehicles to the Algerian market to meet daily transport needs. More importantly, these electric vehicles are not charged by a traditional electricity source, but rather by solar hubs that will be built to provide the electrical energy necessary to charge these vehicles. Therefore, the reduction of vehicles producing fumes by using renewable energies to meet transport needs, which guarantees sustainable development and the energy transition by contributing to the fight against climate change by reducing greenhouse gas emissions. Tight. From there, we must have government support to look at the commercial aspect of this innovation: We must really analyze and understand the Algerian market through a series of pilot programs, based on these elements, for transformed into a full-fledged business. Able to scale the business from a town like Tamanrasset.
3 Electric Vehicles, A Promising Market in Algeria The growth of the electric vehicle market is stimulating other markets, including that of lithium-ion batteries (Fig. 1). This type of battery is widely used in the field of electric vehicles because of its high energy density. According to experts, Algeria has large deposits in the north of the country in the Mediterranean, and the lakes of the southern desert regions, it is said that lithium will replace oil, it will become a metal that we will tear ourselves away in the future. Strategic in the same 0way as uranium. Lithium will be to the electric car what petroleum is to the internal combustion engine. Thirty references.
Fig. 1. Global lithium-ion battery market 2011–2024, global electric motor market 2012–2022
The global market for electric motors is also experiencing remarkable growth linked to that of electric vehicles. It was estimated at over $79 billion in 2012. According to recent estimates, it is expected to reach $129 billion in 2020 and around $142 billion in 2022, corresponding to an average annual growth rate of 6% over ten years.
4 Motorization for Solar Cars The electric motor, a key element in the energy chain of a vehicle, has continued to evolve since “they never content” of 1899 [5] until today. The first electric vehicles were equipped with DC motors with adjustable separate excitation. They have been replaced
Electromagnetic Converter for Electric Vehicles
529
since the end of the 1990s by alternating current motors, thanks to progress made in power and control electronics. Compared to their predecessors, these motors are much more robust, have higher power to weight and density, and better efficiency. Three technologies are currently used. These are the so-called rotor-excited synchronous machines, by permanent magnets or by windings, and induction (or asynchronous) machines. 4.1 Characteristics required for an electric traction motor The engine must meet a need for power and torque to satisfy at least the standard operation of the vehicle. This standardization is based on standardized operating cycles. Since September 2018, the WLTC cycle, also called WLTP (Worldwide harmonized Light vehicles Test Cycles/Procedures) is the new approval cycle for measuring fuel consumption, electric range, and CO2 pollutant emissions. It replaces the NEDC cycle dating from 1973, and updated in 1996. Figure 2 shows this class 3 cycle (for vehicles whose mass power is greater than 34 W/kg, i.e. the majority of cars) [6].
Fig. 2. WLTC class 3 cycle, source [6]
Compared to its predecessor, it more faithfully reproduces the real operation of a vehicle. It is then interesting to know what is the torque and power requirement at the drive wheel to satisfy this cycle. 4.2 Choice of Axial Flux Traction Motor for Solar Cars Axial flux permanent magnet synchronous motors (AFPM) Fig. 3 have higher efficiency due to a significant reduction in rotor losses due to a lack of excitation area. Moreover, one of the major advantages of axial flux is its high power density. The amount of power per kilogram (watts/kg) is quite good compared to other types of motors. They require fewer base materials than conventional radial flux motors. AFPM motors are superior in terms of vibration and noise levels. In addition, it has an easily adjustable air gap.
530
L. Belkacem et al.
Fig. 3. Comparison between radial flow machine and axial flow [7].
Its direct integration into the system is also an important feature for use in electric vehicles. Especially for designers who will be designing an electric vehicle with an inwheel motor mount, it’s safe to say this is a perfect fit. Axial flux permanent magnet motors can be designed from several Watts to MWs. As the output power of the axial flux permanent magnet motor increases Fig. 3, the ratio between the surface connection of the rotor and the shaft decreases. AFPM machines can be single or double sided or multi-stage, with or without armature slots/core. They have internal/external PM rotors and contain a surface mounted or internal PM. The double-sided AFPM motor is the most promising and widely used type. In this paper, the recommended AFPM is assumed to be placed inside the wheel and operated as a direct-drive machine. Therefore, the axial length of the machine should be as small as possible. Also, to get the highest yield, the amount of losses should be kept low. At the vehicle drive cycle, the desired machine can be used as the engine. The best feature in engine mode is the condition without the notch effect. Cogging torque is due to cogging effects in the stator. In the ironless stator, the notching effect tends to zero. With this interpretation, the best choice to achieve these goals in the vehicle as an in-wheel PM axial flux machine configuration is the TORUS-NS type with an ironless stator Fig. 4. This AFPM machine has the configuration with two rotors and a coreless (ironless) stator. The PM’s are bonded to the solid mild steel rotor disc surfaces. The best candidate for permanent magnets in rotors is the neodymium-iron-boron (Nd-Fe-B) sintered material.
Fig. 4. Slotted TORUS NS concept machine models; the average diameter and flux direction abd3D Flux paths [8]
Electromagnetic Converter for Electric Vehicles
531
4.3 2D digital model at mean radius Finite element analysis (FEA) to solve electrical or magnetic problems. It solves electromagnetic field problems by solving Maxwell’s equations in a finite region of space with appropriate boundary conditions and user-specified initial conditions to obtain a solution with guaranteed uniqueness. Its working environment under MAXWELL ANSYS [9] software is given in Fig. 5.
Fig. 5. ANSYS Maxwell interactive interface
The mesh of the machine section is given in Fig. 6a. This one has 12692 elements. In order to minimize the calculation errors, the mesh of the air gap area has been refined as shown in Fig. 6b.
Fig. 6. Mesh of the studied structure, air gap area mesh view
Simulation Results The magneto static numerical model was opted for the AFPM motor [10], the simulation was carried out under a low value current in the stator. Thus, the existing magnetic field is essentially due to the presence of the magnets at the level of the rotors. The distribution of the flux density by means of vectors and direction of flux to know the state without load is represented in the Fig. 7 and Fig. 8 shows the numerical prediction of the 2D model under ANSYS Maxwell the air gap flux density under a pole. From this curve it can be seen that the ratio of the maximum air gap flux density is about 0.749 T and the flux density mean air gap is determined at 0.67T.
532
L. Belkacem et al.
Fig. 7. Distribution of induction in the machine
Fig. 8. Magnetic flux paths and air gap magnetic flux density under a pole (at mean diameter Dg = (Di + Do) / 2)
5 Conclusion Algerian, to a complete failure, forcing the authorities to reconsider the entire project. In this article, we have tried to contribute to how the country can access the electric car industry, and this is encouraged by our country’s availability of rare minerals that have a direct impact on the electric car industry. Ensuring sustainable development and contributing to the fight against climate change by reducing greenhouse gas emissions; this car we have recommended has a permanent magnet axial flow motor which will be integrated into the wheel to improve space in.the car and reduce electric power consumption. The transition to a smart city is necessary, and that is why we have proposed the starting point of our initiative, the city of Tamanrasset, through the successful and innovative use of smart technological systems to significantly save energy use, reduce carbon dioxide emissions, and contributes to the emergence of smart mobility.
References 1. Cathelat, P.: Smart city with societal choices 2030, Published by the United Nations Educational, Scientific and Cultural Organization, p. 26, (2019) 2. The Paris Agreement is a legally binding international treaty on climate change. It was adopted by 196 Parties at COP 21 in Paris on December 12, 2015 and entered into force (2016) 3. Alliad Market Reearch (2016) 4. APS algeria press service 5. https://www.usinenouvelle.com/article/jusqu-ou-ira-la-jamais-contente.N192726
Electromagnetic Converter for Electric Vehicles
533
6. https://www.car-engineer.com/fr/les-differentss-cycles-de-conduite 7. A New Era of Axial Flux Motor Technology for Electric Vehicles – Magnax 8. Huang, S., Aydin, M., Lipo, T.A.: TORUS Concept Machines: Pre-Prototyping Design Assessment for Two Major Topologies. In: IEEE International Conference on Electrical Machines and Drives, Boston, pp.645–651 (2001) 9. ANSYS MAXWELL Version 16.0 user’s guide – Maxwell 2D/3D (2010) 10. Larbi, .B., Hatti, M., Kouzi, K., Ghadbane, A.: Design and Investigation of Axial Flux Permanent Magnet Synchronous Machine for electric vehicles. In: 2018 International Conference on Communications and Electrical Engineering (ICCEE) (2018)
Power Electronics and Grid Connected
Variability of Solar Radiation Received on Tilted Planes in Adrar Region in the South of Algeria I. Oulimar1,2(B) , K. Bouchouicha1 , N. Bailek2 , and M. Bellaoui1 1 Unité de Recherche en Energies Renouvelables en Milieu Saharien, URERMS, Centre de
Développement des Energies Renouvelables, CDER, 01000 Adrar, Algeria [email protected] 2 Materials and Energies Research Laboratory (MERL), Faculty of Science and Technology,University Amine Elokkal El Hadj Moussa Eg Akhamouk, 11000 Tamanrasset, Algeria
Abstract. The solar radiation is one of the renewable energy sources that is gaining importance day by day. To make the most effective use of solar energy, the tilt angle of the solar panel that collects solar radiation must be properly adjusted. In this study, experimental and analytical investigations have been conducted in order to identify the solar panels’ optimum tilt angles for the first time in Adrar site, located on the southwestern region of Algeria, and to recommend a general approach for any location in country. Calculations were made with both selected isotropic and anisotropic models. 11 years (2010–2020) historical data in Renewable Energy Research Unit in Saharan Medium (URER-MS) was used. Monthly, seasonal, and Annual solar panels’ optimum tilt angles were determined. It is found that the annual optimum solar panel tilt angle should be set to 28°. These findings might be generalized to locations with different coordinates at the same latitude the annual variability of solar radiation on different planes of inclination is between 6 kWh/m2 /day and 8 kWh/ m2 /day (2010–2020) all inclinations combined from horizontal to near 60° and 2 kWh/m2 to 6 kWh/m2 for a vertical plane facing plain south. Keywords: Solar energy · Global radiation · Temporal variability · Tilted plane
1 Introduction Algerian’s energy mix is heavily reliant on conventional energy sources, with a relatively little fraction of renewable energy currently in use [1], however, Algerian’s Renewable Energy Policy is directed at maximizing the utilization of the renewable energy and sets a future plan for obtaining over then 35% of total electrical energy from renewable energy sources by the year of 2030 [2, 3]. Among the various accessible renewable energy resources in Algeria, harnessing solar energy is critical for increasing energy output and playing a vital role in economic growth and social welfare. Solar PV is one of the renewable energy sources that is ideal for sustainable development goals implementation [4–6]. Clean electricity has provided enormous environmental, social, and economic advantages. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 537–546, 2023. https://doi.org/10.1007/978-3-031-21216-1_55
538
I. Oulimar et al.
Most of the locations in south Algeria regions receive abundant solar radiation, and solar energy utilization technology can be profitably applied to this regions [6–9]. Despite the potential for solar energy, the insufficient availability of solar radiation information at different locations hinders the design and efficient use of solar energy conversion devices. The most efficient use of solar radiation is determined by the time of day, the season, the inclination angles of the solar panels, and the solar panels’ installation area. The inclination angle of the solar panels is the most important of these parameters. There were multiple studies in this field trying to identify the optimal tilt angle for solar panels. These studies generally use the approach of determining the maximum values of sunlight falling on a fixed angle solar panel in the appropriate geographical region on the globe. These maximum values were determined and averaged over time intervals based on the inclination angle of solar radiation.[1, 10–16]. The tilt angle of a solar panel has a significant impact on its energy collecting potential. As a result, optimizing the tilt angle is required to maximize solar energy. Although experimental investigation of optimum tilt angles is certainly more accurate. In this context, experimental and analytical investigations have been conducted in this study, in order to identify in order to identify the optimized tilt angles for southern region of Algeria and to recommend a general approach for the estimation of opt for any location in country. Global horizontal irradiation was obtained from Renewable Energy Research Unit in Saharan Medium. A monthly data for a period of 11 years (Jan 2010 to Dec 2020) was utilized in our investigation. The applied mathematical method should be able to identify the optimal tilt angle of a solar panel at any position on the globe. The necessary parameters were calculated with the Matlab software code using the historical data between 2010 and 2020 obtained from Renewable Energy Research Unit in Saharan Medium (URER-MS), collected by Solar and Wind Energy Potentiality Team members. The annual, semi-annual, seasonal, and monthly optimal tilt angles of solar panels in Adrar were determined using the obtained data.
2
Study Area
The data used in this study represents the historical global solar radiation data between 2010 and 2020 obtained from Renewable Energy Research Unit in Saharan Medium (URER-MS) affiliated to the renewable energy development center (CDER), the data collected and treated by Solar and Wind Energy Potentiality Team members at Adrar site (latitude: 27.88°N, longitude: − 0.27°E, Fig. 1. Geographic map of Adrar with Mean annual sum and altitude: 269 m above the of global horizontal irradiation (kWh/m2 /year) [19] sea level) located in the south of Algeria (see Fig. 1) [17, 17].
Variability of Solar Radiation Received on Tilted Planes
3
539
Methodology
In theoretically, collecting more solar energy required more frequent tilt adjustment; however, changing tilt angle frequently is impracticable. As less frequent tilt adjustment with maximum solar energy capture is preferred, a trade-off between the two is required. As a result, it is necessary to evaluate the collected solar energy happens when is fixed for months, seasons, bi-annually, and yearly (fixed tilt angle throughout the year). A Matlab code was developed to optimize the tilt angle of a solar panel in the site of Adrar [20, 21], extraction of angles significant to the variation of solar radiation [22], Furthermore, a comparison of the optimum tilt angles is conducted using the experiments measurements realised using Pyranometers Kipp & Zonen CM11 pyranometers, one for measuring global Horizontal irradiance, and the others are mounted on various flat tilted surfaces and facing south. (See Fig. 2), and finally analyze the temporal variation of global solar radiation with these angles [23, 24].
Fig. 2. GIS/URER/MS experimental platform: (a) diagram of the station (b) photo of the station
3.1 Extraterrestrial Solar Radiation Variation Extraterrestrial solar radiation is evaluated at the upper atmosphere, the energy illumination due to this radiation on a plane perpendicular to the sun’s rays is on average 1367 W/m2 per year: it is the solar constant that is usually symbolized by I0 . For extraterrestrial radiation on any surface by designating by I0 the illumination of a normal screen to the rays, the illumination of any receiving surface is equal to [25]: B0 = I0 . cos θ
(1)
Or θ is the angle of incidence of rays, and I0 =1367 W/m2 (the solar constant). The energy received by the receiving surface, of supposed fixed orientation, is deduced by the integration between the two moments that delimit the presence of the sun in the space seen by the receiving surface. B0 (β, α) =
12 ω2 .I0 ∫ cos θ.d ω π ω1
(2)
540
I. Oulimar et al.
For our case the orientation is always due to the south (α = 0) and (β) the angle of inclination of the receiving surface. By integration between sunrise and sunset, the previous formula becomes [26]: 24 ωl .I0 ∫ (sin δ sin(ϕ − β) + cos δ cos(ϕ − β) cos ω).d ω π 0
(3)
π 24 .I0 sin δ sin(ϕ − β)ωl . + cos δ cos(ϕ − β) sin ωl π 180
(4)
B0 (β, 0) = What leads to: B0 (β, 0) =
A Matlab code was developed to optimize the tilt angle for the site of Adrar. Global horizontal irradiation was obtained from Renewable Energy Research Unit in Saharan Medium. A monthly data for a period of 11 years was utilized in this study For Adrar site, we have integrated between sunrise and sunset, the total solar radiation reaching earth’s surface was computed corresponding to each value of tilt angle, witch it was changed from 0° (horizontal plane) to 90° (vertical plane) with an increment of 0.5 for each day of the year, then took the averages irradiation for monthly, seasonly and yearly of each tilt angle. Daily tilt angle was optimized at the value at which total radiation received by a tilted surface was maximum. The optimum angles of each month represent the average of the angles which corresponds to the maximum irradiation of each day of the self, detailed investigation of optimized tilt angle conditions for the Adrar site is presented (Table 1): Table 1. Monthly Optimum angles tilt for Adrar site Months
Janvier
Feb
Mars
Apr
Mai
Jun
Jul
August
Septembre
October
Novembre
Décembre
Optimum tilt angle
56
47
32
13
0
0
0
6
25
42
54
58
Comparison of captured solar energy in case of monthly, seasonal, biannual and annual tilt angle adjustment was done with daily adjusted tilt angle case (see Table 2): Table 2. Semi-annual angles tilt for Adrar site Period
January–March
April–August
September–December
Optimized tilt angles
45
0
45
Variability of Solar Radiation Received on Tilted Planes
541
Results of optimum tilt angles have been validated using historical data conducted previously. Optimum angle was found to be varying from a maximum value of 58˚ in December to 0˚ in Mai, June and jully, and according to the results of the daily and monthly variation, we take the average of the months from 01 September to 31 March is equal to 45° and the average of the months from 01 April to 01 September with 0°. And by a simple comparaison between the extraterrestrial radiation at angles 0° and 45° we made a correction of the dates of the change of angle. That brings us to the date of September 11 instead of September 01 and March 28 instead of 31 March for the angle 45°.The rest of the year with angle zero (0°) (between March 29 and 12 September), With the same formula we can calculate the extraterrestrial rays on a southern vertical plane and we can gather the curves of the different representative angles (annual average, semi-annual, monthly, vertical) on the Fig. (3).
Fig. 3. Extraterrestrial solar radiation on different planes in Adrar
3.2 Variations of Solar Radiation at the EARTH’S Surface The measurements taken in this study are the averages of measurements companion carried out over 11 years (2010–2020) on the site study (URER/MS) presented in Fig. (4):
Fig. 4. Series of radiation measurements during the period 2010–2020
542 I. Oulimar et al.
Variability of Solar Radiation Received on Tilted Planes
543
A simple change of location in February 2017 from a roof of a hut with about 03 m of ground to a roof of a building with 10 m of ground (Table 3). Table 3. Measurement period for each angle of inclination Angles
Période
Observation
0°
2010–2020
Change of location in 2017
27.88
2010–2020
Change of location in 2017
45
2011
February and October (2010–2011)
90
2013–2020
Change of location in 2017
Variable
2010
May to July (2010–2020)
In our experimental platform (Fig. 5), the horizontal and slope at the latitude of the site (27.88°) are measured during the entire period (2010–2020). Monthly optimal angle measurements are performed during 2010, with correction by multi-year averages for angles that have more than one measurement, namely the months of February and October for 45° (2010,2011) and May, June, July for 0° (2010–2020). The measurements for 45° angle are made during 2011 and between 2013 and 2020 for the vertical.
Fig. 5. Global solar radiation on different planes of inclination on the ground of Adrar
544
4
I. Oulimar et al.
Results and Discussion
The analysis of the curves of extraterrestrial solar radiation on different planes (Fig. 2) shows that there is generally a variation between eight (08) and tweleve (12) kWh/m2 per day for the horizontal and inclined planes; and it is generally less than 8 kwh/m2 for the vertical plane. For the solar radiation received in Adrar (Fig. 5), the variation is generally between 6 Kwh/m2 and 8 Kwh/m2 per day for the horizontal and inclined planes and it is less than 6 kwh/m2 for the vertical plane. The comparison between the extraterrestrial radiation and Adrar’s ground radiation shows that Adrar region soil receives an average of 70% of the extraterrestrial radiation annually, regardless of the inclination of the plane except in the case of the vertical plane which receives more than the extraterrestrial radiation in summer. And that is probably the albedo radiation from the ground. Amount of solar energy received may vary significantly from one location to the other depending upon its position relative to the sun along with atmospheric condition of particular location under consideration; however, optimum tilt angle conditions, as explained above, were found to be a strong function of latitude of location.
5
Conclusion
This study is carried out with the aim to determine the optimized tilt angles in Adrar region, located in southwestern of Algeria, and to recommend a general approach for the estimation of the angle for any location in the country. The optimal tilt angle varies each month of the year. The collected total solar radiation varies as the solar panel tilt angle is altered monthly, seasonally, and yearly. The earth received solar radiation has been determined to be the maximum in the monthly adjustments of the solar panel tilt angle. Matlab code was developed to optimize the tilt angle on daily, monthly, seasonally, biannually and annual basis. It was observed that the collected solar radiation decreased in the seasonal and annual solar panel tilt angles, respectively. It has been determined that the annual average optimum tilt angle of the solar panel is approximately equal to the value 28°. The results show that the average optimum tilt angles of the solar panels were 45°, 0° (Horizontal) and 45° in the periods of January to March, Aprit-August and Septmber to December, respectively. According to the annual, semiannual, and monthly adjusted solar panel tilt angle the annual variability of the collected solar radiations are between 6 kWh/m2 /day and 8 kWh/ m2 /day (2010–2020) all inclinations combined from horizontal to near 60° and 2 kWh/m2 to 6 kWh/m2 for vertical plane facing plain south.
References 1. Kaldellis, J., Zafirakis, D.: Experimental investigation of the optimum photovoltaic panels’ tilt angle during the summer period. Energy 38(1), 305–414 ( 2012). https://doi.org/10.1016/ j.energy.2011.11.058
Variability of Solar Radiation Received on Tilted Planes
545
2. Khraief, N., Shahbaz, M., Mallick, H., Loganathan, N.: Estimation of electricity demand function for Algeria: revisit of time series analysis. Renew. Sustain. Energy Rev. 82, 4221– 4234 (2018). https://doi.org/10.1016/j.rser.2016.11.106 3. Bouchouicha, K., Hassan, M.A., Bailek, N., Aoun, N.: Estimating the global solar irradiation and optimizing the error estimates under Algerian desert climate. Renew Energy 139, 844–858 (2019). https://doi.org/10.1016/j.renene.2019.02.071 4. Bouchouicha, K., Razagui, A., Bachari, N.E.I., Aoun, N.: Mapping and geospatial analysis of solar resource in Algeria. Int. J. Energy Environ. Econ. 23(6), 735–751 (2015) 5. Bouchouicha, K., Bailek, N., Bellaoui, M., Oulimar, B.: Estimation of solar power output using ANN model: a case study of a 20-MW solar PV plan at Adrar, Algeria. In: Hatti, M. (ed.) Smart Energy Empowerment in Smart and Resilient Cities. Lecture Notes in Networks and Systems, vol. 102, pp. 195–203. Springer, Cham (2020). https://doi.org/10.1007/978-3030-37207-1_20 6. Bouchouicha, K., Bailek, N., Razagui, A., EL-Shimy, M., Bellaoui, M., Bachari, N.E.I.: Comparison of artificial intelligence and empirical models for energy production estimation of 20 MWp solar photovoltaic plant at the Saharan Medium of Algeria. Int. J. Energy Sector Manage. 15(1) (2021) . https://doi.org/10.1108/IJESM-12-2019-0017 7. Bouchouicha, K., Razagui, A., el Islam Bachari, N., Aoun, N.: Hourly global solar radiation estimation from MSG-SEVIRI images-case study: Algeria. World J. Eng. 13(3), 266–274 (2016). https://doi.org/10.1108/WJE-06-2016-036 8. Razagui, A., Abdeladim, K., Bouchouicha, K., Bachari, N., Semaoui, S., Hadj Arab, A.: A new approach to forecast solar irradiances using WRF and libRadtran models, validated with MERRA-2 reanalysis data and pyranometer measures. Solar Energy 221, 148–161 (2021). https://doi.org/10.1016/j.solener.2021.04.024 9. Razagui, A., Bachari, N.I., Bouchouicha, K., Hadj Arab, A.: Modeling the Global Solar Radiation Under Cloudy Sky Using Meteosat Second Generation High Resolution Visible Raw Data. Journal of the Indian Society of Remote Sensing 45(4), 725–732 (2016). https:// doi.org/10.1007/s12524-016-0628-8 10. Bakirci, K.: General models for optimum tilt angles of solar panels: Turkey case study. Renew. Sustain. Energy Rev. 16(8), 6149–6159 (2012). https://doi.org/10.1016/j.rser.2012.07.009 11. Benghanem, M.: Optimization of tilt angle for solar panel: Case study for Madinah, Saudi Arabia. Appl. Energy 88(4), 1427–1433 (2011). https://doi.org/10.1016/j.apenergy.2010. 10.001 12. Despotovic, M., Nedic, V.: Comparison of optimum tilt angles of solar collectors determined at yearly, seasonal and monthly levels. Energy Convers. Manag. 97 121–131 (2015). https:// doi.org/10.1016/j.enconman.2015.03.054 13. Khatib, T., Mohamed, A., Mahmoud, M., Sopian, K.: Optimization of the tilt angle of solar panels for Malaysia. Energy Sources, Part A: Recovery, Utilization and Environmental Effects 37(6), 606–613 (2015). https://doi.org/10.1080/15567036.2011.588680 14. Ullah, A., Imran, H., Maqsood, Z., Butt, N.Z.: Investigation of optimal tilt angles and effects of soiling on PV energy production in Pakistan. Renew. Energy 139, 830–843 (2019). https:// doi.org/10.1016/j.renene.2019.02.114 15. Jamil, B., Siddiqui, A.T., Akhtar, N.: Estimation of solar radiation and optimum tilt angles for south-facing surfaces in Humid Subtropical Climatic Region of India. Eng. Sci. Technol. Int. J. 19(4), 1826–1835 (2016). https://doi.org/10.1016/j.jestch.2016.10.004 16. Mialhe, P.: Variabilité spatiale et temporelle du rayonnement solaire global sur une topographie à relief marqué et complexe. Cas de l’\^\ile de La Réunion. Université de la Réunion (2018) 17. Bouchouicha, K., Oulimar, I.: La chaine de mesure radiométrique à l’Unité de Recherche en Energie Renouvelable en Milieu Saharien d’Adrar. In: international conference on energy and sustainable development (2013)
546
I. Oulimar et al.
18. Bellaoui, M., Bouchouicha, K., Oulimar, B.: Daily Global Solar Radiation Based on MODIS Products: The Case Study of ADRAR Region (Algeria). In: Hatti, M. (ed.) ICAIRES 2019. LNNS, vol. 102, pp. 157–163. Springer, Cham (2020). https://doi.org/10.1007/978-3-03037207-1_16 19. Bellaoui, M., Bouchouicha, K., Oulimar, I.: Estimation of daily global solar radiation based on MODIS satellite measurements: The case study of Adrar region (Algeria). Measurement (Lond) vol. 183 (2021). https://doi.org/10.1016/j.measurement.2021.109802 20. Iqbal, M.: An Introduction to Solar Radiation. Elsevier (1983). https://doi.org/10.1016/B9780-12-373750-2.X5001-0 21. Duffie, J.A.(Deceased), Beckman, W.A., Blair, N.: Solar Engineering of Thermal Processes, Photovoltaics and Wind (2020). https://doi.org/10.1002/9781119540328 22. Oulimar, I., Bouchouicha, K., Khelif, C.: Modèle Radiométrique Adéquat pour Caractériser l’Apport Energétique Optimal sur Site d’Adrar. In: International Conference on Energy and Sustainable Development ICESD’13 (2013) 23. Duffie, J.A., Beckman, W.A.: Solar Eng. Thermal Process. Fourth Edition. (2013). https:// doi.org/10.1002/9781118671603 24. Mondol, J.D., Yohanis, Y. G., Norton, B.: The impact of array inclination and orientation on the performance of a grid-connected photovoltaic system. Renew. Energy 32(1), 118–140 (2007). https://doi.org/10.1016/j.renene.2006.05.006 25. Duffie, J.A., Beckman, W.A., Worek, W.M.: Solar Engineering of Thermal Processes. In: 2nd ed., J. Sol, Energy Eng. 116(1), 944 (1994). https://doi.org/10.1115/1.2930068 26. Oulimar, I.: Diagnostic sur les différents modes de collecte énergétique. Adrar (2010)
Environmental and Financial Impact Analysis of a Tubular 850 KW Wind Turbine Tower F. Ferroudji1,2(B) , L. Saihi1 , and K. Roummani1 1 Unité de Recherche en Energies Renouvelables en Milieu Saharien, URERMS, Centre de
Développement des Energies Renouvelables, CDER, 01000 Adrar, Algeria [email protected] 2 Laboratoire de Mécanique des Structures et Matériaux, Université de Batna 2, Batna, Algeria
Abstract. Nowadays, the main importance for leading organizations is taking into consideration the environmental impact of a product at the product design stage. This study focuses on the analysis environmental and financial impact of a steel tubular tower assembly for 850 KW wind turbine using SOLIDWORKS Sustainability tool. The analyses of the tower for four materials with different steel qualities (S235, S275, S355 and S460) were performed in order to evaluate the carbon footprint, total energy consumption, air acidification and water eutrophication. The results obtained indicate that the S275 and S355 materials are more environmentally friendly and less financial impact than the reference material. Keywords: Wind turbine tower · Life cycle assessment · Eco-design · SOLIDWORKS Sustainability tool
1 Introduction In recent decades, the wind power is the most promising among all other renewable energy options, due to the development of turbine generator techniques and sustainability. Wind generators convert kinetic energy of wind to electricity energy with zero producing CO2 emissions and impact on nature during their operation [1–4]. However, there are environmental impact resulting from wind turbines manufacture, transportation, installation and dismantling at the end of their operational life [5]. Recently, in the face of a deepening environmental crisis, the necessity to eco-design (or green-design) of products is more and more growing in engineering design and it entered in the international political agenda [6]. Consequently, Life Cycle Assessment (LCA) (also known as ’cradle-to-grave analysis’) emerged in answer to the necessity to develop life cycles causing the lowest direct and indirect environmental impacts. Designers can be used LCA technique to compare environmental impacts for different products which perform the same functions, in order to ensure a sustainable design [7–10]. In order to support LCA technique, several types of Computer Aided Design (CAD) software tools such as SOLIDWORKS, CATIA, and AUTODESK are developed and could be used of them as eco-design tools in the product design phase [11–13]. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 547–554, 2023. https://doi.org/10.1007/978-3-031-21216-1_56
548
F. Ferroudji et al.
In horizontal axis wind turbine, the manufacturing cast of tower is considered of high importance which can correspond 30–40% of the total wind turbine costs. The tower structure appears to be the component with the highest environmental impact in the wind turbine system [14, 15]. This study focuses on the analysis of environmental (or pollution) and financial impact over the life cycle of a steel tubular tower assembly for 850 KW wind turbine. The analyses of the tower for four materials with different steel qualities (S235, S275, S355 and S460) were conducted using SOLIDWORKS Sustainability tool. Then, in order to select the suitable material, these four materials were compared with the reference material, in terms of environmental impact considering the carbon footprint (or global warming potential), total energy consumption, air acidification and water eutrophication.
2 Methodology In this present paper, for the case study, a wind turbine tower assembly from the concept of sustainability. In Fig. 1 shows the wind turbine tower assembly and its components. The tower is tube steel structure and it is 55 m high. The tower is pre-assembled into three hollow subparts which are bolted together by means flanges at either end. The tower structure is conical form and its shell thickness varying from 18mm at the bottom to 10mm at the top. Present wind turbine tower and wind turbine in detailed in Ref. [14].
Fig. 1. Wind turbine tower for sustainability analysis [14]
For assess the environmental impact of wind turbine tower, we use Sustainability tool (by Dassault Systems) [16]. This tool is an integrated part of the SOLIDWORKS environment which has the ability of evaluation of environmental and financial impact of a design by using the science of LCA of a product based on the GaBi sustainability database. From different materials and design solutions, the designers can be compared results to ensure a sustainable design [17]. The sustainable design methodology includes three basic steps: (i) using safe materials; (ii) using an efficient manufacturing process, and (iii) reducing the carbon footprint. The sustainability analysis for the tower assembly model using SOLIDWORKS Sustainability tool is presented in Fig. 2.
Environmental and Financial Impact Analysis
549
At the first, by accessing the “Set Material” tab, was launched the steels materials database available (as shown in Fig. 3) which contains class steels, “Material Class” with characteristics of each material such as Elastic modulus, Yield strength, etc. We set Cast Carbon Steel [18] as the reference material for all parts of the initial assembly (or base assembly) of the tower model. From the steel database is chosen four materials with different steel qualities which are S235 [19], S275 [20], S355 [5, 14], and S460 [21] as indicated in Fig. 3. Then, we compare the environment and financial impact of these four materials with the reference material in order to select the suitable material.
Fig. 2. Sustainability analysis of tower model.
Fig. 3. Steel Materials database.
550
F. Ferroudji et al.
In addition to the material’s type used to manufacture the product, there are other factors that influences on the environment. In Sustainability tool, the manufacturing region, the region where the product is transported and used and manufacturing process were taken into account [22, 23]. For this present analysis, a location in Asia was chosen as the manufacturing region (Fig. 4a), Europe was chosen as the utilization region (Fig. 4b), the distance between the both regions is based on the assumption that the tower model is shipped to site by ship over a distance of 16093 km and the manufacturing process/or technology used is a “Stamped/Formed sheetmetal”. Based on the tower’s material selected, default percentage values for end of life of the tower in the sustainability database 25, 24 and 51% are recycled, incinerated and landfill respectively (Fig. 4c). The input data in SOLIDWORKS Sustainability analysis of tower model is summarized in Table 1.
Fig. 4. (a) Manufacturing, (b) Use, and (c) Transportation and end of life.
Table 1. The input data in SOLIDWORKS sustainability analysis of tower model. Manufacturing
Use
Transportation
End of useful life
Region: Asia
Region: Europe
Distance by train: 0.00 km
Recycled: 25%
Process: Stamped/Formed sheet-metal
In use during: 1.0 year
Distance by truck: 0.00 km
Incinerated: 24%
Electricity consumption: 0.19 kWh/gm
Distance by boat: 16093 km
Landfill: 51%
Natural gas consumption: 1476.6 BTU/gm
Distance by air: 0.00 km
Scrap rate: 9.67% Reference material: Cast Carbon Steel
Material cost per unit: 0.4 USD/kg
Environmental and Financial Impact Analysis
551
3 Results and Discussion The sustainability analysis was conducted after the input data in place. The Sustainability tool affords a quantitative analysis of the environmental impact of the wind turbine tower, measured by four indicators and a computation of the material’s financial impact. SOLIDWORKS Sustainability tool use the CML environmental impact assessment methodology to analyze [17]: (i) carbon footprint (kg CO2), (ii) total energy consumption (MJ), (iii) air acidification potential (kg SO2), and (iv) water eutrophication potential (kg PO4). In this present paper, the analyses are performed where the while duration of the wind turbine lifetime (all life cycle stages) is assumed to be 20 years. The results of the environmental and financial impact for the tower model are illustrated in Figs. 5, 6, 7 and 8.
Fig. 5. Environmental and financial impact for the tower model - S235 Steel material (Yield Strength: 234 Mpa).
Figure 5 displays the environmental and financial impact of the tower model with the S235 steel material. The analysis indicates that the carbon footprint, total energy consumption and water eutrophication are increased by 2% and air acidification is increased by 68%. However, the financial impact is reduced by 25%. Figure 6 displays the environmental and financial impact of the tower model with the S275 steel material. The analysis indicates that the carbon footprint, total energy consumption, water eutrophication, and air acidification are reduced by 9, 7, 5, and 25% respectively. The financial impact is reduced by 28%.
552
F. Ferroudji et al.
Figure 7 displays the environmental and financial impact of the tower model with the S355 steel material. The analysis indicates that the carbon footprint, total energy consumption, water eutrophication, and air acidification are reduced by 9, 8, 6, and 25% respectively. The financial impact is reduced by 28%. Figure 8 displays the environmental and financial impact of the tower model with the S460 steel material. The analysis indicates that the carbon footprint and total energy consumption are reduced by 3%, and water eutrophication and air acidification are increased by 4 and 40% respectively. However, the financial impact is reduced by 16%.
Fig. 6. Environmental and financial impact for the tower model - S275 Steel material (Yield Strength: 282 Mpa).
Fig. 7. Environmental and financial impact for the tower model - S355 Steel material (Yield Strength: 350 Mpa)
Environmental and Financial Impact Analysis
553
Fig. 8. Environmental and financial impact for the tower model – S460 Steel material (Yield Strength: 460 Mpa)
4 Conclusion This study used LCA method to analyze the environmental and financial impact of a steel tubular tower structure for 850 KW wind turbine. The analyses of the tower for four materials with different steel qualities (S235, S275, S355 and S460) were conducted using SOLIDWORKS Sustainability tool. According to the analysis results, among the four materials chosen, the S275 and S355 steel materials are more environmentally friendly and less financial impact than the reference material.
References 1. Hernandez-Estrada, E., et al.: Considerations for the structural analysis and design of wind turbine towers: a review. Renew. Sustain. Energy Rev. 137, 110447 (2021) 2. Saihi, L., Bakou, Y., Ferroudji, F., Berbaoui, B., Djilali, L.: MPPTF & pitch fuzzy controller of a wind turbine system using DFIG. In: 2019 1st International Conference on Sustainable Renewable Energy Systems and Applications (ICSRESA) (2019) 3. Ferroudji, F., Khelifi, C.: Structural strength analysis and fabrication of a straight blade of an H-Darrieus wind turbine. J. Appl. Comput. Mech. 7(3), 1276–1282 (2021) 4. Roummani, K., et al.: A new concept in direct-driven vertical axis wind energy conversion system under real wind speed with robust stator power control. Renew. Energy. 143, 478–487 (2019) 5. Stavridou, N., Koltsakis, E., Baniotooulos, C.C.: Life cycle analysis of lattice and tubular wind turbine towers. A comparative study. IOP Conf. Ser. Earth Environ. Sci. 410, 012–071 (2020) 6. Vezzoli, C.: Design for Environmental Sustainability: Life Cycle Design of Products, 2nd edn. Springer-Verlag, London (2018) 7. Cappelli, F., Delogu, M., Pierini, M.: Integration of LCA and EcoDesign guideline in a virtual cad framework. In: Proceedings of LCE2006, pp. 185–188 (2006)
554
F. Ferroudji et al.
8. Pereira, L., Mafalda, R., Marconcini, J. M., Mantovani, G. L.: The use of sugarcane bagassebased green materials for sustainable packaging design. In: Chakrabarti, A. (ed.) ICoRD 2015 – Research into Design Across Boundaries Volume 2. SIST, vol. 35, pp. 113–123. Springer, New Delhi (2015). https://doi.org/10.1007/978-81-322-2229-3_10 9. Tseng, T.L.B., Rahman, M.F., Chiou, R., Ho, J.C.: Sustainable green design and life cycle assessment for engineering education. In: 2021 ASEE Virtual Annual Conference (2021) 10. Morbidoni, A., Favi, R., Germani, M.: CAD-integrated LCA tool: comparison with dedicated LCA software and guidelines for the improvement. In: Hesselbach, J., Herrmann, C. (eds.) Glocalized Solutions for Sustainability in Manufacturing, pp. 569–574. Springer, Heidelberg (2011). https://doi.org/10.1007/978-3-642-19692-8_99 11. Ferroudji, F., Outtas, T., Khelifi, C.: Design, modeling and finite element static analysis of a new two axis solar tracker using SolidWorks/COSMOSWorks. Appl. Mech. Mater. 446–447, 738–743 (2014) 12. Russo, D., Rizzi, C.: Structural optimization strategies to design green products. Comput. Ind. 65(3), 470–479 (2014) 13. Ferroudji, F., Khelifi, K., Outtas, T.: Structural dynamics analysis of three-dimensional biaxial sun-tracking system structure determined by numerical modal analysis. J. Solar Energy Eng. 140(3), 031004–031011 (2018) 14. Ferroudji, F.: Numerical modal analysis of a 850 KW wind turbine steel tower. Int. Rev. Appl. Sci. Eng. 12(1), 10–18 (2021) 15. Hernandez-Estrada, E., et al.: Considerations for the structural analysis and design of wind turbine towers a review. Renew. Sustain. Energy Rev. 137, 110447 (2021) 16. SolidWorks (2016). http://www.solidworks.com/sustainability 17. Dudkowiak, A., Grajewski, D., Dostatni, E.: Analysis of selected IT tools supporting ecodesign in the 3D CAD environment. IEEE Access. 9, 134945–13956 (2021) 18. Martinez, M.A., Adam, J.M., AlvarezRabanal, F.P., del GozDíaz, J.J.: Wind turbine tower collapse due to flange failure: FEM and DOE analyses. Eng. Failure Anal. 104, 932–949 (2019) 19. Malliotakis, G., Alevras, P., Baniotopoulos, C.: Recent advances in vibration control methods for wind turbine towers. Energies 14, 7536 (2021) 20. Hu, Y., Baniotopoulos, Yang, J.: Effect of internal stiffening rings and wall thickness on the structural response of steel wind turbine towers. Eng. Struct. 81, 148–161 (2014) 21. Veljkovic, M., et al.: High-strength tower in steel for wind turbines (HISTWIN). In: European Commission Joint Research Center. Ispra, Italy (2012) 22. Toscano, A.R., Herazo, J.C.M., Millán, R.R., Palma, H.G.H., Martinez, J.A.S.: Approach methodology for the sustainable design of packaging through computational tools: case study: water bottles. Case Stud. Thermal Eng. 16, 100561 (2019) 23. Torc˘atoru, C., S˘avescu, D.: Analyzing the sustainability of an automotive component using SOLIDWORKS CAD software. In: Annual Session of Scientific Papers IMT ORADEA 2019 (2019)
Modeling of Two Five-Phase Induction Machines Connected in Series with an Open Phase Nekkaz Mohamed1 , Djahbar Abdelkader1(B) , and Benali Youcef Mohammed2 1 LGEER Laboratory, University Hassiba Benbouali of Chlef, Chlef, Algeria
{m.nekkaz,a.djahbar}@univ-chlef.dz
2 LDEE Laboratory, University USTO of Oran, Oran, Algeria
[email protected]
Abstract. In the context of a degraded mode control of a drive comprising two five-phase induction machines connected in series and controlled independently, the article focuses on the investigation of the drive’s performance in the case of phase opening, as well as the influence of the fault on the two five-phase machines and their control in normal mode and degraded mode in simulations. Keywords: Five-phase machine · Connected in series · Polyphase machine · Degraded mode
1 Introduction Electronic equipment is becoming increasingly common in airplanes and space rockets. Various studies have already listed the benefits of replacing pneumatic, mechanical, and hydraulic applications with electrical analog systems [1, 2]. However, this new technology must meet stringent reliability and safety standards without increasing neither the equipment’s weight (a major constraint in the areas discussed here), or the system’s overall cost. Fault-tolerant architectures can be used to ensure the required reliability [3–5], while weight and cost reduction can be achieved by pooling of power electronics [4–6]. In the context of what has already been mentioned, this work aims to create a practical structure that is interesting in terms of weight, cost, and reliability. Different research [6, 7] have shown how two polyphase machines connected in series can be controlled independently. Indeed, with this particular connection, it is possible. When comparing to a topology in which the machines have the same number of phases, series coupling reduces the number of transistors by 50%, provided that, the two machines are supplied separately by H-bridges. Furthermore, by connecting the inductors of the two machines in series [8, 9], the currents in degraded mode can be reduced. This research will help to increase the availability of multi-machine serially connected systems in degraded mode. In This Paper, we propose and study a drive model for two series-connected five-phase machines powered by a single inverter, knowing that this system will be evaluated in both normal mode and degraded operating mode. In order to perform this study effectively; the degradation scenario is produced by an open phase. Following that, the phase disconnection modes will be analyzed in detail. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 555–564, 2023. https://doi.org/10.1007/978-3-031-21216-1_57
556
N. Mohamed et al.
2 Five-Phase Series-Connected Two Motor Drive The basic topology of five-phase series-connected two motor drive system is shown in Fig. 1. The variable frequency (VF) source power the five-phase induction machine (Motor 1) whose stator windings are connected to the stator windings of another fivephase induction machine (Motor 2) through an appropriate phase transposition. The two machines’ rotors are separate and coupled to different mechanical loads [10].
Fig. 1. Representation of two five-phase IM in series with transposed stator phases
As a consequence of the phase transposition shown in Fig. 1, inverter phase voltages are related to individual machine phase voltages through. ⎧ ⎪ vA = vas1 + vas2 ⎪ ⎪ ⎪ ⎪ ⎨ vB = vbs1 + vcs2 (1) vC = vcs1 + ves2 ⎪ ⎪ ⎪ vD = vds1 + vbs2 ⎪ ⎪ ⎩v = v +v E es1 ds2 In general, even when both machines are five-phase, they may have different parameters. Let the index ‘1’ denote the induction machine that is directly connected to the five-phase inverter, and the index ‘2’ indicate the second induction machine that is connected to the first machine through phase transposition. The full system’s voltage equationcan be written in a compact matrix form as: v =R∗i+
d (L ∗ i) dt
(2)
where the system is of the 15th order. ⎡ inv ⎤ ⎡ inv ⎤ v i v = ⎣ 0 ⎦, i = ⎣ ir1 ⎦ ; v inv = [vA vB vC vD vE ]T ; iinv = [iA iB iC iD iE ]T ; ir1 = 0 ir2 T [iar1 ibr1 icr1 idr1 ier1 ] ; ir2 = [iar2 ibr2 icr2 idr2 ier2 ]T . where v and i denote the voltage, current, and the s and r subscripts stand for the stator and rotor variables, respectively. The a, b, c, d and e subscripts identify the five phases, and the T superscript designates the transpose operator.
Modeling of Two Five-Phase Induction Machines
557
The stator and rotor resistance and inductance matrices are defined as follows: [Rs1 ] = [Rs2 ] = [Rs ].[I5 ]
(3)
[Rr1 ] = [Rr2 ] = [Rr ].[I5 ]
(4)
[Lss1 ] = [Lss2 ] = [Lls ].[I5 ] + M .[(ϑ)]
(5)
[Lrr1 ] = [Lrr2 ] = [Llr ].[I5 ] + M .[∅(ϑ)]
(6)
[Lsr ] = [Lrs ]T = M .[(θ )]
(7)
θ= ⎡ ⎢ ⎢ ⎢ (ϑ) = ⎢ ⎢ ⎣ ⎡
t
ωr dt
(8)
0
1 cos(4ϑ) cos(3ϑ) cos(2ϑ) cos(ϑ)
cos(ϑ) 1 cos(4ϑ) cos(3ϑ) cos(2ϑ)
cos(1 ) cos(2 ) ⎢ cos( ) cos( ) ⎢ 1 5 ⎢ ∅(θ ) = ⎢ cos(4 ) cos(1 ) ⎢ ⎣ cos(3 ) cos(1 ) cos(2 ) cos(1 )
⎤ cos(2ϑ) cos(3ϑ) cos(4ϑ) cos(ϑ) cos(2ϑ) cos(3ϑ) ⎥ ⎥ ⎥ 1 cos(ϑ) cos(2ϑ) ⎥ ⎥ cos(4ϑ) 1 cos(ϑ) ⎦ cos(3ϑ) cos(4ϑ) 1 ⎤ cos(3) cos(4 ) cos(5 ) cos(2 ) cos(3 ) cos(4 ) ⎥ ⎥ ⎥ cos(1 ) cos(2 ) cos(3 ) ⎥ ⎥ cos(5 ) cos(1 ) cos(2 ) ⎦ cos(4 ) cos(5 ) cos(1 )
(9)
(10)
Being [I5 ] the identity matrix of order 5, Rs and Rr are the stator and rotor resistance, respectively, M the mutual inductance, and Lls and Llr the stator and rotor leakage inductance, respectively. Finally, and ∅k are angles defined as ∅k = θ + (k − 1)ϑ, with k = {1, 2, 3, 4, 5}, where θ represents the instantaneous rotor azimuth with respect to the α-axis of the stationary reference frame and ωr is the rotor electrical speed. The Eq. (11) is verified during the normal operation of the multiphase drive. Stator phase voltages can be obtained using the switching state of each leg of the power converter (Si ), as it is stated in (12) where Si = 0 if the lower switch is ON and Si = 1 if the opposite occurs. The power converter (the two-level five-phase voltage source inverter) provides 25 = 32 voltage vectors (30 active and 2 zero), which can be mapped applying the decoupling Clarke’s transformation defined in (13) in two orthogonal subspaces (α − β and x −y) plus the zero-sequence component. Each vector is identified using the decimal number corresponding to the binary code of the switching sate [Sa; Sb; Sc; Sd ; Se]. VA + VB + VC + VD + VE = 0
(11)
558
N. Mohamed et al.
⎡ ⎤ ⎡ ⎤ 4 −1 −1 −1 −1 vas1 + vas2 VA ⎢ −1 4 −1 −1 −1 ⎢V ⎥ ⎢v + v ⎥ ⎢ ⎢ B ⎥ ⎢ bs1 cs2 ⎥ ⎢ ⎥ ⎢ ⎥ Vdc ⎢ ∗ ⎢ −1 −1 4 −1 −1 ⎢ VC ⎥ = ⎢ vcs1 + ves2 ⎥ = ⎢ ⎢ ⎥ ⎢ ⎥ 5 ⎣ −1 −1 −1 4 −1 ⎣ VD ⎦ ⎣ vds1 + vbs2 ⎦ VE ves1 + vds2 −1 −1 −1 −1 4 ⎡
⎤⎡
⎤ Sa ⎥⎢ S ⎥ ⎥⎢ b ⎥ ⎥⎢ ⎥ ⎥⎢ Sc ⎥ ⎥⎢ ⎥ ⎦⎣ Sd ⎦ Se
(12)
In order to simplify the phase-domain model, the decoupling transformation is applied. The Clark’s decoupling transformation matrix in power invariant form is [11]–[12]: ⎤ ⎡ 1 cos(α) cos(2α) cos(3α) cos(4α)
⎢ 0 sin(α) sin(2α) sin(3α) sin(4α) ⎥ ⎥ 2⎢ ⎥ ⎢ (13) [c] = ⎢ 1 cos(2α) cos(4α) cos(6α) cos(8α) ⎥ ⎥ 5⎢ ⎣ 0 sin(2α) sin(4α) sin(6α) sin(8α) ⎦ √ √ √ √ √ 1/2 1/2 1/2 1/2 1/2 By omitting the x-y and zero-sequence equation for rotor windings and the zerosequence equation of the inverter, the complete d-q model in stationary reference frame for the two five-phase series-connected machines can be written in developed form as: ⎧ diinv diinv ⎪ ⎪ Vdinv = Rs1 idinv + Ls1 dtd + Lm1 didtdr1 + Rs2 idinv + Ls2 dtd ⎪ ⎪ ⎪ diinv diinv di ⎨ inv Vq = Rs1 iqinv + Ls1 dtq + Lm1 dtqr1 + Rs2 iqinv + Ls2 dtq (14) diinv diinv ⎪ ⎪ Vxinv = Rs1 ixinv + Ls1 dtx + Rs2 ixinv + Ls2 dtx + Lm2 didtαr2 ⎪ ⎪ ⎪ diinv diinv di ⎩ inv Vy = Rs1 iyinv + Ls1 dty + Rs2 iyinv + Ls2 dty + Lm2 dtβr2 Corresponding rotor equations are: ⎧ didinv didr1 ⎪ inv + (L + L )i ⎪ 0 = R L i + L + + L + ω i (L ) r1 m1 r1 m1 1 m1 r1 m1 qr1 dr1 ⎪ q dt dt ⎪ ⎪ ⎪ diinv ⎨ di 0 = Rr1 iqr1 + Lm1 dtq + (Lr1 + Lm1 ) dtqr1 − ω1(Lm1 idinv + (Lr1 + Lm1 )idr1 ) (15) inv ⎪ 0 = Rr2 idr2 + Lm2 dix + (Lr2 + Lm2 ) didr2 + ω2 Lm2 iinv + (Lr2 + Lm2 )iqr2 ⎪ ⎪ y dt dt ⎪ ⎪ ⎪ diinv di ⎩ 0 = Rr2 iqr2 + Lm2 dty + (Lr2 + Lm2 ) dtqr2 − ω2 (Lm2 ixinv + (Lr2 + Lm2 )idr2 ) The electromagnetic torques is evaluated as: Tr1 = P1 Lm1 (idr1 iq − id iqr1 ) Tr2 = P2 Lm2 (idr2 iy − ix iqr2 ) The mechanical equation of the two machines is described as: d 1 = Tr1 − TL1 − fm1 1 Jm1 dt d Jm2 dt 2 = Tr2 − TL2 − fm2 2
(16)
(17)
Modeling of Two Five-Phase Induction Machines
559
3 Five-Phase Series-Connected Two Motor Drive in Open-Phase Fault Operation The two machines become asymmetrical when one open-phase fault occurs, and the system model must be reviewed because the total of the healthy phase voltages is no longer zero. Since the five-phase two machines is symmetrical, it will be considered further on that phase ‘a’ is the faulty phase without-lack of generality. Hence, the induced back-emf of the phase ‘a’ is considered in the equilibrium phase voltage equations and the matrix that relates inverter and phase voltages in post-fault situation becomes (Fig. 2):
Fig. 2. Schematic diagram of five-phase series-connected two motor drive in open phase
Let us assume that phase “a” is under open circuit, with ias1 = ias2 = 0. Then, the stator voltage of the faulty phase is obtained as follows: vAs = (Rs1 + Rs2 )ias +
d d ∅as = ∅as = BackEmf a2 dt dt
(18)
Considering (18) and further developing the stator flux term (19), the back electromotive force (EMF) after the fault can be expressed as: ∅as = ∅αs + ∅xs = (Ls1 + Ls2 )iαs + (Lm1 + Lm2 )iαr + Lls1 + Lls2 )ixs
(19)
d (Ls1 + Ls2 )iαs + (Lm1 + Lm2 )iαr + Lls1 + Lls2 )ixs dt
(20)
BackEmf a2 =
Notice that, when the fault condition appears, one degree of freedom is lost. As a consequence, a fixed relationship between α and x components are obtained: ias1 = iαs + ixs = 0 => iαs = −ixs
(21)
Consequently, further developing (20) considering (21) and the definition of the electrical parameters of the machine (Ls1,2 = Lm1,2 + Lls1,2 and Lr1,2 = Lm1,2 + Llr1,2 ), the induced back − EMF term can be written as in: BackEmf a2 =
d ((L + Lm2 )iαs2 + (Lm1 + Lm2 )iαr2 ) dt m1
(22)
560
N. Mohamed et al.
Notice that the faulty phase is aligned with the α– axis; consequently, no β– stator/rotor component appears in (22) and (23). Since (11) remains valid in the post-fault situation and the voltage of phase “a” is no longer controllable, the voltage of the neutral point oscillates due to the count-erelectromotive force of (18), and the leg-to-phase voltage relationship needs to be modified. Considering (11), the leg-to-phase voltage relationship can be obtained in terms of the neutral-to-neutral voltage (VnN ) ⎤ ⎡ ⎡ ⎤ ⎡ ⎤ 10 000 vBs VbN 2 ⎥ ⎢v ⎥ ⎢ V ⎥ ⎢ 01 000 ⎥ ⎢ Cs ⎥ ⎢ ⎥ ⎢ cN 2 ⎥ ⎢ ⎢ ⎥ ⎢ ⎥ (23) 00 100 ⎥ ∗ ⎢ vDs ⎥ ⎢ VdN 2 ⎥=⎢ ⎥ ⎢ ⎢ ⎥ ⎢ ⎥ ⎦ ⎣ vEs ⎦ ⎣ VeN 2 ⎦ ⎣ 00 010 BackEmf a2 VsN −1 −1 −1 −1 0 Applying the inverse transformation to (24), the phase voltages can be calculated in terms of the leg voltages by ⎡ ⎤ ⎡ ⎤ ⎤ ⎡ VbN 2 vBs 3 −1 −1 −1 −1 ⎢v ⎥ ⎥ ⎢ −1 3 −1 −1 −1 ⎥ ⎢ V ⎢ Cs ⎥ ⎥ ⎢ cN 2 ⎥ ⎢ ⎢ ⎥ ⎢ ⎥ 1 ⎢ ⎥ (24) ⎢ vDs ⎥ = 4 ∗ ⎢ −1 −1 3 −1 −1 ⎥∗ ⎢ VdN 2 ⎥ ⎢ ⎥ ⎢ ⎥ ⎥ ⎢ ⎣ vEs ⎦ ⎦ ⎣ −1 −1 −1 3 −1 ⎦ ⎣ VeN 2 1 1 1 1 1 VsN BackEmfa2 This, in turn, can be written as ⎤⎡ ⎤ ⎡ ⎡ ⎤ ⎤ 3 −1 −1 −1 Sb VB 1 ⎥⎢ ⎥ (L + L )i + (L + L )i ⎢ ⎥ ⎢ VC ⎥ Vdc ⎢ −1 3 −1 −1 S m1 m2 αs m1 m2 αr2 ⎥ ⎢ c ⎢ ⎥= ⎢1⎥ ⎥⎢ ⎥ − ⎢ ⎣ VD ⎦ ⎣1⎦ 4 ⎣ −1 −1 3 −1 ⎦⎣ Sd ⎦ 4 VE Se 1 −1 −1 −1 3 (25) ⎡
being the second term on the right-hand side in (25) the Back-Emf of phase ‘a’, obtained from the neutral voltage evaluation [11, 12, 13], where Lm1,2 is defined as 5M 2 . Stator/rotor impedance asymmetries appear during the fault operation that lead to non-circular trajectories of the stator currents in the α − β plane. To compensate these asymmetries, a modified Clarke’s transformation is proposed in [10], see Eq. (26), and a symmetrical post-fault model of the machine can be obtained with circular trajectories of the stator currents in the α − β plane. The proposed transformation generates the same set of equations in pre and post-fault conditions in α − βandx − y coordinates. Then, the same model of the drive can be used in healthy and faulty operation, which simplifies the management of the faulty condition where the number of switching states is reduced from 25 = 32 to 24 = 16 and the voltage vectors in α − β and x − y subspaces are consequently changed, Fig. 3(b). ⎤ ⎡ ⎤ ⎤⎡ ⎡ cos(ϑ) − 1 cos(2ϑ) − 1 cos(3ϑ) − 1 cos(4ϑ) − 1 vBs vsα ⎥ ⎢ vCs ⎥ ⎢ vsβ ⎥ 2 ⎢ sin(ϑ) sin(2θ) sin(3ϑ) sin(4ϑ) ⎥ ⎢ ⎥ ⎥⎢ ⎢ ⎦ ⎣ vDs ⎦ (26) ⎣ vsx ⎦ = 5 ⎣ sin(2ϑ) sin(4ϑ) sin(6ϑ) sin(8ϑ) vsy vEs 1 1 1 1
Modeling of Two Five-Phase Induction Machines
561
4 Experimental Results Two simulation environments were designed to analyze the operation of two five-phase induction machines powered by a single five-phase converter before and after a fault. The study of the fault condition taking into account phase opening ‘a’ at time t = 2.5 s. The model parameters of each machine used during the simulations are listed in Table 1. These machines have negligible mutuals between the phases and perfectly sinusoidal electromotive forces. Table 1. Machine parameters Parameters name
Parameters values
Stator resistance, Rs
6.3
Rotor resistance, Rr
10
Stator leakage inductance LlS
0.46 mH
Rotor leakage inductance Llr
0.46 mH
Mutual inductance, M
0.42 mH
Moment of Inertia Jm
0.01 kg.m2
Number of Poles, P
2
Rated Torque Tr
2 N.m
In the first test, the first machine start runs at a speed of 314 rad/s with a torque load applied at time (t1 = 1 s), followed by another torque applied at time (t2 = 5 s). However, the second machine runs concurrently with the first machine at 157 rad/s, with a torque load applied at time (t3 = 1.5 s), followed by another torque applied at time (t4 = 5.5 s). In the second test, the first machine start runs at a speed of 314 rad/s; then rotating in the opposite direction at a speed of (-) 314 rad/s at time (t3 = 3 s),with a torque load applied at time (t1 = 1 s), followed by another torque applied at time (t5 = 5 s). However, the second machine runs concurrently with the first machine at 157 rad/s; then rotating in the opposite direction at a speed of (-)157 rad/s at time (t4 = 3.5 s), with a torque load applied at time (t2 = 1.5 s), followed by another torque applied at time (t6 = 5.5 s) Figs. 11 and 12.
562
N. Mohamed et al.
The Figs. 3 and 4 presents the stator currents for first and second machines in degraded mode, these two figures confirm that the phase current “a“is zero when it is in default. The Figs. 5, 6, 7, 8, 9 and 10 show higher harmonics in degraded mode compared to the normal mode, In both normal and degraded modes; both machines are well controlled independently. 3
ias1 ibs1 ics1 ids1 ies1
currents M1 (A)
2 1 0 -1
ias2 ibs2 ics2 ids2 ies2
2 Currents M2 (A)
3
1 0 -1 -2
-2 -3 2.48
2.53
2.52
2.51 Times (s)
2.5
2.49
-3 2.48
2.54
2.5
2.52
2.54 Times
2.56
2.58
2.6
Fig. 3. Stator current of first machine with the Fig. 4. Stator current of second machine with phase “a” open the phase “a” open 330
Speed wr1 (rad/s)
Speed M1 (rad/s)
(c)
300
wref
250
wr1
200 150 100
wref wr1
(d)
325
350
320
Normal mode
Degraded mode
315 310
50 0 -50 0
1
2
3 Times (s)
4
5
305 1.5
6
Fig. 5. Mechanical speed of first machine with the phase “a” open
2
2.5
3 Times (s)
3.5
4
4.5
Fig. 6. Zoom of Mechanical speed 165 wref
200 Speed wr2 (rad/s)
wr2
Speed wr2 (rad/s)
150 wref wr2
100
50
160
Degraded mode
Normal mode
155
0
150 0
1
2
3 Times (s)
4
5
6
Fig. 7. Mechanical speed of second machine with the phase “a” open
2
2.2
2.4
2.6
2.8
3 Times (s)
3.2
3.4
3.6
Fig. 8. Zoom of Mechanical speed
3.8
4
Modeling of Two Five-Phase Induction Machines 12
563
20 iqs
Tr2
iys
15
8 currents (A)
Torque Tr1 and Tr2 (N.m)
Tr1 10
6 4
10
5
2 0
0 -2 0
1
2
3 Times (s)
4
5
-5 0
6
Fig. 9. Electromagnetic torque of first and second machine with the phase “a” open
1
2
3 Times (s)
4
5
Fig. 10. Curents of first and second machine with the phase “a” open 15
400
Tr1
wr1 wr2
Torques Tr1 and Tr2 (N.m)
Speed wr1 and wr2 (rad/s)
300 200 100 0 -100 -200 -300 -400 0
6
1
2
3 Times (s)
4
5
Fig. 11. Mechanical speed of the first and second machine with the phase “a” open
6
Tr2
10 5 0 -5 -10 -15 0
1
2
3 Times (s)
4
5
6
Fig. 12. Electromagnetic torque of first and second machine with the phase “a” open
5 Conclusion This paper investigates the mechanical and electrical behavior of a system with two five-phase machines connected in series; in the case of a single-phase fault (case of an open phase). It is mentioned that the series connection topology is a solution to reduce the number of transistors. Furthermore, this system can be less expensive regardless of operational constraints (higher copper losses). This work has allowed us to synthesize the effect of an open phase as a fault in the stator winding, Which in any case generates an increase in torque ripples, a decrease in speed, in torque and the mechanical efficiency.On the other hand, there is a strong correlation between electromagnetic torque, mechanical speed and efficiency, it is also found that betweenefficiency and the fundamental current there is a strong inverse correlation. By this work, it is strongly recommended to consider the operation in degraded mode for sizing a multi-machine system, with the aim of increasing the currents of healthy phases to compensate the degradation effect.
References 1. Wenping Cao, B.C., Mecrow, G.J., Atkinson, J.W.B., Atkinson, D.J.: Overview of electric motor technologies used for more electric aircraft (MEA). IEEE Trans. Ind. Electron. 59(9), 3523–3531 (2012) 2. Garcia, A., Cusido, J., Rosero, J.A., Ortega, J.A., Romeral, L.: Reliable electro-mechanical actuators in aircraft. IEEE Aerosp. Electron. Syst. Mag. 23(8), 19–25 (2008)
564
N. Mohamed et al.
3. Mavier, J.: Convertisseurs génériques à tolérance de panne Applications pour le domaine aéronautique. Thèse, INP Toulouse, Toulouse, France (2007) 4. Etayo, A.M., Bourdon, J., Prisse, L., Meynard, T., Piquet, H.: Optimization of parallelized power inverters using a direct modelling approach for more electrical aircraft. In: International Conference on Electrical Systems for Aircraft, Railway, Ship Propulsion and Road Vehicles (ESARS), Aachen, 2015, pp. 1–5 (2015) 5. Wang, Y., Lipo, T.A., Pan, D.: Robust operation of double-output AC machine drive. In: IEEE 8th International Conference on Power Electronics and ECCE Asia (ICPE & ECCE), Jeju, 2011, pp. 140–144 (2011) 6. Levi, E., Jones, M., Vukosavic, S.N., Toliyat, H.A.: A novel concept of a multiphase, multimotor vector controlled drive system supplied from a single voltage source inverter. IEEE Trans. Power Electron. 19(2), 320–335 (2004) 7. Semail, E., Levi, E., Bouscayrol, A., Kestelyn, X.: Multi-machine modelling of two series connected 5-phase synchronous machines: effect of harmonics on control. In: European Conference on Power Electronics and Application, Dresden, p. 10 (2005) 8. Nekkaz, M., Djahbar, A., Talab, R.: Modeling and control of two five-phase induction machines connected in series powered by matrix converter. Int. J. Power Electron. Drive Syst. (IJPEDS) 12(2), 685–694 (2021) 9. Levi, E., Iqbal, A., Vukosavic, S.N., Toliyat, H.A.: Modelling and control of a five-phase series-connected two-motor drive. In: Proceedings of IEEE Industrial Electronics Society, Annual Meeting IECON, Roanoke, Virginia, pp. 208–213 (2003) 10. Benali Youcef, M., Djahbar, A., Mazari B.: Modeling and control the set of matrix convertertwo five-phase wheel motors (2 pmsm) for driving an electric traction system. J. Elect. Eng. (JEE). 17, 7 (2018) 11. Meinguet, F., Nguyen, N.-K., Sandulescu, P, Kestelyn, X., Semail, E.: Fault-tolerant operation of an open-end winding five-phase PMSM drive with inverter faults. In: 39th Annual Conference of the IEEE Industrial Electronics Society, IECON 2013, Vienna, November 2013, pp. 5191–5196 (2013)
Influence of Geometric Parameters on the Performance of a Vortex Type Cooling Tower A. L. Deghal Cheridi(B) , A. Bouaam, A. Dadda, and A. Dahia Nuclear Research Center of Birine, Djelfa, Algeria [email protected]
Abstract. The exlpoitation of renewable energy is growing worldwide in several applications. The vortex motor is one of the new energy concepts that create artificial vortices in the airflow to increase the turbine rotational speed for the production of electrical energy. The objective of this work is the prediction of the behavior of a vortex tower model and the analysis of the characteristics of the air flow using Relap5 code, in addition, a parametric study is performed to find out the effect of inlet openings number on the performance of the tower. A model of the tower is developed and validated using numerical and experimental results disposable in the literature, likewise by an analytical calculation using the equations of mass conservation. Simulation results showed that this configuration of vortex tower is able to generate airflow with a maximum velocity of 5.5411 m/s at a height of 0.56 m from the base. Therefore, a turbine can be attached here to exploit the airflow maximum kinetic energy. Furthermore, the results also showed that a clear tendency of the maximum airflow velocity increases by 87% when the number of air inlet openings is varied from 1 to 8. Keywords: Renewable energy · Cooling tower · Vortex generation · Modeling and simulation · Relap5 · Parametric study · air velocity
1 Introduction Nowadays, the demand for energy has increased in many activities and the need for a reliable and cleaner source of energy has become a necessity [1]. For this reason, the search for new energy sources is increasingly in demand. Hence, exploration and utilization of renewable energy; provided by sun, wind, force of the water and the plants etc.; is developed around the world in several applications including power generation [2]. The Air Vortex Engine (AVE) is a new approach to meet our needs for sustainable and renewable energy from the forces of nature. It belongs to the family of solar towers, it is a combination of the two principles of solar chimney and tornadoes, and it seems to be a promising source [3]. The AVE contributes to increasing the energy efficiency of a power plant and this is done by heating the air via a heat source which can be waste heat from industries as well as thermal or nuclear power plants [4, 5]. The idea of AVE was proposed for the first time by Louis Michaud [6]. He indicated that hot © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 565–573, 2023. https://doi.org/10.1007/978-3-031-21216-1_58
566
A. L. Deghal Cheridi et al.
air in the atmosphere will work when transported to high altitudes under convection of air, and that part of the hot airflow will operate while cooling in the ascending process [7–9]. It becomes possible to simulate the behavior of energy processes like AVE using computer codes [10, 11]. So, main objective of this survey is to model and simulate the thermal hydraulic behavior of the air flow through a prototype of a vortex tower during its evacuation of the heat from a warm source towards the exit of the chimney by using Relap5 code, namely the analysis of the kinematic and thermal characteristics of the air along the tower. To know the appropriate turbine location, where the kinetic energy is maximum for high production of electrical energy, the maximum of air velocity is observed and identified. As well as, a parametric study was realized to identify the inlet openings number effect on the vortex tower performance.
2 Presentation of Vortex Tower The vortex tower (Fig. 1) is a mini dry vortex cooling tower located at the Nuclear Research Center of Birine (CRNB). It has 08 tangential air inlet openings using 08 convergence chambers in order to create the convective vortex artificially and a chimney. The tower base is flared using openings of concrete outwardly raised to assure stability of the tower and allow air to be aspired. The air is heated by the hot source via two ways, the first air passage in cylindrical form from the bottom to the top of the chimney; the second is performed by the 08 inlets localised on the peripheries of the tower base.
Velocity anemometer support
Chimney
Velocity anemometer Dawn zone
Hot source
Opening (1/8)
Cone
Fig. 1. Vortex tower design diagram.
3 Operating Principale The vortex tower working principle (Fig. 2) is mainly based on the thermal draft effect which is caused by the density differences between the interior and exterior construction.
Influence of Geometric Parameters on the Performance
567
The ambient air enters the tower via 8 openings situated at the lower part of the prototype crossing a hot source, the air is heated, it expands and begins to rise and move towards the chimney, and the less hot air occupies its place to be heated by the hot source thus the process continues. The heat transfer between the hot source and the air takes place by two ways: the first passage of air in the form cylindrical from the bottom to the top of the chimney following the guide cone and which acts as a dynamic chimney which guides the second part of the hot air which admitted tangentially through 8 bladed inlets located on the convergence chamber peripheries After that, the hot air penetrates with swirling flow in the chimney base and creates a vortex along thie chimney. The thermal energy transfer in the air takes place from a high temperature zone to a lower zone by means of convective vortices where mechanical energy is produced. HEAT
KINETIC ENERGY
MECHANICAL ENERGY
ELECTRICAL ENERGY
Fig. 2. Schematic diagram of the energy conversion process in the votex tower.
4 Modeling of Vortex Tower A. Approach used We give a description of the vortex tower nodalization using Relap5 in this section. Relap5 was designed to simulate the transient behavior of LWR systems under a large variety of supposed accident cases [12]. It is a highly generic code; it can be used for hydraulic and thermal simulation of nuclear and non-nuclear systems involving steam/water mixtures and non-condensable gases [13]. The Relap5 code is based on a non-homogeneous, non equilibrium, six-equation one-dimensional model for the two-phase system. The system model is solved numerically with the finite difference technique. The code includes several component models, which general systems can be simulated. The various actions such as the opening and closing of the valves are actuated by the use of logic variables. The approach utilised to model the tower is to subdivide it into control volumes connected by junctions. [13] (Fig. 3). The eight air inlets openings are modeled by eight “Single-Vol” components: 501–508 connected respectively to the “Time-Dependent-Vol”: 401–408 to impose the atmospheric conditions. The first air collector or the heat transfer chamber is modeled by the “Brunch” component 100, and the second collector (vortex chamber) is modeled by the “Brunch” component 200. We used the “Single-Vol” components: 601–608 to model the vanes and they are connected to the Brunch 200 by the “Check valve” components 201–208 to prevent back air. The “Pipe” component 300 is used to model the chimney and it is connected to the component 500 (Time-Dependent-Vol) to impose the atmospheric conditions at the chimney exite. The “Brunch” component 700 is used to modelate the water tank (heat source). The thermal behavior of the metal structures that make up the tower namely the heat transfer between air and water, was simulated by heat structures [12, 14] connected to Branch components 700 and 100.
568
A. L. Deghal Cheridi et al.
Fig. 3. Vortex tower nodalization.
B. Initial and boundary conditions For an excitation of the phenomenon of natural circulation, an initial condition of air velocity at the entrance of 0.1 m/s was imposed (Table 1). The ambient temperature at 25 °C and the pressure at atmospheric pressure were also predefined at the model inlet. To suppose that there is no heat transfer from tower walls to air, adiabatic boundary conditions are used on the walls; except the water tank where the warm water transfers its heat to the air through its walls. The temperature of the water in the hot source was imposed to 60 °C.
Table 1. Bondary conditions used. Parameters
Value
Air inlet velocity: (Vin) 0.1 m/s Hot source temperature: 60 °C (TRe) Air temperature at the inlet: (Tin)
25 °C
Air inlet pressure: (pin) atmospheric pressure, bar
C. Model validation A vortex tower model is established. In order to qualify the developed model, the Relap 5 numerical results are compared with the experimental and numerical data available in the literature in terms of velocity quantities from the references M.R.
Influence of Geometric Parameters on the Performance
569
Ahmed & al [15], R. Balijepali & al [16] and Pritam Das & al [3]; thus, with an analytical calculation using the steady-state mass balance for the airflow through the tower [17]. As presented in Table 2 and Fig. 4, the comparison shows that the present results showed a good agreement with the results fond in the literature.
Table 2. Validation of elaborated tower model. Reference
M.R.Ahmed & al. [15]
R.Balijepali & al. [16]
Pritam Das & al. [3]
Deghal et al. [17]
Relap5
Velocity max (m/s)
4.8
5.5
4.5
7.5
5.5411
9 8
[7 ,5 m/s ]
Velocity (m/s)
7 6 5
[5, 5 ms] [4,8 m/s]
[5,5 411 m/s] [4,5 m/s]
4 3 2 1 0
[15]
[16]
[3]
Relap5
[17]
Fig. 4. Comparison of our result with the results of Ref. [3, 15, 16] and [17].
5 Results And Discussion A. Flow parameters analysis Table 3 gives the flow parameters at the steady-state operating conditions of some parameters such as air velocity and temperature. Figure 5 shows the qualitative and quantitative air velocity evolution inside the vortex tower at different location such as 0.27 m at the entrance of the tower, 0.560 m at the entrance of the vortex creation chamber, 0.863 m at the chimney entrance, 1.62, 2.38, 3.14, 3.90, 4.66, 5.42 6.18, 6.94 7.70 and 8.46 m along and at the chimney exit. The results indicate that this tower is able to generate an airflow, the air velocity increases slowly from the inlet of the tower after suddenly rises to 5.5411 m/s at a height of 0.560 m between the chamber of heat transfer and that creating the vortex. The air passage from the tower base to the chimney narrows, where there is a significant increase in air velocity followed by a gradual decrease towards the exit of the chimney. This change is
570
A. L. Deghal Cheridi et al.
cuased by the kinetic energy transformation into mechanical energy. The velocity at the outlet of the vortex creation chamber and at the chimney exit equal respectively at 1.039 and 0.95 m/s. The increase in air velocity in the tower is due to the pressure gradient created due to the suction and the reduction in density of air due to the increase in air temperature by the effect of heat transfer between the air and the hot source. We also note that there is a velocity ratio of 55 times between the inlet velocity and the maximum velocity (5.5411 m/s) obtained at the height of 0.560 m. Hence, this height is the most appropriate place to install a turbine in order to extract the maximum kinetic energy from the flow.
10 9
Z= 8,463 m
8 7
Z (m)
6 5 4
Z = 0,863 m
3 2
Z= 0,5 60 m
1 0 -1 0
1
2
3
4
5
6
7
8
9
10
11
12
Vitesse (m/s)
Fig. 5. Velocity variation along the tower.
Table 3. Relap5 steady state results. Parameters
Units
Value
Chimney inlet temperature
°C
25.390
Chimney outlet temperature
°C
25.385
Narrowing temperature
°C
25.394
Tank temperature
°C
35.649
Tower inlet velocity
m/s
0.1982
Velocity at narrowing
m/s
5.5411
Chimney inlet velocity
m/s
1.0398
Chimney outlet velocity
m/s
0.9569
Influence of Geometric Parameters on the Performance
571
B. Air inlet opening number influence on air velocity We give in this section the influence of the inlet openings number on the airflow kinematic behavior inside the vortex tower prototype. Table 4 summarizes the data of the various parameters used in the cases considered in this study thus the operating conditions.
Table 4. Data of parametric study. Parameters case studied
T (°C)
D (m)
Vin (m/s)
Nb_in
Effect of inlet opening number (Nb)
25
0.720
0.1
1, 2, 3, 4, 5, 6, 7, 8
The influence of the inlet openings number is analyzed by estimating flow parameters such as air velocity at different positions along the tower. The boundary conditions and dimensions of the tower keep constant except the air inlet openings number which varies from 1 to 8. Figure 6 shows the air velocity variation at different locations of the tower. We take note that the air velocity maximum value is different from one case to another but it is located at the same position at z = 0.560 m, thus, it rises linearly with the increase in the air inlet openings number. For the number of entries nb = 1, nb = 2, nb = 3, nb = 4, nb = 5, nb = 6, nb = 7 and nb = 8, the maximum velocities are respectively equal to 0.70344 m/ s, 1.4049 m/s, 2.1074 m/s, 2.8044 m/s, 3.4872 m/s, 4.1733 m/s, 4.8583 m/s, and 5.5411 m/s. The results indicate that the air inlets number to the vortex tower has an effect on the air velocity inside the domain. Indeed, the velocity increases with the increase in the number of entrances at different heights. 9 6,0 Nb_in=1
8
Nb_in=4
4,5
Nb_in=5
4,0
Velocity (m/s)
Z (m)
5,0
Nb_in=3
6
Nb_in=6
5
Nb_in=7
4
z= 0,56m
5,5
Nb_in=2
7
Nb_in=8
3
3,5 3,0 2,5 2,0 1,5
2
1,0
1
0,5
0
0,0
0
1
2
3
4
5
6
7
Velocity (m/s)
a. Velocity along the tower
8
9
0
1
2
3
4
5
6
7
8
9
Nb_in
b. Maximum velocity evolution
Fig. 6. Effect of air inlet opening number on air velocity at different locations in the vortex tower.
572
A. L. Deghal Cheridi et al.
6 Conclusion In this study, the thermal-hydraulic parameters analysis of the airflow through a prototype of a vortex tower using Relap5 system code in steady state has been presented. The vortex tower model developed by the code has been established and validated by numerical and experimental results found in the literature from the references [3, 15, 16, 17], a good agreement was obtained. The simulation is carried out and flow parameters like temperature and velocity were estimated and analyzed at various locations in the tower. Since the objective of the vortex tower is to define the maximum of velocity reached in order to know the most appropriate position of a turbine fixation in order to capture more energy, the results simulation analysis showed that this vortex tower is able to generate airflow with large air velocity where there is a 55 ratio times between the tower inlet velocity (0.1 m/s) and the maximum velocity of 5.5411 m/s reached at the height of 0.560 m from the base which is located between the heat transfer chamber and that of vortex creation. Therefore, this place of the vortex tower allows a good transformation of kinetic energy into mechanical energy for the purposes of electrical energy production. Moreover, a parametric study on the impact of the inlet openings number on the vortex tower kinematic behavior was carried out. The results showed that this parameter has a significant and direct impact on the air velocity of the tower, where the maximum of air velocity is considerably improved with the increase of the air inlet openings number, when it changes from 1 to 8, the air velocity rises from 0.7034 to 5.5411 m/s, which represents an increase of 87%. In addition, it was found that in the cases considered, the position of the maximum air velocity is located at the same place at 0.56 m between the chamber of heat transfer and the vortex creation chamber. Therfore; it is the best position for capturing the maximum of kinetic energy.
References 1. Michaud, L.M.: On the energy and control of atmospheric vortices. J. Recherches Atmospheriques (1977) 2. Dhahri, A., Omri, A., Orfi, J.: Numerical Study of a solar chimney power plant. Res. J. Appl. Sci. Eng. Technol. 8(8), 1953–1965 (2014) 3. Das, P., Chandramohan, V.P.: Estimation of flow parameters and power potential of solar vortex engine by varying its geometrical configuration: a numerical study. Energy Convers. Manage. 223, 113272 (2020) 4. Michaud, L.M.: The Atmospheric Vortex Engine. AVEtec Energy Corporation (2008) 5. Church, C.R., Snow, J.T., Baker, G.L., Agee, E.M.: Characteristics of tornado like vortices as a function of swirl ratio. A laboratory investigation. J. Atmos. Sci. (1979) 6. Michaud, L.: Proposal for the use of a controlled tornado-like vortex to capture the mechanical energy produced in the atmosphere from solar energy. Bull. Am. Meteorol. Soc. 56, 530–534 (1975) 7. Zuo, L., et al.: A vortex-type solar updraft power desalination integrated system. Energy Convers. Manage. 222, 113216 (2020) 8. Michaud, L.: Thermodynamic cycle of the atmospheric upward heat convection process. Meteor. Atmos. Phys. 72, 29–46 (2000) 9. Michaud, L.: Heat to work convection during upward heat convection Part I: Carnot engine method. Atmos. Res. 39, 157–178 (1995)
Influence of Geometric Parameters on the Performance
573
10. Juslin, K.: A Companion Model Approach to Modelling and Simulation of Industrial Processes. Ph.D. thesis, Helsinki University of Technology, Finland (2005) 11. DeghalCheridi, A.L., Loubar, A., Dadda, A., Bouam, A.: Modeling and simulation of a natural circulation water-tube steam boiler. SN Appl. Sci. 1(11), 1–15 (2019). https://doi.org/10.1007/ s42452-019-1452-x 12. RELAP5/Mo3.2 code manual volume: code structure, system models, and solution methods (1998) 13. IAEA-TECDOC-1395: Inter comparison and validation of computer codes for thermalhydraulic safety analysis of heavy water reactors (2004) 14. RELAP5/MOD3.2 code manual volume: User’s guidelines (1998) 15. Ahmed, M.R., Pate, S.K., Computational and experimental studies on solar chimney power plants for power generation in pacific island countries. Energy Convers. Manage.149, 61–78 (2017) 16. Balijepali, R., Chandramohan, V.P., Kirankumar, K.: Development of a small scale plant for a solar chimney power plant: a detailed fabrication procedure, experiments and performance parameters. Renew. Energy (2019). https://doi.org/10.1016/j.renene.2019.12.001 17. Deghal Cheridi, A.L., et al.: Etude numérique et analytique d’une tour de refroidissement type vortex. In: 1ere Conférence Internationale d’Electronique et Technologies Modernes CIEM 2022, Souk Ahras, Algérie (2022)
PEM Fuel Cell Emulator Based on a Buck Converter S. Gahgouhi1 , A. Hadjaissa2(B) , K. Ameur2 , A. Rabhi3 , and M. Kious1 1 LSCFM Laboratory, Amar Telidji University, BP 37G, Ghardaia Road, 03000 Laghouat,
Algeria 2 LACoSERE Laboratory, Amar Telidji University, BP 37G, Ghardaia Road, 03000 Laghouat,
Algeria [email protected] 3 MIS Laboratory, University of Picardie Jul Verne, 33 Rue Saint Leu, 80039 Amiens Cedex1, France
Abstract. This paper presents a fuel cell emulator based on a DC/DC converter. The emulator is designed to behave exactly as proton exchange membrane fuel cell (PEMFC) based on its mathematical model and experimental data. The fuel cell emulator (FC emulator) is composed of a DC/DC buck converter that is controlled by a conventional PI controller. To test our emulator, the converter is connected to a variable DC load. The scenario of load is chosen to be hard and have abrupt changes to show the performance of the emulator. The simulation results show the performance of the control on the DC/DC buck converter in terms of response time, and following the reference voltage of the mathematical model of the fuel cell. Keywords: Fuel cell · PEMFC · Fuel cell emulator · Buck converter · PI control
1 Introduction The fuel cell is a powerful and high-quality source of energy that is currently regarded as a promising green energy source [1]. As an electrochemical device, the fuel cells convert the chemical energy in a fuel directly to electrical energy, producing heat and water [2]. it does exist a lot of fuel cells types and among the various types, the Proton Exchange Membrane PEMFC is advantageous for portable power and transportation applications due to its high performance, short start-up times, low operating temperature and emission [3, 4]. In the fuel cell, the movement of hydrogen gas through an anode and oxygen via a cathode provides electrochemical energy. In normal operation, a typical fuel cell produces 0.5–0.9 V. By connecting several cells in series forms a stack capable of supplying hundreds of kilowatts [5]. Fuel cell power production is influenced by various parameters, including hydrogen gas, stack temperature, membrane humidity, and oxygen supply, which all have a direct impact on the fuel cell’s life and efficiency. For that fuel cell emulators are necessary in research since they allow researchers to safely evaluate the performance of power stages © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 574–583, 2023. https://doi.org/10.1007/978-3-031-21216-1_59
PEM Fuel Cell Emulator Based on a Buck Converter
575
such as inverters and DC/DC converters while examining different control strategies, the crucial testing that would put the equipment at risk, etc. [6]. In this paper the fuel cell emulator uses a general mathematical model to predict the performances of the fuel cell. Many proposed emulators could be found in the literature as illustrated briefly in [7], using different ways to reproduce the fuel cell mathematical model. In this work a DC/DC buck converter used to reproduce the behavior of the fuel cell with a control system based on a classical technique of control (conventional PI controller).
2 Fuel Cell Modeling The PEM fuel cell’s electrochemical action begins at the anode surface. The anode catalyst breaks hydrogen on protons (H+ ion), which flow through the membrane to the cathode, and electrons (e− ) move to the cathode via an external electrical connection. The hydrogen protons H+ and electrons e− , as well as oxygen O2 , mix at the cathode catalyst to generate water and heat. The following equations can be used to represent the mentioned reactions [8]: H2 ⇒ 2H + + 2e− (Anode)
(1)
1 O2 + 2H + + 2e− ⇒ H2 O(Cathode) 2
(2)
The amount of chemical energy produced in these processes is determined by the hydrogen/oxygen pressure and the temperature of the fuel cell. The open voltage of a fuel cell can be defined as follows [8]: E=−
gf 2F
(3)
where where gf0 is the change in gibbs free energy at standard pressure, F is Faraday’s constant (96.487 C). The true cell voltage can be obtained using: VCell = ENernst − VAct − VOhmic − VCon
(4)
where ENernst is the cell reversible voltage, VAct and VCon are the losses due to the activation and concentration polarization respectively, VOhmic is the ohmic polarization [9]. A. Reversible Voltage The potential produced by an open circuit thermodynamic equilibrium is the cell reversible voltage (ENernst ). This latter is calculated using an updated version of the Nernst equation taking into account temperature variation [5]. 1 −3 −5 ENernst = 1.229 + 0.85.10 (T − 298.15) + 4.31.10 .T ln(PH2 ) + ln(PO2 ) 2 (5)
576
S. Gahgouhi et al.
where, PH2/PO2 the partial pressures(atm) of hydrogen and oxygen respectively. T is the fuel cell operation temperature (K). The real cell voltage is defined as the maximum voltage and the various voltage losses, as illustrated in Eq. (4). Every losse is associated with a voltage drop and has an impact in different current density zones. Figure 1 shows the various zones and the correlated polarization effects [10]. B. Activation Losses The activation losses or activation overvoltage is caused by the slowing of the processes on the electrodes area. The following formula in [5] was used to represent the activation polarization losses. VAct = −[ξ 1 + ξ 2 + ξ 3.T .ln(CO2 ) + ξ 4.T .ln(ifc )]
(6)
where T represents the operating temperature (K), ifc defines the cell operating current, ξi represents a parametric coefficient, and CO2 is the concentration of oxygen. C. Ohmic Losses The passage of electrons via the electrodes and the various interconnections, as well as the movement of ions via the electrolyte, cause this voltage drop. This drop in voltage is directly proportional to the current density [11]. Using Ohm’s law to express this voltage drop as follow: VOhmic = ifc .ROhmic
(7)
VOhmic = ifc (Rm + Rc )
(8)
where ROhmic is the internal resistance, Rm represent the resistance of the flow of ions through the electrolyte and Rc represent the resistance of the electrons transfer through the electrodes and the interconnections. ρM .l (9) Rm = A where ρ is the membrane specific resistivity (.cm), l is the membrane thickness(cm), A is the active surface (cm2 ). The Ohmic voltage drop is represented in numerous formulas in the literature utilizing experimentally derived parameters and material parametric coefficients. The nafion membrane is commonly utilized in PEM fuel cells, and the empirical equation defining the Nafion membrane resistivity is [5]: t 2 ifc 2.5 i ] 181.6.[1 + 0.03. Afc + 0.062. 303 A (10) ρM = i ] [ψ − 0.634 − 3. Afc ].exp[4.18. T − 303 T 181.6 where ψ−0.634 , is the specific resistivity (.cm) when current equal to zero and 30 °C and the exponential term is the temperature correction factor if 30 °C is not the cell temperature.
D. Concentration Losses The concentration voltage drop has an effect on hydrogen and oxygen concentrations
PEM Fuel Cell Emulator Based on a Buck Converter
577
[11]. The partial pressures of hydrogen and oxygen are reduced as a result. The electrical current and system characteristics influence the decreasing of oxygen and hydrogen pressures. The concentration voltage drop is described by the following formula [5]. J VCon = −B.ln 1 − (11) Jmax where B(V) is a parametric coefficient, J is the actual density of current (A/cm2 ). The voltage of the fuel cell stack obtained by the connected of the n cells in series. VStack = ncell .Vcell
(12)
3 Dynamic Model of the Fuel Cell A charge builds on the surfaces of an electrode and a proton exchange membrane when they come into contact, a phenomenon known as the “charge double layer”. This phenomenon is essential to show the dynamic behavior of the fuel cell. On the membrane’s surface, protons are gathered, while electrons are collected on the cathode side. The charge double layer therefore has a feature comparable to that of an electrical capacitor. There will be a time delay for the charge to decrease or rise when the voltage is suddenly changed, which will affect the activation and concentration voltage polarization. As a result, the cell voltage gets a dynamic response relating to the time delay of the activation and concentration voltage drops. In order to determine the dynamic voltage output, the following equations can be employed [13]. i Vd dV = − dt C Rd C Rd =
V Act + V Con i
(13) (14)
where, C is the equivalent capacity (F), Rd represent the equivalent resistance ().
4 Fuel Cell Simulation The SR-12 modular PEM Generator data was used for fuel cell modeling. The main purpose of modeling this modular is to obtain a suitable fuel cell characteristic to be used in the fuel cell emulator. The data provided by Avista laboratories [5].
578
S. Gahgouhi et al.
Figure 1 shows the voltage vs current of the PEM fuel cell stack model simulated using MATLAB Simulink based on Eqs. (4, 7, 8, 12, 14) and values in Table 1. The voltage decreases first due to the activation polarization, by the development of current, the voltage continues to drop linearly due to the homic polarization. Finally, with the continuous growth of the current the voltage drops rapidly due to the concentration polarization.
Fig. 1. Fuel cell polarization curve at T = 323(K). Table 1. SR-12 modular PEM Generator parameters [5]. Parameters
Value
n
48
T
323(K)
A
62.5 cm2
Thicknes (l)
25 μm
PH2
1.47268 atm
PO2
0.2095 atm
B
0.15
RC
0.003
ξ1
−0.948
ξ2
0.00286 + 0.002.ln(A) + 4.3.10–5 .ln(CH2)
ξ3
7.22.10–5
ξ4
−1.0615.10–4
ψ
23
Jmax
672 mA/cm2
Jn
22 mA/cm2
Imax
42 A
PEM Fuel Cell Emulator Based on a Buck Converter
579
5 Fuel Cell Emulator In this work the objective of the emulator is to produce the electrical behavior of the fuel cell model. The emulation of the fuel cell model is done through a DC/DC converter that provide the characteristic (voltage and power) defined by the fuel cell model. The Fig. 2 shows the PEM fuel cell emulator’s design.
Fig. 2. PEM fuel cell stack emulator scheme.
It is necessary to have a precise output voltage regulation. As a reason, a buck converter is a good choice and voltage mode regulation is simple since it avoids the mode of discontinuous A. The DC/DC Buck Converter Design The fuel cell stack’s rated output power is 500 W. The converter was designed with the following requirements: The input voltage Vin = 42 V, the switching frequency f = 10 kHz (Fig. 3).
Fig. 3. Buck converter electrical scheme.
1. Mathematical model d=
ton ton = T ton + toff
1 dIL = [(Vin − VC )d + (−VC )(1 − d)] dt L
(15) (16)
580
S. Gahgouhi et al.
dIL Vin d = −VC + dt L 1 VC VC dVC = [ IL − d + (IL − )(1 − d )] dt C R R dVC IL VC = − dt C RC
(17) (18) (19)
The output filter of the DC/DC converter design is done based on the continuous conduction mode (CCM). The switching frequency, the input voltage Vin , and the minimum current Imin of the converter are required to calculate the output filter (L, C) values, Table 2 [15]. L≥
10−4 .42 TPWM .Vin = 0.525mH = 8Imin 8.1
(20)
The inductor value chosen for the simulation: L = 800 μH C≥
2 VOmax TPWM 41.7.(0.0002)2 = 2600μF = 8LVmax 8.(0.8).10−3 .(0.1)
(21)
B. Fuel Cell Emulator Control The control technique proposed in this paper is based on a conventional PI controller. According to Fig. 4, the PI controller uses the error between the voltage reference (Vfc_ref ) and the measured output voltage (VO ) provided by the (L, C) filter to generate a duty cycle signal that is converted to a PWM command to obtain the appropriate switching pattern for the DC-DC converter switch.
Fig. 4. Control system (FLC-PI) proposed topology.
1. PI Controller The PI controller parameters Kp and Ki are found using the Ziegler-Nichols method, with adjustment to achieve the required output voltage. The defined parameters are shown in Table 2. Transfer function of the buck converter: V in V C (p) = α(p) rC p + LC p2 + 1 where α is the duty cycle, r is the inductor resistance.
(22)
PEM Fuel Cell Emulator Based on a Buck Converter
581
Table 2. Kp, Ki values of the PI controller. Parameters
Value
Kp
3.5
Ki
2.5
6 Results and Discussions As shown in Fig. 5, the emulator produces the characteristics V-I of the fuel cell stack model. The current load scenario in Fig. 6 is chosen to have abrupt changes to evaluate the system performances. The PEMFC stack model generates the voltage signal that corresponds to the current load. The controller then uses the error signal, resulting from the difference between the Vfc_ref and VO , to emulate the power output characteristics of the PEMFC model on the DC/DC buck converter output.
Fig. 5. Fuel cell characteristics (mathematical model and emulated characteristics).
Fig. 6. The current load profile.
Figure 7 shows the PEMFC stack voltage and the converter output voltage. With an average error of 0.05 V. it can be seen in Fig. 7, that the converter output voltage follows the reference signal (PEMFC stack voltage).
582
S. Gahgouhi et al.
Fig. 7. PEMFC model and DCDC buck converter responses.
The response time when the buck converter output voltage matches the PEMFC stack voltage is approximately 0.006 s. The dynamic of the system is shown in Fig. 8, after a sudden change in voltage due to a rapid load current change at t = 0.125 s. After 0.006 s, the converter output voltage achieves the PEMFC stack voltage, with a maximum overshoot of 2.1 V.
Fig. 8. Dynamic response of the DCDC converter output voltage.
7 Conclusion The fuel cell emulator is a solution to replace a real fuel cell by a hardware system that can effectively emulate its behavior. In this paper, a simulation work has proposed and done for a feasible and reliable design based on PEM fuel cell modules and a buck converter. According to the simulation results, the PI controller shows a good performances and robustness allowing the PEMFC emulator based on DC DC buck converter to behave as the PEMFC stack response with a very good accuracy.
References 1. Xin, K., Khambadkone, A.M.: Dynamic modelling of fuel cell with power electronic, current and performance analysis. In: The Fifth International Conference on IEEE Transactions on
PEM Fuel Cell Emulator Based on a Buck Converter
2.
3.
4.
5.
6.
7.
8. 9. 10.
11. 12.
13.
14.
15.
583
Power Electronics and Drive Systems, PEDS 2003, 17–20 November 2003, vol. 1, pp.607–612 (2003) Motapon, S.N., Tremblay, O., Dessaint, L.A.: Development of a generic fuel cell model: application to a fuel cell vehicle simulation. Int. J. Power Electron. 4(6), 505 (2012). https:// doi.org/10.1504/ijpelec.2012.052427 Badduri, S.R., NagaSrinivasulu, G., SrinivasaRao, S.: Experimental analysis of PEM fuel cell performance using lung channel design bipolar plate. Int. J. Green Energy (2019). https://doi. org/10.1080/15435075.2019.1677238 De Beer, C., Barendse, P., Khan, A.: Development of an HT PEM fuel cell emulator using a multiphase interleaved DC–DC converter topology. IEEE Trans. Power Electron. 28(3), 1120–1131 (2013). https://doi.org/10.1109/tpel.2012.2208481 Correa, J.M., Farret, F.A., Canha, L.N., Simoes, M.G.: An electrochemical-based fuel cell model suitable for electrical engineering automation approach. IEEE Trans. Industr. Electron. 51(5), 1103–1112 (2004). https://doi.org/10.1109/tie.2004.834972 Restrepo, C., RamosPaja, C.A., Giral, R., Calvente, J., Romero, A.: Fuel cell emulator for oxygen excess ratio estimation on power electronics applications. Comput. Electr. Eng. 38(4), 926–937 (2012). https://doi.org/10.1016/j.compeleceng.2012.02.012 Fei, G., Blunier, B., Bouquain, D., Miraoui, A., El Moudni, A.: Polymer electrolyte fuel cell stack emulator for automotive hardware-in-the-loop applications. In: 2009 IEEE Vehicle Power and Propulsion Conference (2009). https://doi.org/10.1109/vppc.2009.5289739 Petrinic, M., Jakopovic, Z.: Modeling and simulation of PEM fuel cell – power converter system (2018). https://bib.irb.hr/datoteka/308658.MIPRO2007˙Petrinic.pdf Kroot, A.: Process modeling of an automotive PEM fuel cell system. Int. J. Green Energy 16(10), 778–788 (2019). https://doi.org/10.1080/15435075.2019.1641105 Rezzak, D., Khoucha, F., Benbouzid, M., Kheloui, A., Mamoune, A.: A DC-DC converterbased PEM fuel cell system emulator. In: 2011 International Conference on Power Engineering, Energy and Electrical Drives (2011). https://doi.org/10.1109/powereng.2011.603 6469 Larminie, J., Dicks, A.: Fuel Cell Systems Explained, 2nd edn. Wiley, New York (2003) Moreira, M.V., da Silva, G.E.: A practical model for evaluating the performance of proton exchange membrane fuel cells. Renew. Energy 34(7), 1734–1741 (2009). https://doi.org/10. 1016/j.renene.2009.01.002 Qi, Y., et al.: Dynamic modelling and controlling strategy of polymer electrolyte fuel cells. Int. J. Hydrogen Energy. 45, 29718–29728 (2020). https://doi.org/10.1016/j.ijhydene.2019. 09.178 Benyahia, N., Rekioua, T., Benamrouche, N., Bousbaine, A.: Fuel cell emulator for supercapacitor energy storage applications. Elect. Power Comp. Syst. 41(6), 569–585 (2013). https:// doi.org/10.1080/15325008.2012.755234 Marsala, G., Pucci, M., Vitale, G., Cirrincione, M., Miraoui, A.: A prototype of a fuel cell PEM emulator based on a buck converter. Appl. Energy 86(10), 2192–2203 (2009). https:// doi.org/10.1016/j.apenergy.2008.12.028
Parameters Estimation Methods of Thin-Film Solar Module Using Numerical Algorithms and Artificial Neural Networks B. Benabdelkrim1,2(B) , A. Benatiallah2 , and T. Ghaitaoui3 1 Department of Material Sciences, Institute of Science and Technology, University Ahmed
Draia, Adrar, Algeria [email protected] 2 Laboratory of Energy, Environment and Systems of Information (LEESI), University Ahmed Draia, Adrar, Algeria 3 Laboratoire de Développement Durable et d’information (LDDI), Faculty of Sciences and Technology, Ahmed DRAIA University, Adrar, Algeria
Abstract. The current–voltage (I–V) characteristic of a photovoltaic (PV) cell/module, which is dependent on its circuit model parameters, can be used to predict its behavior. Whilst, the extraction of appropriate circuit model parameters is crucial to carry out precise performance investigations and control studies on solar PV systems. This paper displays a relative investigation of parameter estimation strategies dependent on the maker’s information sheets for different photovoltaic (PV) module innovations. In this study, three models of parameter estimation are used, based on T. Esram, Villalva algorithms and artificial neural networks model. The main objective of this paper is to determine the optimal parameter values—under standard test conditions (STC) for thin films technology photovoltaic module— which are: reverse saturation current, photocurrent, ideality factor, series resistance and shunt resistance. Keywords: PV Modules · Numerical algorithms · Artificial Neural Networks Model · Performance I–V Curves · Parameter Extraction
1 Introduction To measure a PV system’s performance under different operating conditions, a mathematical model of the system requires a set of lumped circuit parameters of its PV modules. Unfortunately, PV module manufacturers do not always offer these characteristics directly or completely. As a result, numerous various parameter extraction approaches have been developed and tested in the literature [1–4], with varying degrees of complexity and accuracy. Numerical or analytical methods are used to classify these methods. In most cases, numerical approaches generate a set of equations that can be solved using numerical or iterative algorithms [5, 6]. Single diode model is the simplest as it has a current source in parallel to a diode. This model is upgraded by the inclusion of one series resistance, Rs. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 584–593, 2023. https://doi.org/10.1007/978-3-031-21216-1_60
Parameters Estimation Methods of Thin-Film Solar Module
585
The algorithm used to extract the parameter of solar PV models has been compared by some studies. For example, Appelbaum and Peled [7], extracted the parameters of the single-diode solar cell model using experimental I–V characteristics of Si and Multijunction solar cells. The data was extracted using three different optimization approaches (Newton– Raphson method, Levenberg–Marquardt algorithm, and Genetic algorithm), with the aim of finding which method outperformed the others in terms of data–model fitting. Their findings revealed that the Newton–Raphson approach is the best for extracting parameters. in another study, Ciulla et al. [8] examined the I–V and P–V curves for a generic PV panel at varied temperatures and irradiance for five different algorithm models. On the other hand, In the absence of a direct mathematical equation between environmental circumstances and electrical parameters, the artificial neural network (ANN) appears to be a suitable way for modeling this implicit nonlinear relationship. The ability of this technology to forecast the outcome of data exploitation is one of its distinguishing features. As a result, the information is carried by weights, which indicate the values of connections between neurons. The ANN’s functioning necessitates the use of a learning algorithm to ensure that the error generated at the network output is minimized. Multilayer Perceptrons (MLP) are the most extensively utilized type of ANN in literature [9, 10], despite being one of the oldest networks. This present study tends to contribute also along this direction by including comparison of parameter extraction models. This study therefore evaluates the performances of tow extraction methods based on T. Estram, Villalva algorithms in addition, we used a second modeling approach based on artificial intelligence, namely artificial neural networks (ANN), to generate the curve I(V) under STC condition. The ANN model that reproduced the behavior of an amorphous silicon PV module (QS-60DGF) in STC was developed in the second phase of this work.
2 PV Module Models A. Villalva Algorithm An electrical circuit with a single diode (Fig. 1) is considered as the equivalent photovoltaic cell in the present article.
Fig. 1. PV-cell equivalent-circuit models: single-diode model.
586
B. Benabdelkrim et al.
This model’s output current equation for the I-V characteristic is as follows: V + IRs V + IRs −1 − I = IpV − Is exp nVT Rsh
(1)
where Ipv Photocurrent Is Cell saturation current Rsh Shunt resistance Rs Series resistance VT the thermal voltage (VT = Ns.k.T/q) Ns Number of cells in series n Ideal factor of the PV diode q Electron charge (1.60281 × 10–19 C) k Boltzmann’s constant = 1.38066 × 10–23 J/K T Cell operating temperature The five-parameter model is an implicit non-linear equation, as shown in Eq. (1), which can be solved using a numerical iterative method such as the Newton Raphson method [11]. However, to achieve convergence, this necessitates a close approximation of initial parameter values. on the other hand, can be used the analytical approaches to extract the parameters. Some of the analytical approaches have been investigated previously [11, 12]. The five parameters Ipv , Is , Rs , Rsh , and m are calculated at a particular temperature and solar-irradiance level from the limiting conditions of Voc , Isc , Vmp , Imp and using the following definitions of Rso and Rsho : dV Rs0 = − (2) dI V =Voc dV Rsh0 = − (3) dI I =Isc The slopes at the open-circuit and short-circuit points are Rs0 and Rsh0, respectively. The values of these resistances are rarely disclosed by module manufacturers. The five parameters necessary are calculated using the equations below. Rs Isc Rs + Is exp −1 (4) IPV = ISC 1 + Rsh nVT Voc Voc Is = ISC − .exp − (5) Rsh nVT The diode ideality factor (n) can be set to any value you like. Many publications talk about how to estimate the correct value of this constant. There are various viewpoints on the best technique to choose (n), as stated in [13]. Because (n) is purely empirical
Parameters Estimation Methods of Thin-Film Solar Module
587
and indicates the degree of ideality of the diode, any beginning value of (n) can be used to update the model. The iterative algorithms are used to compute the Rs and Rsh resistances. Making the maximum power calculated by the I–V model equal to the maximum experimental power from the datasheet (P max,m = Pmax,e) at the (V m; Im) point yields the relationship between Rs and Rsh. Rs must be gradually increased in the iterative process, starting at Rs = 0, and the value of Rsh must be determined simultaneously for each iteration: V + I .R
mp Vmp + Imp .Rs mp S −1 − = Pmax,e Pmax,m = Vmp IPV − Is exp n.VT Rsh (6) VT Voc (7) .exp − Rs = Rs0 − Is nVT Rsh = IPV
Vmp + Imp .RS
V +I RS − 1 − − Is exp mpn.Vmp T
Pmax,e Vmp
(8)
The initial condition for the shunt resistance Rsh can be found when considering the initial value of Rs = 0 [13] Rs0 = 0, Rsh0 =
Vmp V0c,STC − Vmp − Isc,STC − Imp Imp
(9)
The series resistance must be gradually increased starting from a null value in the proposed iterative procedure. Finding the curve for many values of series and shunt resistances is required to adjust the I-V curve to fit the cell reference condition. Because of its ability to overcome undesirable behaviors, the Newton–Raphson approach was included in the suggested iterative method [14]. B. ESRAM Algorithm The variant of this technique has been implemented by Esram [15]. This method is based on the assumption that the series and shunt resistances determine the slope of the I–V curve at Voc and Isc, respectively, therefore Rs0 and Rsh0 can be estimated as Rs and Rsh, respectively. Esram simplified things even more by assuming Ipv is the short equivalent of the circuit current in Eq. (10), Isc = IPV
(10)
and also that can be obtained from Eq. (11) Is =
Ipv − VRoc
sh Voc exp nVT − 1
(11)
Subsequently Rs , Rsh and n can be obtained by simultaneous solution of Eqs. (12), (13), and (14) [16]. Vmp + Imp Rs Vmp + Imp Rs (12) − 1] − Imp = Ipv − Is [exp( nVT Rsh
588
B. Benabdelkrim et al.
1 dI V + I .Rs 1 dI dI 1+ − 1+ = −Is .Rs exp Rs dV nVT dV nVT Rsh dV Vmp Vmp Vmp 1 1 − 1− 1− = −Is Rs − Rs Imp nVT Imp Rsh Imp
(13) (14)
Using the Newton–Raphson method and the fsolve function, the simultaneous equation can be simply solved in the MATLAB environment.
3 Modelling of PV Modules by Artificial Neural Networks This section presents a method for estimating the parameters of a PV module model in its equivalent circuit of an STC standard condition diode using artificial neural networks; more specifically, the concept of a multilayer perceptron is used. The data needed to estimate the parameters are based on weather conditions and electrical parameters estimated by the LM method for different radiations and temperatures. The procedure for designing an ANN can be summarized in these steps [16]: • Collecting a database characterised by the input and output parameters • Separation of the database into three subsets (training base, validation base and test base) • The choice of the architecture of the ANN (selection of inputs, outputs, number of hidden layers, number of neurons per layer, the activation functions) • Training the neural network on the basis of training and validation • Measurement of neural network performance on the test base. Our choice is to carry on the PV module amorphe (QS-60DGF) just because of the availability of a database (Table 1.). Table 1. Electrical parameters of the PV module QS-60DGF Parameters
Thin-film a-Si (QS-60DGF)
Pm (W)
60
Voc (V)
80.3
Isc (A)
1.22
Vmp (V)
62.3
Imp (A)
0.96
D (mm)
1404 * 794 * 35
We employed 36 distinct curves to learn the ANN, with each value of G (W/m2 ) and T (°C) being associated with a I-V curve. We would like to point out that the total
Parameters Estimation Methods of Thin-Film Solar Module
589
number of neural network training data for all irradiance values used is 2313 practical measurements. A. Choice of the architecture of the ANN model The multilayer perceptron (MLP) is the most basic and well-known of the ANN, and it is most commonly employed for approximation and prediction tasks. The MLP network excels at fitting nonlinear functions, and it can deduce the relationship between the output I-V curve, temperature, and irradiance from a set of training data points. The neural network has two hidden layers, and the back propagation was chosen as the learning method [16]. Of course, the most important thing is to validate the proposed method with experimental data. We know that the I-V curve varies with temperature and solar radiation as mentioned in [13, 17, 18], the experimental data were obtained on a clear day at different times by the meteorological station of the Renewable Energies Research Unit in the Saharan Environment (URERMS) in southern Algeria at the time of the measurements. The results of the estimation of the parameters of amorphous PV module (QS60DGF) by the neural network method (ANN) at different environmental conditions are shown in Table 2.. Table 2. Estimated parameters of PV module (QS-60GDF) under different conditions. Real condition
ANN model Estimated PV module parameters
G
T
Iph
IS
n
Rs
Rsh
905
31.2
1.188
4.6748 × 10–4
3.8373
0.2182
771.3574
615.9
35
1.271
3.1305 × 10–4
3.5704
0.9303
625.2568
792.5
34.5
1.231
7.5251 × 10–4
3.9779
0.8367
986.7084
1036.4
40.9
1.325
6.6614 × 10–4
3.7737
0.1323
778.9268
B. Obtaining PV module parameters (QS-60DGF) under STC conditions - Comparative study In this section, a comparison of the parameter estimation with other methods cited in the literator [13, 15] based on the one-diode model. This method is based on the assumption that the slope of the I -V curve at Voc and Isc is controlled by the series and shunt resistance, respectively, with further simplifications assuming that Iph is short equivalent to the circuit current and Rs, Rsh and n can be obtained by simultaneously solving the equations [15, 19]. The simultaneous equation can be solved with the Newton-Raphson technique using the symbolic function fsolve. The results of the parametric estimation of the two methods are presented in Table 3., which shows that there is a difference between the parameters obtained.
590
B. Benabdelkrim et al.
Table 3. Estimated electrical parameters of PV module (QS-60GDF) by different models under STC condition Parameter
Estimated values 1D (Esram) model
1D (Villalva) model
Proposed ANN model
Iph
1.220
1.245
1.1971
Is
2.6318 × 10–5
1.0898 × 10–9
4.4980 × 10–4
n
2.9101
1.5
3.5451
Rs
0.4523
5.980
0.4922
Rsh
379.855
290.247
594.116
The model validity proposed for the QS-60DGF amorphous PV module was verified by a more exhaustive comparison between the values estimated at different conditions by the ANN model and the two other analytical models cited in the literator with the experimental values.
Fig. 2. I-V characteristics of the QS-60DGF module by the three models for different radiations.
Figure 2 illustrates the IV characteristics of the amorphous PV module derived by fitting the curve with the curve recorded at irradiance of 1000 W/m2 and 800 W/m2 and at an ambient temperature of 25 °C using the three models. This graph shows that the measurements and the output of the suggested ANN model are extremely well aligned. On the other hand, the Esram model, produces findings that are extremely near to the experimental values at STC conditions, although the precision of this model is reduced under low radiation (800 W/m2 ), and the model of Villalva is less precise in all cases.
Parameters Estimation Methods of Thin-Film Solar Module
591
The estimated value and relative error for each significant point (photovoltaic module maximum power Pmp, open-circuit voltage Voc, and short-circuit current Isc) obtained with the ANN model and the other two analytical models are presented in Table 4. At 800 W/m2 , the relative errors in power prediction for the Villalva and Esram models are roughly 4% and 5.5%, respectively. On the other hand,The absolute error of power by the ANN model is 0.006%. The ANN model has a higher correlation with the input data and a lower relative error when compared to the measured values. Table 4. Relative errors of the characteristics of the QS-60DGF module by the three models. Irradiance at 25 °C Parameter 1D 1D ANN (W/m2 ) (Villalva) (Esram) model model model
RE [%] RE [%] RE [%] (Villalva) (Esram) (ANN)
1000
Pmax Voc Isc
59.811 79.410 1.220
59 .802 80.300 1.220
60.068 0.315 80.300 1.108 1.220 0.000
0.330 0.000 0.000
0.113 0.000 0.000
800
Pmax Voc Isc
45.534 78.265 0.976
44.875 78.229 0.976
47.493 4.118 79.5 1.553 0.977 0.204
5.506 1.598 0.204
0.006 0.000 0.102
4 Conclusion An effective model is necessary for accurate performance prediction of solar PV systems under different operational conditions.This study presents the modeling and simulation of a solar thin-film PV module. For Thin-Film module, the Esram algorithm provide better modeling results compared to Villalva algorithm. This paper described the modeling of an amorphous silicon PV module (QS-60DGF) using MLP-type neural networks at the level of the Renewable Energies Research Unit in the Saharan Environment (URERMS) in southern Algeria. For a year and for various values of illumination and temperature to estimate the five parameters of the equivalent model of a diode based on meteorological parameters. G, T, and V are the network inputs, whereas Iph, Is , n, Rs, and Rsh are the estimated parameters. The results reveal that neural network modeling agrees well with experimental data, and the curves created are essentially fixed, with low errors. The fundamental superiority of the proposed method is due to the black box’s databased property, and the specific explanation is that we can estimate the output current directly from a new temperature and a new radiation using an ANN formed and constructed from abundant data, where the weight factors and biases are calculated automatically. On the other hand, a comparison of the parameter estimation with the Esram and Villalva approachs (a model diode) was presented, with the results showing that the ANN method gave greater values for Rsh, n, and that the value of RS is tiny when compared to the other methods, although Iph’s findings for all methods are similar.
592
B. Benabdelkrim et al.
As a consequence, this paper can provides researchers, engineers and investors in the related field with an overview of the different solar cell parameters extraction methods; which would be very useful for the future.
References 1. Jha, V., Triar, U.S.: An Improved Generalized Method for Evaluation of Parameters, Modeling, and Simulation of Photovoltaic Modules. Int. J. Photoenergy. 2017, 1–19 (2017) 2. Benabdelkrim, B., Benatillah, A.: Comparison of different extraction methods for the simulation of thin-film PV module. In: Hatti, M. (ed.) Smart Energy Empowerment in Smart and Resilient Cities. LNNS, vol. 102, pp. 641–649. Springer, Cham (2020). https://doi.org/10. 1007/978-3-030-37207-1_69 3. Abido, M.A., Khalid, M.S.: Seven-parameter PV model estimation using differential evolution. Electr. Eng. 100, 971–981 (2018) 4. Benabdelkrim, B., Benatillah, A., Ghaitaoui, T.: Evaluation and extraction of electrical parameters of different photovoltaic models using iterative methods. J. Nano Electron. Phys. 11(5), 1–7 (2019) 5. Baig, M.Q., Abbas Khan, H., Ahsan, S.M.: Evaluation of solar module equivalent models under real operating conditions—a review. J. Renew. Sustain. Energy. 12, 1–13 (2020) 6. Hammaoui, K., Hamouda, M., Benabdelkrim, B.: Evaluation of Numerical Algorithms of a Single and Two Diodes Models. In: Hatti, M. (ed.) Artificial Intelligence in Renewable Energetic Systems. LNNS, vol. 35, pp. 499–510. Springer, Cham (2018). https://doi.org/10. 1007/978-3-319-73192-6_53 7. Appelbaum, J., Peled, A.: Parameters extraction of solar cells – a comparative examination of three methods. Sol Energy Mater Sol Cells. 122, 164–173 (2014) 8. Ciulla, G., Lo Brano, V., Di-Dio, V., Cipriani, G.A.: Comparison of different one-diode models for the representation of I–V characteristic of a PV cell. Renew. Sustain. Energy Rev. 32, 684–696 (2014) 9. Chen, J.-F., Do, Q.H., Hsieh, H.-N.: Training artificial neural networks by a hybrid pso-cs algorithm. Algorithms. 8, 292–308 (2015) 10. Ghaitaoui, T., Benatiallah, A., Khachab, H., Sahli, Y., Koussa, K.: Neural network modeling and experimental evaluation of organic solar panel performance in Algerian Sahara. Eur. J. Elect. Eng. 21(2), 165–169 (2019) 11. Phang, J.C.H., Chan, D.S.H., Philips, J.R.: Accurate analytical method for the extraction of solar-cell model parameters. Elect. Lett. 20, 406–408 (1984) 12. Kou, Q., Klein, A., Beckman, W.A.: A method for estimating the long-term performance of direct-coupled PV pumping systems. Solar Energy. 64, 33–40 (1998) 13. Villalva, M.G., Gazoli, J.R. Filho, E.R.: Comprehensive approach to modeling and simulation of photovoltaic arrays. IEEE Trans. Power Electron. 24, 1198–1208 (2009) 14. Walker, G.R.: Evaluating MPPT topologies using a Matlab PV model. J. Elect. Electron. Eng. 21, 49–56 (2001) 15. Esram, T.: Modeling and control of an alternating-current Photovoltaic module. Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy in Electrical and Computer Engineering in the Graduate College of the University of Illinois at UrbanaChampaign (2010) 16. Parizeau, M.: Réseaux de neurones. University of Laval, Laval, Quebec (2004) 17. Duffie, J.A., Beckman, W.A.: Solar Engineering of Thermal Processes. John Wiley & Sons, New York (2013)
Parameters Estimation Methods of Thin-Film Solar Module
593
18. Messenger, R., Ventre, J.: Photovoltaic Systems Engineering, 2nd edn. Taylor & Francis, Milton Park (2003) 19. Javier, C., Santiago, P., Marta, V.: On the analytical approach for modeling photovotaic systems behavior. J. Power Sources. 247, 467–474 (2014)
An approach for Power Reserve Control (PRC) Strategy Based on a Novel ANN Model C. Messasma1(B) , S. E. Chouaba1 , B. Sari1 , and A. Barakat2 1 DAC Laboratory, University of Setif1, 19000 Setif, Algeria {chaouki.messasma,seif.chouaba,bilal.sari}@univ-setif.dz 2 Electrical Energy Research Institute of Nantes-Atlantique (IREENA), University of Nantes, Nantes, France [email protected]
Abstract. The integration of photovoltaic (PV) systems in electrical grid give several environmental and economic solutions. However, these systems have a major disadvantage, which is the absence of an internal inertia responsible for regulating the frequency of the grid. To overcome this disadvantage a power reserve must be generated and injected at the right time. In this article a strategy of generating a power reserve based on a model of artificial neural networks (ANN), this model was developed by the training of PV panel modelled using Newton Raphson method. To validate the proposed strategy it was applied on a two-stage three-phase chain of a 100 KW PV central connected to grid under different climatic conditions. The results of these tests simulated under matlab simulink are presented and discussed at the end. Keywords: Photovoltaic (PV) · Power reserve · Artificial Neural Network (ANN) · Matlab/Simulink simulation · Frequency stability
Nomenclature Ipv Vpv Iph Id Ip T G Vt n Rs Rp Voc Isc Io Ns
Output current of the PV array (A) Output voltage of the PV array (V) Photon current (A) Diode current (A) Leakage current flowing through the shunt resistance (A) Temperature in (K) (298 K) Solar irradiation (W/m2) Thermal voltage of the array (V) Diode ideality constant Series resistance () Shunt resistance () Open circuit voltage (V) Short circuit current (A) Diode saturation current (A) Number of cells connected in series
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 594–601, 2023. https://doi.org/10.1007/978-3-031-21216-1_61
An approach for Power Reserve Control (PRC) Strategy
Np Pmpp Pdel Pres Gdel Cdc Lboost Cboost Fb
595
Number of cells connected in parallels Maximum Power generate from the PV (W) Deloading Power of the PV (W) Power reserve of the PV (W) Deloading gain (set to 0.9) DC link capacitance (µF) Inductance of boost converter (H) Capacitance of boost converter (µF) Boost converter switching frequency (Hz) Boost converter switching frequency (Hz).
1 Introduction Several economic and technical benefits arise from the high-level integration of renewable energy sources, such as the low cost of this energy, the reduction of carbon emissions and the reduction of operating and maintenance costs [1]. However, many technical problems related to this integration and that limit this high penetration occur. One can site the problem a very low inertia causing frequency instability and high uncertainties due to the variable nature of wind speed and irradiation and degraded energy quality [2]. Network codes impose requirements regarding auxiliary service for decentralized generation including renewable power plants, which gradually extend to the provision of frequency response. In order to control the output power up or down of a PV system in response to the commands issued from the system control, it must maintain active power reserves ability [3]. One of the most important needs for frequency control carriers is related to emergency response, but photovoltaic systems generally do not provide this service. In general low inertia network require a very fast power injection into the network to stop the frequency drop; the faster the response, the more useful it can be for frequency stabilization [4]. There are two methods of implementing power reserve capacities in a photovoltaic system [5]: • The installation of an energy storage system with an increased cost and complexity, • The use of a power reduction technique by modifying the Maximum Power Tracking (MPPT) algorithm to operate at a sub-optimal power level. Power reduction or deloading methods are generally classified into two main categories: Constant Power Generation Control (CPGC) and Power Reserve Control (PRC). In the first category (CPG), the system ceases to generate the power reserve if the Ppv power is less than a limit power imposed by the grid operators. However in the PRC category, the PV panel is constantly monitored to generate a desired power reserve using a deloading gain (Gdel) fixed by grid operator [6]. Several strategies have been developed to generate a power reserve; each strategy has advantages and disadvantages [7]. A compromise between simplicity and effectiveness is a reference for our choice of strategy to apply in this paper. The objective of this article is to generate a power reserve with PRC technique using a strategy based on an artificial
596
C. Messasma et al.
neural network model (ANN), this ANN model is formed with a PV panel modelled using Newton Raphson method. By going through a realization and simulation of system of two-stage three-phase 100 KW PV central with the proposed PRC strategy using Matlab simulation tool, then several tests in different climatic conditions are carried out on this system. This paper is composed as follows: Sect. 2 gives a description of the considered PV array model and the PRC proposed strategy. In Sect. 3, system of two-stage threephase 100 KW PV central with the proposed strategy description. The simulation of the proposed system under different climate scenarios results and discussion are included in Sect. 4. At the end, the paper is finished with a conclusion and some perspectives for future work.
2 Proposed PV Model and Strategy 2.1 Array Model For its compromise between precision and simplicity, the single diode models are commonly used to model a PV panel whose equivalent mathematical equation is presented in Eqs. (1) [8]. The electrical model equivalent to these models is presented in Fig. 1(a). Vp Ns NS + I R pv s Vpv +Ipv RS Np v Np Ipv = Np Iph − Np I0 [ e −1 ] − (1) nVt NS Ns RP N p To determine the point of operation of the PV we must solve the mathematical model mentioned in Eq. (1). This mathematical model is a non-linear equation with two variables Ipv and Vpv to solve it we have used the method of Newton Raphson whose principle is presented in algorithm shown in Fig. 1. (b) [8]. *
Initialization of Ipv(k) and Vpv(k)
*
Calculate f(Ipv(k) , Vpv(k))= Ipv(k)-Iph+Id+IP Np
I
pv
*
*
Calculate f '(Ipv(k) , Vpv(k))= df(Ipv(k) , Vpv(k))/dIpv Rs*Ns/Np
Ns
p ph
NpId
Rp*Ns/Np
NI
V
pv
*
*
ε =f (Ipv(k) , Vpv(k))/ f '(Ipv(k) , Vpv(k)) Yes
*
*
Ipv(k) = Ipv(k) +ε
*
ε>ε
No
*
Ipv(k)= Ipv(k)
Vpv(k+1) = Vpv(k) +ΔVpv *
Ipv(k+1) = Ipv(k) (a) Electrical equivalent circuit of a PV array [8]
(b) Newton Raphson Algorithm for determining the I-V characteristic of a PV (Ipv(k) is Ipv in kth iteration, Vpv(k) is Vpv in kth iteration, ΔVpv is the increment) [8]
Fig. 1. PV array modeling
An approach for Power Reserve Control (PRC) Strategy
597
2.2 Proposed Strategy The proposed strategy consists of two steps as shown in Fig. 2 and presented below [9]: • In the first step, the available power Pmpp is estimated using an artificial neural network (ANN) model. This ANN model is composed of three layers, the inputs are temperature and irradiation (T and G) and Pmpp generated is considered as model output. The number of neurons in the hidden layer is determined by observing the error values during the training process. For model formation, 146 input-output datasets were taken by changing the temperature from 5 to 55 °C and irradiation from 50 to 1000 w/m 2. The model is formed with the Levenberg-Marquardt with 15% of the training data reserved for validation and 15% for the test; • In the second step, the PV system is moved using a proportional integrator (PI) controller to reach the deloading power Pdel which is calculated from Pmpp estimate by the ANN model and the imposed deloading gain Gdel as follows: Pdel = Pmpp_ref × Gdel
(2)
The power reserve Pres generated is equal to: Pres = Pmpp − Pdel
G
ANN
Pmpp
Gdel
T
MPPT estimation
Vpv Ipv
(3)
Pdel +
PI
PWM
AC/AC converter
Ppv
Power reserve generation
Fig. 2. Structure of ANN model strategy
3 System Description The proposed system is a two-stage three-phase PV system shown in Fig. 3. This chain is composed of 100 Kw PV array, this panel is connected to a DC-DC boost converter controlled on deloading mode by the proposed PRC strategy to generate a power reserve. This boost converter is connected to the grid via a DC-AC inverter and LR filter. The DC-AC inverter is commanded using simplified DQ Controller [10]
598
C. Messasma et al.
The parameters values of all components of this chain are given in Table 1. Table 1. System components and parameters values
DC
DC Three phase filter
100 Kw PV panel
Grid
Cdc Inverter
Converter
Vpv PWM
Vgrid
Igrid
Ppv
G T
Lf
AC
DC Ipv
T and G sensor
Rf
V*dc model
Gdel Power reserve loop
PIB
PWM Vdc +
θ
PIdc
Current controller
PLL
Inverter control loop
Fig. 3. System of a two-stage three-phase PV system with power generation
An approach for Power Reserve Control (PRC) Strategy
599
4 Simulation Tests and Discussion In this section a simulation of two scenarios, the first one for irradiation variations and the second one for temperature variations. These two scenarios are envisaged to test and validate the qualities of the proposed strategy and to see its capacity to generate and ensure a power reserve under any climatic conditions. 4.1 Irradiation Variations The first test lasted 9 min, setting the temperature to an ambient value equal to 25 °C, Gdel equal 0.9 and the irradiation at 700 w/m2 then varying in irradiation at the instants t = 2 min, 4 min and 6 min for the values 900, 1100 and 800 w/m2 respectively. The simulation results are shown in Fig. 4. Figure 4 shows the irradiation variations (a) PV power (b), DC link voltage (c) and voltage, current and active and reactive power on the grid side (d, e and f). 4.2 Temperature Variations This test lasted 10 min, setting the irradiation to 1000 w/m2 , Gdel equal 0.9 and the temperature at 25 °C then varying in temperature at the instants t = 3 min, 5 min, 7 min and 9 min for the values 35, 45, 30 and 20 °C respectively. The simulation results are shown in Fig. 5. Figure 5 shows the temperature variations (a) PV power (b), DC link voltage (c) and voltage, current and active and reactive power on the grid side (d, e and f).
(a) Irradiation variations
(d) Grid voltage
(b) PV power
(e) Grid current
(c) DC voltage
(f) Grid power
Fig. 4. Irradiation scenario simulation test
Based on the simulation results presented in various figures, these figures show the senario of climatic varitions apply, the power generated by the PV panel compared with
600
C. Messasma et al.
the ideal Pmpp and Pdel , the voltage Vdc compared to the reference voltage set V∗dc , voltage, current and power on the grid side after the desired command appleid to the DC-AC inverter. All these figures show that the strategy used generates and ensures the required power reserve in various climatic conditions without affecting the stability of our system.
(a) Temperature variations
(d) Grid voltage
(b) PV power
(c) DC voltage
(e) Grid current
(f) Grid power
Fig. 5. Temperature scenario simulation test
5 Conclusion In this article, a PRC strategy based on ANN model is described and performed under Simulink Matlab. A simulation of this technique for many weather conditions to validate its effectiveness and robustness. As a perspective, the use of this technique in frequency regulation is envisaged to contribute to the improvement of the stability of the electrical network penetrated by the PV systems. The second objective, is the amelioration of this technique with the application of the various modern control techniques by replacing the conventional control parts.
References 1. Ratnam, K.S., Palanisamy, K., Yang, G.: Future low-inertia power systems: Requirements, issues, and solutions-a review. Renew. Sustain. Energy Rev. 124, 109773 (2020) 2. Alam, M.S., Al-Ismail, F.S., Salem, A., Abido, M.A.: High-level penetration of renewable energy sources into grid utility: challenges and solutions. IEEE Access 8, 190277–190299 (2020) 3. Batzelis, E.I., Kampitsis, G.E., Papathanassiou, S.A.: Power reserves control for PV systems with real-time MPP estimation via curve fitting. IEEE Trans. Sustain. Energy 8(3), 1269–1280 (2017)
An approach for Power Reserve Control (PRC) Strategy
601
4. Hoke, A.F., Shirazi, M., Chakraborty, S., Muljadi, E., Maksimovic, D.: Rapid active power control of photovoltaic systems for grid frequency support. IEEE J. Emerg. Sel. Top. Power Electron. 5(3), 1154–1163 (2017) 5. Messasma, C., Chouaba, S.E., Sari, B.: The primary frequency control techniques for grid connected pv systems: a review. In: Hatti, M. (ed.) Artificial Intelligence and Heuristics for Smart Energy Efficiency in Smart Cities. LNNS, vol. 361, pp. 220–229. Springer, Cham (2022). https://doi.org/10.1007/978-3-030-92038-8_22 6. Verma, P., et al.: Meta-heuristic optimization techniques used for maximum power point tracking in solar PV system. Electronics 10(19), 2419 (2021) 7. Messasma, C., Chouaba, S.E., Sari, B., Barakat, A.: Power reserve control (PRC) of PV systems techniques overview. In 19-th IEEE International Multi-Conference on Systems, Signals & Devices 2022, University of Ferhat Abbas, Setif 1, Algeria (2022) 8. Messasma, C., Chouaba, S.E., Sari, B., Barakat, A.: Modeling and simulation of 10 MW PV array with ARV MPPT based on ANN model. In: 19-th IEEE International Multi-Conference on Systems, Signals and Devices 2022, University of Ferhat Abbas-Setif 1, Algeria (2022) 9. Verma, P., Kaur, T., Kaur, R.: Power control strategy of an integrated PV system for active power reserve under dynamic operating conditions. Sustain. Energy Technol. Assess. 45, 101066 (2021) 10. Mnider, A.M., Atkinson, D.J., Dahidah, M., Armstrong, M.: A simplified DQ controller for single-phase grid-connected PV inverters. In: 2016 7th International Renewable Energy Congress (IREC), pp. 1–6. IEEE (2016)
Frequency Enhancement of Power System with High Renewable Energy Penetration Using Virtual Inertia Control Based ESS and SMES H. Abbou(B) , S. Arif, and A. Delassi Department of Electrical Engineering, LACoSERE Lab University of Laghouat, Laghouat, Algeria {h.abbou,s.arif,a.delassi}@lagh-univ.dz
Abstract. Because of the significant development in the usage of power converterbased renewable energy sources (RESs), the entire system inertia in a microgrid may be greatly reduced, increasing the interconnected power system’s sensitivity to instability. A virtual inertia control application is needed to overcome this problem. This study uses inertia control strategies in an interconnected power system with RESs, such as the inertia control-based derivative method, first with normal energy storage system (ESS)-based virtual inertia control (VIC), and then with superconducting magnetic energy storage (SMES)-based VIC, to improve frequency stability. Both suggested control method’s efficacy and control performance are compared. To model the system and simulate the results MATLAB/Simulink is used. For maintaining system frequency, a proportional integral derivative (PID) is utilized as a secondary control. The gain settings of the regulators are optimized via Harris hawk optimization (HHO). Finally, to verify the efficiency of the virtual inertia control method on stability improvement, a multi-area test system with high RESs penetration level is applied for various scenarios. Keywords: Inertia · Renewable Energy Sources (RESs) · Virtual inertia control · Derivative method · Energy Storage System (ESS) · Superconducting Magnetic Energy Storage (SMES)
1 Introduction The basic role of power systems in generation and demand makes frequency stability important for the steady and safe functioning of power systems. An imbalance could cause the frequency of the system to continue to deviate. Plus, the use of renewable energy sources would also have significant consequences for microgrid safety [1]. The widespread replacement of traditional generation by RESs could pose more system stability challenges, resulting a significant drop in system inertia leading to a reduction in system performance and stability [2]. The rotating inertia of synchronous generations plays a vital part in conventional interconnected power systems, since it has the best performance, such as a higher moment of inertia and greater output impedance. Because of their high inertia and synchronous generator control, conventional interconnected © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 602–613, 2023. https://doi.org/10.1007/978-3-031-21216-1_62
Frequency Enhancement of Power System
603
power systems keep stability against disturbances. As a result, the stability of frequency and voltage is well regulated and stabilized. Excessive frequency variation can lead to unwanted load-shedding, cascading outages, or even wide-area blackouts. Second, due to the high RESs integration, the rate of change of frequency (ROCOF) of interconnected power system has greatly increased [3]. The generation units are prone to pole-slipping as a result of high ROCOF exposure, resulting in preventive tripping. To resolve these new stability threats, developing additional control is one simple solution, also known as virtual inertia control or virtual synchronous generator (VSG), which emulates the behavior of real synchronous generators without the need for prime movers or rotating mass, thereby addressing stability problems [4]. The virtual inertia control system will be implemented to an interconnected power system to improve system inertia and avoid instability and breakdown during RES penetration and uncertainties. An energy storage system (ESS) and an inverter could be used to create such a control system. As a result, during RESs penetration, the extra inertia power could be virtually produced in a microgrid, improving system inertia, frequency stability, and robustness [5]. However, for a short length of time, the ESS devices may not produce enough power, resulting in insufficient inertia power. From this effect, instability, cascading outages, and power blackouts can all result. Furthermore, the significant penetration of RESs in interconnected power systems may cause negative effects on virtual inertia control effectiveness and reliability. In face of these disadvantages, the superconducting magnetic energy storage (SMES) technology is one among the most popular ESS options because of its main benefits of fast response, great efficiency, high power, and an unlimited number of charging/discharging cycles [6, 7]. SMES’ major benefit is its ability to discharge great amounts of electricity in a short amount of time. The most effective way to protect against unanticipated changes in microgrid dynamics is likely to be an active power supply with rapid ability, such as a SMES unit [8]. This work proposes a coordinated structure of virtual inertia control based on a simple ESS and SMES technologies to increase the interconnected power system stability and resiliency in an attempt to solve the mentioned issues. Both virtual inertia control systems are used for a system with RESs in the interconnected power grid under investigation to simulate required inertia power and create strong frequency control stability. The overall model of a multi-area power system will be upgraded for better frequency control investigations by using the virtual inertia control loop-based derivative control scheme. The major purpose of this research is to develop new control strategies for multi-area power systems with RESs that are based on frequency stability analysis [4, 9]. As a result, the suggested virtual inertia control can effectively lead to high-RES utilization while maintaining system reliability in power system. The simulations were carried out in the MATLAB/Simulink environment. The obtained results of the system with SMES-based virtual inertia control (VIC) will be compared with traditional ESS-based VIC to evaluate the proposed control method’s effectiveness and stability. This work presents: (1) A brief introduction to frequency control and the modelling of a two-area test system based on inertia power are presented in this paper. (2) For frequency stability improvement, both ESS-based and SMES-based virtual inertia control schemes are proposed. (3) The time-domain simulation results and analyses are described. (4).
604
H. Abbou et al.
2 Modeling of Multi-area Power System 2.1 The Principle of Inertia Frequency Stability Control The frequency of the system will increase or decrease depending on if there is an imbalance between production or consumption. A balance between production and load demand is essential for frequency regulation and stability. In regards of frequency control, system inertia (H) is a major source of power system robustness, and the massive synchronous generators that are directly connected to the system are the main sources of inertia. They’re crucial for controlling the rate of change of frequency (ROCOF) and allowing for a natural reaction to system frequency variations in the event of unplanned production or load loss in the microgrid. Frequency control can be divided into three categories: inertia control, primary control, and secondary control. During frequency variation, the controllers are turned off, and the power consumption is balanced by kinetic energy from the synchronous generation units. While primary control, the controller stabilizes the system frequency to the new steady-state for 10 to 30 s after the contingency. Finally, after the contingencies, the controller recovers system frequency to its equilibrium stage during secondary control (Load Frequency Control (LFC)) [4]. In traditional system-based synchronous generators, the inertia response is estimated using kinetic energy. The overall kinetic energy (E kinetic ) of the rotating loads and the rotational mass of the system can be determined as [4]: Ekinetic =
1 2 Jω 2
(1)
J is the moment of the system inertia (kgm2 ), and ω denotes the rotor speed (rad/s). The torque balance of the rotating mass influences the rate of change of rotor speed as [4]: Tm − Te =
Pe dω Pm − =J ω ω dt
(2)
where Te and Tm indicate the electrical and mechanical torque respectively. Pe and Pm denote the electrical and mechanical power respectively. The kinetic energy (Ekinetic ) charged in the system is commonly measured as a percentage of its rated power, and it is denoted by the letter H in the equation [4]: H=
Ekinetic S
(3)
where S gives the system rated power (VA). The rate of change of frequency (ROCOF) is known to be influenced by system inertia and initial power mismatch [4]. ROCOF is calculated as follows: dω ω2 (Tm − Te ) = dt 2HS
(4)
The ROCOF can be given as a per-unit (p.u.) value as shown in: Pm − Pe dω = dt 2HS
(5)
Frequency Enhancement of Power System
605
The initial ROCOF is given by d ω/dt. The Eqs. (5) shows that the system is defined as a separate electric system that generates overall system inertia with a single system frequency and ROCOF. How quickly the system frequency and generator speed change are determined by the degree of the power imbalance created by the disturbance. As a result, this is referred as the ROCOF. 2.2 Frequency Control Mechanism The examined system consists two areas connected by a high-voltage alternating current transmission line (HVAC) or tie line. These RESs-based electronic interfaces may have a low moment of inertia and no frequency stability due to the growing penetration of renewable energy sources (e.g., wind/solar generating) in today’s linked power system. Virtual inertia management should also rectify any real-power imbalances in the linked system that integrates the LFC, as well as when the LFC is unavailable. Figure 1 depicts the interconnected power system with high RESs penetration used in this study.
Fig. 1. Basic illustration of a contemporary interconnected power system with virtual inertia control.
A low-order linearized model may also be used to create the microgrid’s loadgeneration dynamic model. The linearized model is shown in Fig. 2. All the system parameters are provided in Fig. 1 and Table 1. In order to achieve the actual behavior of a typical interconnected power system, this study investigates the significant restrictions imposed by the physical dynamics of the load and generating units, as well as intrinsic conditions. Due to thermal/mechanical movement constraints, the variation of power
606
H. Abbou et al.
output is an important physical constraint of the thermal generation unit. The physical behaviors of the thermal power plant are represented by the min and max valve gate opening and closing for the turbine system, as well as the generation rate limitation known by generation rate constraint (GRC). The minimum and maximum factors that affect the valve-gate closing/opening speed of the turbine system are VL and VU. The non-reheat thermal power plant’s generation rate is limited to 12% p.u. MW/min. In this work, the aggregated model was utilized to represent power generation with the same attribute. Wind/solar power generations, as well as home demands, are the main causes of the disturbances to the linked power system. In some systems, the RESs may have a large nonlinear response. The low-order dynamic models used in this study, on the other hand, are suitable for addressing frequency control difficulties [4]. fi =
1 (Pmi + PWi + PSi − PLi − PTie,i ) 2Hi s + Di 1 Pgi 1 + sT ti 1 1 PACE,i − fi Pgi = Ri 1 + sT gi Pmi =
(6) (7) (8)
PWi =
1 (Pwind ,i ) 1 + sT WTi
(9)
PSi =
1 (Psolar,i ) 1 + sT PVi
(10)
As a result, the frequency deviation of the interconnected power system for the i-th area can be determined as follows: Pmi represents the power created by thermal plants in the area-i. Whereas Pgi denotes the power produced by the turbines in the area-i. PWi represent the produced power by the wind farm in the area-i, and PSi is the produced power from solar farm in the area-i. The area control error (ACE) is calculated as a linear combination of the measured frequency error and tie-line power flow as illustrated below [4]. Table 1 lists all of the parameters discussed in (7)–(11), as well as their values. PACE,i = (k P,i +
kI ,i + kD,i s)βi fi + PTie,i s
PTie,ij = αij PTie,ij αij = −
Pri Prj
(11) (12) (13)
Frequency Enhancement of Power System
607
Fig. 2. Virtual inertia control in a dynamic model of a modern interconnected power system [4]. Table 1. Simulation parameters for the interconnected power system [4, 9]. Parameters
Area-1
Area-2
Parameters
Area-1
Frequency bias factor, βi (p.u.MW/Hz)
0.3483
0.3827
Converter time constant, TVI (s)
10
Governor time constant, Tg (s)
0.08
0.06
control gain, Kid (kV/kA)
0.2
Turbine time constant, Tt 0.4 (s)
0.44
SMES control gain, KSMES 0.6 (kV/MW)
Droop constant, R (Hz/p.u.MW)
3
2.73
Converter time constant, Tdc (s)
10
System inertia constant, H (p.u.MW s)
0.083
0.1010
Inductor rated current, Id 0 (kA)
4.5
Damping coefficient, D (p.u.MW/Hz)
0.015
0.016
coil SMES, L (H)
2.65
Solar system time constant, TPV (s)
1.3
–
Synchronizing coefficient, T12 (p.u.MW/Hz)
0.08
Area-2
(continued)
608
H. Abbou et al. Table 1. (continued)
Parameters
Area-1
Area-2
Parameters
Area-1
Wind turbine time constant, TWT (s)
–
1.5
Area capacity ratio between two areas, α12
−0.6
Maximum limit of valve gate, Vu (p.u.MW)
0.5
System base (MW)
15
Minimum limit of valve gate, Vl (p.u.MW)
−0.5
The ESS/SMES power capacity limit (p.u)
± 0.3
Area-2
3 Derivative Control Technique-Based Virtual Inertia Control Design The derivative technique is used to apply the principles of virtual inertia control in relation to system frequency regulation. If the derivative of the grid frequency is utilized proportionally to adjust the active power source of a converter/inverter, the inertia power may be replicated into the system, increasing the system’s inertia response to contingencies/disturbances. The control method for modeling inertia power in the Laplace domain using a perunit system is shown in Fig. 3. As demonstrated in Eq. (16), the recommended virtual inertial control will provide the necessary power to the i-th area under frequency variation [4]: KESS_VI ,i d (f i ) (14) Pinertia,i = 1 + sT VI ,i dt
Fig. 3. The normal energy storage system (ESS)-based inverter designed for virtual inertia simulation [4].
Fig. 4. SMES-based inverter designed for virtual inertia emulation [9].
Frequency Enhancement of Power System
609
K VI,i represents the gain in virtual inertia control in the area-i. also T VI,i denotes the virtual inertia based on temporal constants for simulating the dynamic control of ESS (Fig. 5). Using the SMES, a controller technique for simulating adequate inertia power is shown in Fig. 4. The ROCOF is calculated using the derivative control approach (df/dt) in order to add the required active power to the microgrid’s set-point during the uncertainties. As a result, changes in system frequency directly affect the active power generated by the SMES.Therefore, the SMES could realistically replicate the virtual inertia power, which helps to enhancing the overall system inertia, system frequency, and performance with RESs integration. In terms of system frequency deviation, the dynamic equation for imitating inertia power using the SMES can be expressed as [9]: KSMES_VI d (f i ) KSMES · − Kid · I d · (Id 0 +I d ) (15) Pinertia,i = 1 + sT DC,i dt
4 Simulation and Results This section discusses the suggested control mechanism’s simulation results. The simulation experiments are carried out in MATLAB/Simulink with all of the systems’ characteristics provided in Fig. 1 and Table 1 over increasing primary and secondary regulator functions in the system. There are two sections to the results and discussion: The first begins by discussing the impacts of virtual inertia control advantages on the performance of the interconnected system, including a comparison of proposed inertia control techniques; the second examines the dynamic response of frequency sensitivity of the system with virtual inertia control under extreme tests and possible scenarios. The optimum controller parameters of PID and VIC were obtained by executing the HHO [10] for the system without VIC, with (ESS)-based inverter VIC and with SMES-based inverter VIC evaluating Integral of Square Error (ISE) and executed under the Number of search agents N = 40 and the Maximum number of iterations T = 100 with the upper and lower bond of the parameters set from 0 to 10. The parameters of PID and VIC were optimized all together to get the best control values, all according to 0.1 p.u load variation in area 1. The optimal controller’s settings are presented in Tables 2: Table 2. The optimum parameters of PID, ESS and SMES controllers. Controllers gains
kP
kI
kD
kESS_VI
KSMES_VI
Area 1
Without VIC
4.972
6.4903
7.4062
–
–
ESS VIC
2.0537
1.5255
7.3456
9.1834
–
Area 2
SMES VIC
5.6853
4.7676
9.5358
–
9.594
Without VIC
7.1423
5.2219
9.8928
–
–
ESS VIC
5.5040
3.0339
9.3923
4.7359
–
SMES VIC
4.83267
3.91731
9.5656
–
5.8078
610
H. Abbou et al.
Fig. 5. System dynamic responses without VIC, with ESS-based VIC, and with SMES-based VIC
It is obvious that the system with both VIC technics is more stable and faster, compared to that without VIC. Clearly, in the presence of the SMES-based, the system’s transient performance increased in terms of peak deviation (dip/drop) and settling time compared to the system with ESS-based. It is known that the use of PID controllers can greatly increase the overall system response. Moreover, when compared to a system with no VIC, adding SMES-based or ESS-based VIC improves system responsiveness and considerably improves frequency performance and reduces the amplitude of system transients. 4.1 Multiple Tests on the Interconnected Power System In this section, we are going to compare and test the effectiveness of the interconnected power system in multiple cases starting with taking off the RESs from the microgrid, adding wind power generation to area-2, adding solar power generation in area-1 only, then integrating both solar and wind all without the VIC, after that, we will see the VIC effect on the system without integration RESs. Lastly, we’ll sum everything in the power system integrating both VIC techniques (ESS-based and SMES-based) in each area with the presence of solar generation in area-1 and wind generation in area-2. In each case the parameters of the regulators are optimized using ISE criterion. The results are presented in Table 3. As we can see, the value of ISE criterion of the system without VIC and RESs is increased by 57,81% compared to it with RESs integration. Hence, the system with RESs can function better than without it and that is because of the lack in production of the thermal power plants. The RESs can contribute in the balance between the load and generation which enhances the frequency response. In addition, ESS-based VIC without
Frequency Enhancement of Power System
611
Table 3. ISE criterion values for each case. Cases
ISE
Without VIC
With ESS-based VIC
With SMES-based VIC
With SMES & ESS
Without RESs
+ wind
+ Solar
+ Wind & solar
Without RESs
With RESs
Without RESs
With RESs
With RESs
0.40691
0.39674
0.24604
0.23527
0.13361
0.12524
0.12372
0.095975
0.048069
RES has reduced the ISE value by 43.2% from the system with RES and without VIC. The VIC has proven its reliability even without the presence of RESs. Furthermore, VIC improves the frequency and makes the system even better with the integration of RESs. It is obvious that the SMES-based VIC in the in the presence of RESs has the best response for the reason that it has decreased the ISE criterion by 59.2% from the microgrid without VIC and with RESs and by 23.36% from the interconnected system with ESS-based VIC with the contribution of RESs. At last, we wanted to compare between the system without VIC & RESs and the system with both VIC technics in the presence of RESs, the improvement is really significant when adding ESS and SMES based VIC and RESs in each area because the ISE value is reduced by 88.18%. 4.2 Random Load and RESs Power Disturbances The interconnected power system is evaluated under the conditions of random and various load and RESs disturbances (go to Fig. 6) to execute a more severe simulation and test the robustness proposed VIC technics.
Fig. 6. Multiple random operating disturbances in generation load, Frequency response in area-1 under Multiple random operating disturbances
By the first look at Fig. 6, throughout various actions in loads and RESs, the interconnected power system’s system reaction is substantially improved in the presence of VIC, especially with SMES-based VIC. It is clearly noticeable that the system’s overall responses have greatly increased when compared to ESS-based.
612
H. Abbou et al.
5 Conclusion In this paper, we propose two new virtual inertia control-based derivative control techniques for improving the frequency efficiency and robustness of interconnected systems with considerable RESs penetration. The derivative control approach was utilized to regulate the real power of both the ESS and SMES in the linked system, imitating inertia power. Both virtual inertia control techniques are effective in this paper, we propose two new virtual inertia control-based derivative control techniques for improving the frequency efficiency and robustness of interconnected systems with considerable RESs penetration. The derivative control approach was utilized to regulate the real power of both the ESS and SMES in the linked system, imitating inertia power. Both virtual inertia control techniques are effective in controlling frequency deviation of the linked power system during severe circumstances, according to simulation data. However, the VIC system achieves the required efficiency and reliability in the face of significant RES penetration and severe load perturbations preventing instability and system breakdowns. Moreover, the results of the study show that SMES-based VIC outperforms classic ESSbased VIC in terms of frequency performance, stability, transient/peak deviation and settling time. This work confirms the beneficial effect of SMES to improve inertia imitation and control in the presence of RESs with significant integration. As a result, the provided control technics will be substantial and advantageous for further research into power and frequency control with VIC abilities, taking into account HVAC linkages and increased RES penetration. Finally, the suggested VIC technics can effectively contribute to improved utilization of RESs in interconnected power systems while preserving system robustness and stability.
References 1. Deepak, M., et al.: A novel approach to frequency support in a wind integrated power system. Renewable Energy 108, 194–206 (2017) 2. Fathi, A., Shafiee, Q., Bevrani, H.: Robust frequency control of microgrids using an extended virtual synchronous generator. IEEE Trans. Power Syst. 33(6), 6289–6297 (2018) 3. Rakhshani, E., Rodriguez, P.: Inertia emulation in AC/DC interconnected power systems using derivative technique considering frequency measurement effects. IEEE Trans. Power Syst. 32(5), 3338–3351 (2016) 4. Kerdphol, T., Rahman, F., Mitani, Y.: Virtual inertia control application to enhance frequency stability of interconnected power systems with high renewable energy penetration. Energies 11(4), 981 (2018). https://doi.org/10.3390/en11040981 5. Bevrani, H., Ise, T., Miura, Y.: Virtual synchronous generators: a survey and new perspectives. Int. J. Electr. Power Energy Syst. 54, 244–254 (2014) 6. Ali, M.H., Wu, B., Dougal, R.A.: An overview of SMES applications in power and energy systems. IEEE Trans. Sustain. Energy 1(1), 38–47 (2010) 7. Muttaqi, K.M., Rabiul Islam, M., Sutanto, D.: Future power distribution grids: integration of renewable energy, energy storage, electric vehicles, superconductor, and magnetic bus. IEEE Trans. Appl. Supercon. 29(2), 1–5 (2019) 8. Abu-Siada, A., Islam, S.: Application of SMES unit in improving the performance of an AC/DC power system. IEEE Trans. Sustain. Energy 2(2), 109–121 (2010)
Frequency Enhancement of Power System
613
9. Kerdphol, T., Watanabe, M., Mitani, Y., Phunpeng, V.: Applying virtual inertia control topology to SMES system for frequency stability improvement of low-inertia microgrids driven by high renewables. Energies 12(20), 3902 (2019) 10. Heidari, A.A., Mirjalili, S., Faris, H., Aljarah, I., Mafarja, M., Chen, H.: Harris hawks optimization: algorithm and applications. Future Gener. Comput. Syst. 97, 849–872 (2019)
Topology Analysis of Multi-cellular Converters in a Wind Energy System Ahmed H. Zebboudj1(B) , Rafik Boukhelif2 , Mouhamed Z. Doghmane1,3 , and H. Akroum1 1 Laboratoire d’Automatique Appliquée (LAA), Département d’automatisation et Electrification des Procédés, Faculté des Hydrocarbures et de la Chimie, Université M’hamed Bougara-Boumerdes, 35000 Boumerdes, Algeria [email protected] 2 Laboratoire Automatisation, Vision et Contrôle Intelligent des Systèmes, Département d’Automatique, Faculté des Sciences et Technologie, Université Mouhamed Boudiaf-Oran, 31000 Oran, Algeria [email protected] 3 DOE, Exploration Division, SONATRACH, Hassi Messaoud, Algeria [email protected]
Abstract. Increasing the power processed obviously involves increasing the voltage or the current or both at the same time. This then requires the use of new topologies of power electronics converters with increasingly efficient semiconductor components. At the same time, the evolution of the voltage or current ratings of power semiconductors has no counterpart. Indeed, if on the one hand, we increase the calibres of the components thus making it possible to switch higher currents under higher voltages, on the other hand, we degrade the performance in terms of switching frequency or voltage drop at the passing state. The design and use of static power converters for increasingly large power ranges are therefore delicate tasks that have led designers to study new conversion structures based on associations of elementary structures. These associations of elementary structures can then be envisaged in different ways. The first solution consists of the association of several static converters which will make it possible to process the desired power. The second solution consists in directly associating semiconductor components, in order to obtain a macro-component having satisfactory voltage and/or current characteristics. Finally, the third solution consists in associating not components but elementary switching cells. The cells thus associated then share the constraints imposed by the application of a high DC voltage. Keywords: Wind turbine · Multi-Cell converter · MPPT · SMC · PMSG
1 Introduction In order to study the properties of series multicell converters in steady state, we will make the following assumptions in order to simplify our study: © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 614–628, 2023. https://doi.org/10.1007/978-3-031-21216-1_63
Topology Analysis of Multi-cellular Converters in a Wind Energy System
615
• The switches will be assumed to be perfect (voltage drop in the on state, leakage current and zero switching times). • Timeouts will be taken equal to zero. • The voltage and current sources will be assumed to be perfect. When linking semiconductor components in series, it is necessary to ensure a balanced distribution of the supply voltage on the various switches [1]. If we consider two switches with voltage withstand E/2 instead of one capable of withstanding E. If the floating voltage source delivers a voltage equal to E/2 then the distribution is balanced [2]. vcell1 = E/2; vcell2 = (E − E/2) = E/2
(1)
The generalization of this type of p-cell converter is shown I Fig. 1. Each pair constitutes a switching cell. The principle of this topology is to split the DC bus voltage into several elementary voltage sources. The operation of each switching cell is similar to that of a two-level inverter with a voltage source equal to E/p (p: the number of cells, E: the supply voltage) and a current source. Each blocked switch must maintain across its terminals a maximum voltage equal to: VIGBT = E/p
(2)
The converter is of p-cells, each cell consists of two switches and a voltage source, the switches operate in complement, when one is ON the other is blocked, the function of each cell i is represented by Si. It will also be called the state of cell i. The output voltage by Vs. Control by the Si gives 2p different configurations, but the number of output voltage levels is less in steady state p + 1.
(a)
(b)
Fig. 1. General structure of an arm of a series multicell converter with p switching cells
1.1 The Elementary Switching Cell The principle of an elementary switching cell is based on the following source interconnection rules: 1) A voltage source should never be short-circuited, but it can be open-circuited, 2) A current source must never operate in open circuit, but it can be in
616
A. H. Zebboudj et al.
short circuit, 3) Sources of the same type cannot be connected together, but sources of different types can be connected together (voltage-current). In order to comply with source interconnection rules, the Set S switch control signals must be of a complementary nature [5]. Thus, an elementary switching cell can only have two states. By convention, the switching cell is said to be at state 1 when the switch S is in the on state. In the same way it is said to be at state 0 when this same switch is in the off state. The elementary equations of the voltages and currents characterizing the cell is given by: ich = is − is (3) E = vs − vs Table 1 summarizes the main characteristics of a switching cubicle. Table 1. Characteristics of switching cell Etat
Etat S
Etat S
Vs
is
iS
vs
vS
1
Passant
Bloqué
E
ich
0
0
E
0
Bloqué
Passant
0
0
-ich
E
0
1.2 The Role of Capacitors in Serial Multicell Converter In the case of a multi-cell converter, p capacitors are put in series and connected in parallel with the main supply E, thus forming a voltage divider output [3]. The average voltage at the terminals of the capacitors is equal to E/p, it is used to maintain the balance of the voltages of the switches put in series, at the same time as it allows to have a multilevel voltage at the output of the converter [2]. The capacitor that supplies the output cell 1 of an arm must have a voltage equal to E/p, the voltage across the previous capacitor (cell 2) must be equal to 2E/p, finally the capacitor p, which supplies cell p, must have a voltage equal to E, this last capacitor is the one at the input of the converter (cell p) [1]. On the other hand, the evolution of the voltage at the terminals of a capacitor, during a time interval between t1 and t2 is given by: t2 1 i(t)dt (4) Vcp = Cp t1
2 Three-Cell Inverter The general diagram of a multicell inverter arm is shown in Fig. 2:
Topology Analysis of Multi-cellular Converters in a Wind Energy System
617
Fig. 2. Three-cell half-bridge multi-cell inverter
In this case we have 23 possible stat, knowing that the main power supply is represented by the voltage source E, the values of the internal sources vc1 and vc2 are deduced from the equations of the voltages of the switches. Thus, we find the values of the internal voltages (Table 2): vc1 =
1 2 E, vc2 = E 3 3
(5)
Table 2. State of internal voltages. State
S3
S2
S1
vs3
vs2
vs1
iE
ivc2
ivc1
vs
0
0
0
0
E-vc2
vc2 -vc1
vc1
0
0
0
0
1
0
0
1
E-vc2
vc2 -vc1
0
0
0
ich
E/3
2
0
1
0
E-vc2
0
vc1
0
ich
-ich
E/3
3
0
1
1
E-vc2
0
0
0
ich
0
2E/3
4
1
0
0
0
vc2 -vc1
vc1
ich
-ich
0
E/3
5
1
0
1
0
vc2 -vc1
0
ich
-ich
ich
2E/3
6
1
1
0
0
0
vc1
ich
0
-ich
2E/3
7
1
1
1
0
0
0
ich
0
0
E
We can generalize the previous method to express the main quantities of an association of p switching cells [8]. This definition is based on the results expressed in the two preceding tables (Table 3).
618
A. H. Zebboudj et al. Table 3. Generalized method Number of associated cells
p
Number of possible states
2p
Number of Output Voltage Levels
(p + 1)
Main supply voltage value
E
Ei = i ∗ E p
Cell voltage source value i
3 Modeling and Control of a Multicell Converter Series multicell converters, introduced in the 1990s, provide many degrees of freedom, among them the ability to distribute the voltage stresses of the switches and improve the harmonic content of the output voltage [9]. In this type of structures, it is necessary to balance the voltages of the internal capacitors to specific values. Pulse width modulation (PWM) control techniques allow this balancing [13], but favor slow dynamics and more particularly established regimes [1]. In this work, we propose a simple open loop control, based on natural balancing, then a closed loop control based on a pi [11, 12]. 3.1 Mathematical Model For the modeling of the inverter, an idealized operation is considered: Perfect switches, perfect Sources, neglected dead times. In the general case of a structure with (n) cells, the number of output levels is (N), and the number of capacitors is (n − 1). In this work, the following notation will be used with: Si represents the state of switch i. (If Si = 1: closed; If Si = 0: open). Celli represents celli, set of Si and Si . Ci represents capacitor i. 3.2 Model with Instantaneous Values The model with instantaneous values will allow us to highlight the phenomenon of natural balancing of capacitor voltages. Cell voltages can be expressed as a function of capacitor voltages as follows: vcelli = vCi − vCi −1
(6)
with vC0 = 0 and vCp = E vCp = E t
is dt Ci
(7)
(vCi − vCi −1 )Si
(8)
vCi (t) = vCi (0) + (Si+1 − Si ) 0
Finally, the output voltage can be written as follows: vs =
p i=1
Si vCelli =
p i=1
Topology Analysis of Multi-cellular Converters in a Wind Energy System
Then we have:
619
⎧ Si+1 − Si ⎪ ⎪ v˙ C = is ⎪ ⎪ ⎨ i Cp ⎪ ⎪ ⎪ v = (vCi − vCi −1 )Si s ⎪ ⎩ p
(9)
i=1
At equilibrium, the cell voltages are equal: vcell = E/n. Under these conditions, the voltages at the terminals of the capacitors take. 3.3 Inverter Model Figure 3 represents a multicell converter with p cells associated in series with an RL load supplied with a midpoint source (to have positive and negative voltages at the output) [13, 14]. To model this system, we need to implement: p-1 equations related to the evolution of the voltages at the terminals of the p-1 floating capacitors. An equation linked to the charging current ich [1].
Fig. 3. Capacitive mid-point p-cell inverter associated with an R-L load
The voltage at the terminal of the load is therefore written as follows:
Vch = Vs − E 2 = Rch ich + Lch dich dt
(10)
So, the general expression of the evolution of the charging current ich is written in the form:
[S1 − S2 ] Lch vC1 + [S2 −S3 ] Lch vC2 + ..... +
dich dt = [Sp−1 − Sp ] Lch vCp−1 − Rch ∗ ich Lch + [Sp − 1 2]E Lch . We can therefore represent the system operating as an inverter by the following set of equations:
⎧ dvC1 dt = [S2 − S1 ] C1 ich ⎪ ⎪ ⎪
⎪ ⎪ dv dt = [S3 − S2 ] C2 ich ⎪ ⎪ ⎨ C2 . ⎪ ⎪
⎪ ⎪ dvCp−1 dt = [Sp − Sp−1 ] Cp−1 ich ⎪ ⎪ ⎪
⎩ dich dt = [S1 − S2 ] Lch vC1 + [S2 − S3 ] Lch vC2 + ..... + [Sp−1 − Sp ] Lch vCp−1 − Rch ∗ ich Lch + [Sp − 1 2]E Lch
(11)
620
A. H. Zebboudj et al.
3.4 Simulation of the Model at Instantaneous Values In the following simulation we choose a 3-cell converter to illustrate the control, the following state representation is made for a 3-cell inverter. We put S2 − S1 = δ1 , S − S = δ , a = 1 C , a = 1 C2 , ………., ap−1 = S3 − S2 = δ2 , ………, p p−1 p−1 1 1 2 1 Cp−1 , b0 = Rch Lch , b1 = 1 Lch , vC1 = x1 , vC2 = x2 , ………., vCp−1 = xp−1 , ich = xp . ⎡
⎤ ⎡ ⎤ ⎡ ⎤ ⎡ ⎤ x1 vC1 0 0 a1 δ1 0 X = ⎣ x2 ⎦ = ⎣ vC2 ⎦, A = ⎣ 0 0 a2 δ2 ⎦, B = ⎣ 0 ⎦ x3 ich −b1 δ1 −b1 δ2 −b0 b1 S3
(12)
3.5 Control of Switches In the case of the control of the switches of a multicell arm, the p control signals must have identical duty cycles ui and a phase shift of 2π p corresponding to the phase between two signals controlling two adjacent cells. Obtaining these signals Si is possible from the production of p triangular signals of frequency fp and phase shifted from each other by 2π p. For inverter operation, the control signals by modulation are given by the following Fig. 4.
Fig. 4. Control signal by modulation-inverter operation & PWM
The carrier functions are given by:
(13)
The comparison between the signals Port i and the modulate will allow us to find the signals e command Si as follows: If mod i − Prti ≥ 0⇒ Si = 1. If not then: Si = 0. 3.6 Open Loop Simulation The multi-cell is operating as an inverter in this case, the method is called the natural balancing of floating tensions. The capacitor voltages and thus the charging current are
Topology Analysis of Multi-cellular Converters in a Wind Energy System
621
initially zero, the dynamics of the system will lead it to a state of equilibrium where the voltages and are respectively E 3 and 2E 3. Consider a 3-cell inverter whose characteristics are as follows: , with ⎧ ⎪ ⎨ vcel1 = vC1 vcel2 = vC2 − vC1 (14) ⎪ ⎩ vcel3 = E − vC2 If the switching cell control signals have the same duty cycles and are out of phase by 2π p then there isonly one open-loop stable state for the distribution of the capacitor voltages: vCi = i.E p with i ∈ [1, ..., p − 1] (Figs. 5 and 6).
Fig. 5. Natural voltage balancing across a) capacitor C1 b) capacitor C2
Fig. 6. a) Natural balancing of switching cell voltages. b) Steady-state charging voltage.
3.7 Medium Model of a Multicellular Arm The average values of the current flowing in the switch Si and of the voltage across the terminals of the switch S i are expressed: (15)
622
A. H. Zebboudj et al.
(16) This Information allows us to define the average equivalent diagram of a multicellular arm represented by the Fig. 7, such that each switch S i can be expressed by a voltage source ui [vCi − vCi −1 ], thus each switch Si can be expressed by a source current ui Ich .
Fig. 7. Equivalent diagram of the average model of a multicellular arm.
The average output voltage VS is obtained by the sum of the average voltages VS i . In the case where the duty cycles are equal and the voltages of the floating capacitors are balanced, we can write: (17) VS = ui .E and ICi = ISi+1 − ISi = ui+1 − ui .Ich Hence the equation governing the voltages of floating capacitors becomes: dVCi dt = ICi Ci = ui+1 − ui .Ich Ci . We put αi = ui+1 − ui So VS = p−1 − αi .VCi + up .E. In chopper operation the load voltage Vch is equal to the output i=1
voltage Vs , and if the load is of the R-L type then its expression is written in the form: Vch = Vs = Rch Ich +Lch dIch dt. In inverteroperation, the load voltage is written in the form: Vch = Vs − E 2 = Rch Ich + Lch dIch dt. We will focus on the chopper operation where: dIch
p−1
dt = − αi Lch VCi + up ∗ E Lch − Rch ∗ Ich Lch
(18)
i=1
We can make the state space equation of the system such as the stat vector is t t VC1 , VC2 , ........, VCp−1 , Ich [14], and the command vector is α1 , α2 , ....., αp−1 , up under the form X˙ = AX + BU , where ⎡
0 ⎢ ⎢0 A=⎢ ⎣. 0
0 0 . 0
... ... ... ...
⎡
⎤ Ich C1 0 .... 0 0 ⎢ ⎥ 0 0 Ich Cp−2 0 ... 0 ⎥ ⎢ ⎥ ⎢ ⎥ 0 ⎥ ⎢ ⎥ . . . . . ⎥ and B = ⎢ ⎥ ⎦ . ⎢ ⎥ 0 C 0 .... 0 I p−1 ch ⎣ ⎦ −Rch Lch −VC1 Lch .... −VCp−2 Lch −VCp−1 Lch E Lch ⎤
Topology Analysis of Multi-cellular Converters in a Wind Energy System
623
3.8 Closed Loop Control Without Current Regulation We
have
, Then: , So, the command αi in the imbalance is expressed
in the form: (19) Our main concern being the control of the voltages VCi , the input quantity is constant and we have chosen the duty cycle arbitrarily up . We have: ui = ui+1 − αi . By choosing the cyclic ratio up we could easily reconstitute the (p-1) cyclic ratios [11] (Fig. 8).
Fig. 8. Structure of the control law modulating the duty cycles
The control law is given by the equation: (20) The difference i.E p − VCi matches the error εVCi . By considering that the current can be represented by its average value over a chopping period, it is possible to define in the frequency domain a control loop specific to each capacitor. The transfer where . The reference duty function of this system is given by cycle uref makes it possible to calculate the rest of the duty cycles using the recurrence equation:
(21)
624
A. H. Zebboudj et al.
Fig. 9. Voltage across a) capacitor C1, b) capacitor C2
Then . We assume that the ripple of VCi is fixed at , Simulation We take 10% of E / p, the time constant will be than: (Figs. 9 and 10). *
Fig. 10. Switching cell voltages.
4 Decoupling Command In order to have a means of comparing the direct predictive control, we have chosen to set up the decoupling type control which makes it possible to control the floating voltages while ensuring good monitoring of the current thanks to the installation of a corrector PI type. This control is made using a classic control principle for multi-cell inverters, i.e., with a phase-shifted PWM between the switching cells by a value of 2π p with p the number of cells. The control of the floating voltages remains the same, the only difference is that the reference duty cycle will be calculated from the current regulation loop. The open loop transfer function is given by: FTBO = Ich Vch = 1 (Lch .s + Rch ) (Fig. 11). Then the closed loop transfer function is given by the equation:
FTBF = s.Kp + Ki
s + s Rch Lch + s (Rch + Kp ) Lch + Ki Lch And : 2
Kp = 2ξ ωn .Lch − Rch Ki = Lch .ωn2
(22)
Topology Analysis of Multi-cellular Converters in a Wind Energy System
625
Vch
Fig. 11. Regulation loop for the decoupling command
√ We choose ξ = 077 = 2 2, ωn = 5.8 tr . The decoupling control simulations are carried out with the following parameters: (Figs. 12 and 13) [17].
Fig. 12. The voltage across a) Capacitor 1 and its reference. b) Capacitor 2 and its reference.
Fig. 13. a) result of regulation of load current Ich, b) Evolution of switching Cell votages
5 Sliding Mode Control of Multicell Converter Sliding mode control is a suitable control solution for Switching converter [12]. We propose in this section a sliding mode control for the studied multicell converter [3]. Sliding mode control is a nonlinear control technique based on variable structure theory. It is very simple to complete and gives robustness to the controlled system and its dynamic
626
A. H. Zebboudj et al.
response [19]. For the proposed sliding mode control [1, 20], the sliding surface is defined as follows: ⎧ S1 = (Vref 1 − VC1 )Iref ⎪ ⎪ ⎪ ⎪ ⎪ ⎪ ⎨ S2 = (Vref 2 − VC2 )Iref . (23) ⎪ ⎪ ⎪ Sp−1 = (Vrefp−1 − VCp−1 )Iref ⎪ ⎪ ⎪ ⎩ Sp = (Iref − Ich )E Our objective is to control the sliding surface such that: Si = 0. Where VCk is the voltage of the k th floating capacitor, and Vrefk is the reference define by the equation: Vrefk = kE p where k = 1......(p − 1) (24) The control signal is defined by:
uk = (1 + sign(si )) 2 where k = 1......p
(25)
In order to illustrate the proposed control, we consider a three-cell multicell connected to an R-L load. The control law aims to ensure the convergence of the Si sliding surfaces towards zero, to allow the variables to reach their reference [18, 20]. We use the same parameter as in the previous control law [14] (Fig. 14).
Fig. 14. a) The floating voltag control, b) C2 floating voltage control, c) R-L load voltage, d) Load current control
6 Conclusion We treated in this work a multicellular converter in chopper and inverter operation, then we applied some advanced commands on a multicellular arm. Both controls give better
Topology Analysis of Multi-cellular Converters in a Wind Energy System
627
performance with fast dynamics. It can be concluded that the curves of the voltages and currents present fewer disturbances.
References 1. Ciobotaru, M., Iov, F., Zanchetta, P., De Novaes, Y., Blaabjerg, Y.: Study and analysis of a natural reference frame current controller for a multi-level H-bridge power converter. In: 2008 IEEE Power Electronics Specialists Conference, pp. 2914–2920 (2008). https://doi.org/ 10.1109/PESC.2008.4592392 2. Iman-Eini, H., Farhangi, S., Schanen, J.-L., Khakbazan-Fard, M.: A modular power electronic transformer based on a cascaded H-bridge multilevel converter. Electr. Power Syst. Res. 79(12), 1625–1637 (2009). https://doi.org/10.1016/j.epsr.2009.06.010 3. Mahajan, S.B., Sanjeevikumar, P., Wheeler, P., Blaabjerg, F., Rivera, M., Kulkarni, R.: X-Y converter family: a new breed of buck boost converter for high step-up renewable energy applications. In: 2016 IEEE International Conference on Automatica (ICA-ACCA), pp. 1–8 (2016). https://doi.org/10.1109/ICA-ACCA.2016.7778458 4. Padmanaban, S., Blaabjerg, F., Wheeler, P., Ojo, J.O., Ertas, A.H.: High-Voltage DC-DC converter topology for PV energy utilization—investigation and implementation. Electr. Power Compon. Syst. 45(3), 221–232 (2017). https://doi.org/10.1080/15325008.2016.1248251 5. Doghmane, M.Z., Bacetti, A., Kidouche, M.: Stick-slip vibrations control strategy design for smart rotary drilling systems. In: Hatti, M. (ed.) Artificial Intelligence and Renewables Towards an Energy Transition. ICAIRES 2020. Lecture Notes in Networks and Systems, vol. 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_20 6. Doghmane, M.Z., Kidouche, M.: Optimal decentralized state control of multi-machine power system based on loop multi-overlapping decomposition strategy. In: Bououden, S., Chadli, M., Ziani, S., Zelinka, I. (eds.) ICEECA 2019. LNEE, vol. 682, pp. 217–231. Springer, Singapore (2021). https://doi.org/10.1007/978-981-15-6403-1_15 7. Dang, H.Q.S., et al.: Advanced integration of multilevel converters into power system. In: 2008 34th Annual Conference of IEEE Industrial Electronics, pp. 3188–3194 (2008). https:// doi.org/10.1109/IECON.2008.4758471 8. Siddiqui, K.M., Srivastava, D., Teotia, G., Singh, G.: i-Manager’s journal on power systems engineering. Nagercoil 4(4), 17–31 (2016–2017) 9. Mendil, C., Kidouche, M., Doghmane, M.Z.: Modeling of hydrocarbons rotary drilling systems under torsional vibrations: a survey. In: Hatti, M. (ed.) Artificial Intelligence and Renewables Towards an Energy Transition. ICAIRES 2020. Lecture Notes in Networks and Systems, vol. 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_24 10. Bifaretti, S., Zanchetta, P., Watson, A., Tarisciotti, L., Bellini, A., Clare, J.: A modulation technique for high power AC/DC multilevel converters for power system integration. In: 2010 IEEE Energy Conversion Congress and Exposition, pp. 3697–3704 (2010). https://doi. org/10.1109/ECCE.2010.5618345 11. Mendil, C., Kidouche, M., Doghmane, M.Z.: Automatic control of a heat exchanger in a nuclear power station: the classical and the fuzzy methods. In: 2019 International Conference on Advanced Electrical Engineering (ICAEE), pp. 1–6 (2019). https://doi.org/10.1109/ICA EE47123.2019.9014661 12. Quraan, M., Farhat, Q., Bornat, M.: A new control scheme of back-to-back converter for wind energy technology. In: 2017 IEEE 6th International Conference on Renewable Energy Research and Applications (ICRERA), pp. 354–358 (2017). https://doi.org/10.1109/ICR ERA.2017.8191085
628
A. H. Zebboudj et al.
13. Riane, R., Kidouche, M., Illoul, R., Doghmane, M.Z.: Unknown resistive torque estimation of a rotary drilling system based on Kalman filter. IETE J. Res. (2020). https://doi.org/10. 1080/03772063.2020.1724834 14. Hand, B., Kelly, G., Cashman, A.: Structural analysis of an offshore vertical axis wind turbine composite blade experiencing an extreme wind load. Mar. Struct. 75, 102858 (2021). ISSN 0951-8339.https://doi.org/10.1016/j.marstruc.2020.102858 15. Doghmane, M.Z., Kidouche, M., Ahriche, A.: Decentralized overlapping control design with application to rotary drilling system. IETE J. Res. (2021). https://doi.org/10.1080/03772063. 2021.1886602 16. Doghmane, M.Z., Kidouche, M., Eladj, S., Belahcene, B.: Design of optimal decentralized controller using overlapping decomposition for smart building system. In: Hatti, M. (ed.) Artificial Intelligence and Renewables Towards an Energy Transition, ICAIRES 2020. Lecture Notes in Networks and Systems, vol. 174. Springer, Cham (2021). https://doi.org/10.1007/ 978-3-030-63846-7_16 17. Mendil, C., Kidouche, M., Doghmane, M.Z.: A study of the parametric variations influences on stick-slip vibrations in smart rotary drilling systems. In: Hatti, M. (ed.) Artificial Intelligence and Renewables Towards an Energy Transition. ICAIRES 2020. Lecture Notes in Networks and Systems, vol. 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-638467_67 18. Mendil, C., Kidouche, M., Doghmane, M.Z.: Hybrid sliding PID controller for torsional vibrations mitigation in rotary drilling systems. Indonesian J. Electric. Eng. Comput. Sci. 22(1), 146–158 (2021). https://doi.org/10.11591/ijeecs.v22.i1.pp146-158 19. Pradhan, R., Subudhi, B.: Double integral sliding mode MPPT control of a photovoltaic system. IEEE Trans. Control Syst. Technol. 24(1), 285–292 (2016). https://doi.org/10.1109/ TCST.2015.2420674 20. Mendil, C., Kidouche, M., Doghmane, M.Z.: Hybrid backstepping sliding mode controller for stick–slip vibrations mitigation in rotary drilling systems. IETE J. Res. (2021). https:// doi.org/10.1080/03772063.2021.1919217
Modeling and Simulation of an Operating BLDC with Bidirectional Rotation Configurations Mawloud Tellaa1(B) , Mohamed Z. Doghmane1,2 , Abderrezak Aibeche1 , and Aimad Ahriche1 1 Laboratoire d’Automatique Appliquée (LAA), Département d’automatisation et Electrification des Procédés, Faculté des Hydrocarbures et de la Chimie (FHC), Université M’hamed Bougara-Boumerdes, 35000 Boumerdes, Algeria [email protected] 2 DOE, Exploration Division, SONATRACH, Hassi Messaoud, Algeria [email protected]
Abstract. In order to better highlight the advantages of the brushless DC motor and in order to test these performances, we must have a dynamic model in the form of differential equations. This model makes it possible to simulate its behaviour and reproduce its speed, its torque and the currents as well as the EMFs in each phase. In this study, the model has been derived from the mechanical and electrical equations of the assembled motor-inverter. Moreover, the model corresponding to each energizing sequence has been developed in form of differential equations, and consequently the simulation of the dynamic behaviour of the motor-inverter assembly has been conducted based on these models. As most applications of the Brushless motor require movement in both directions and on the other hand the opposite direction is obtained by reversing the ignition sequence of the transistors of the inverter. Based on the obtained results the valid model for both directions has been determined. Keywords: Brushless motor · BLDC motor · Modelling · Simulation · Differential equations · Inverters
1 Introduction Most of electric actuators including synchronous and asynchronous motors, BLDC motors, DC motors are omnipresent in electrical energy systems and networks [1]. From those found in our home appliances and computers, to motors in cars, trains, planes, and ships, to those that drive conveyors, pumps, and robots in factories, machines are everywhere. The majority of industrial control systems use BLDC motors, which have gradually taken the place of conventional DC motor due to their low cost, reduced mass, robustness and simplicity of manufacture and maintenance. For all these reasons, the orientation towards research leading to better exploitation of the BLDC motor as a robust actuator is very justified, this comes in parallel with the progress of power electronics, linked to the appearance of switch components [2]. It is now possible to choose a much © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 629–638, 2023. https://doi.org/10.1007/978-3-031-21216-1_64
630
M. Tellaa et al.
more advanced control structure [3]. The brushless direct current motor, or Brushless DC motor, which is also sometimes called ECM (”Electronically Commutated Motor”), is a quasi-compliant copy of the collector DC motor [4]. With the difference that the switching function, carried out mechanically by the collector in the case of the brushed DC motor, it is carried out electronically, without any mechanical contact between stator and rotor. In order to overcome the disadvantages of mechanical switching, it is necessary as far as possible to remove the commutator and try to electronically reproduce the function it performs. For this purpose, the switching will be performed electronically. The brushless DC motor can be defined as a combination of a synchronous machine, a solid state converter, and rotor position sensors [5], which results in a drive system having a linear torque-speed characteristic as in the case of a conventional DC motor [6, 7], which does not have brushes or commutators [8]. The BLDC motor is found in various applications requiring high performance in terms of reliability and operational safety such as electric and hybrid vehicles, spacecraft, aeronautics, robotics, as well as in small applications such as the drive of hard disks and CD-ROM drives, …etc [9–11]. This present work is the subject of a study of the brushless DC motor starting from its basic principle through its modelling in both direction of operation arriving at its current and speed simulation.
2 Simplification Assumptions The analysis is made according to the following assumptions: • The six transistors T1 , T2 , T3 , T1 , T2 , T3 have identical characteristics; in the offstate they are modeled as infinite impedances and in the On state they are represented as closed contacts. In our case we neglect the voltage drop in the transistors [12]. The same assumptions are valid for free-wheeling diodes denoted D1 , D2 , D3, D1 , D2 , D3 . • The three phases of the motor are fully symmetrical and produce periodic induced emfs of trapezoidal shapes [13]. • The motor parameters are constant [15]. • The switching time between the phases is separated with 60° [16]. • The magnetic circuit is linear (absence of saturation) • The basic circuit is shown in Fig. (1).
Modeling and Simulation of an Operating BLDC
631
Fig. 1. Basic circuit
3 Analysis in the Reference System (ABC) The typical mathematical model of a three-phase BLDC motor is described by the following Eq. [1]: ⎤ ⎡ ⎡ ⎤⎡ ⎤ ⎤⎡ ⎤ ⎡ ⎤ R0 0 ea L−M 0 0 va ia ia d ⎣ 0 L − M 0 ⎦⎣ ib ⎦ + ⎣ eb ⎦ ⎣ vb ⎦ = ⎣ 0 R 0 ⎦⎣ ib ⎦ + dt vc ic ic ec 0 0R 0 0 L−M ⎡
3.1
(1)
The Electromotive Force
The induced back-EMF is determined by the angular position of the magnet, i.e. the rotor, it is very important to note that the peak value of the back-EMF is directly proportional to the instantaneous angular velocity of the rotor: Ep = ke .wr
(2)
The functions of the back-EMFs are assumed to be trapezoidal in shape and the phase current started at 30° (electric angle) [16]. The typical shapes of the induced back-EMFs and currents in the three phases are shown in Figs. (2.a) (2.b).
632
M. Tellaa et al.
4 The Mechanical Equation of Motion The mechanical model of the BLDC motor is given by Eq. (3) where the rotor position dynamics (d θr )/dt is determined by its inertia J, its angular acceleration (dwr )/dt, the developed electromagnetic torque ce and the torque due to the load cr [12, 14]. Then the mechanical equation is given by: j.
dwr = ce − fwr − cr dt
(3)
where: wr is the angular velocity [ rad. s−1 ], ce is the developed electromagnetic torque [N.m], cr is the load torque [N.m]. f is the coefficient of friction ([N.m]/[ rad.s−1 ]) [6].
5 Motor-Inverter Model The permanent magnet is supposed to be in any position, then it will create back-EMF in the phases of the stator, this back-EMF is supposed to be trapezoidal, we know that the sign of the back-EMF is imposed by the laws of physics and depends essentially on the rotor direction [4]. Therefore, we will act on the currents to impose the operating mode, we impose a current of the same direction as the back-EMF of this phase and when the back-EMF presents its flat part (Figs. 3 and 4) which ensures the operation motor [17]. To do this we must control the transistor which gives us the desired current.
Fig. 2. (a) Typical forms of currents, back-EMF in CW direction, (b) Typical forms of currents , back-EMFs in CCW direction
Modeling and Simulation of an Operating BLDC
(a)
633
(b)
Fig. 3. Hall effects sensors signal and phases current relationship
(a)
(b)
Fig. 4. Transistor signals for: (a) the forward direction, and (b) the reverse direction
6 Simulation Results and Interpretation As most BLDC motors are oriented towards low power applications then the motor chosen for the simulation is built for low powers [2, 18]. Table (1) presents the parameters necessary to make the simulation:
634
M. Tellaa et al. Table 1. Parameters of the motor used The parameters of the used motor
Values
Phase resistance R []
4
The phase self-inductance L [H]
0.0014
The moment of inertia J [N/m2]
4.65 *1 0−6
The resistive torque constant kcr [N.m/A]
1.6667*1 0−4
The electromagnetic torque constant 26.1*1 0−3 ke [N.m/A] The coefficient of friction f [Nm. s /rad]
1.5*1 0−6
Mutual inductance M [H]
– 0.000466
Max voltage Ud [V]
24
The max current Id [A]
2
7 Operation in clockwise direction The motor being initially stopped, the DC voltage U d = 24 V is applied to the input of the switch. The switch connects the windings (a, b, c) according to the direct sequence in Fig. (5.a). As a result, we obtain the currents in the three windings according to Fig. (5.b) and the direct current id according to Fig. (5.b). It appears that the torque C e follows the same form as that of the direct current id in Fig. (5.c), which is consistent with that provided by a conventional DC motor (with independent excitation). The motor speed starts from zero and stabilizes at 3000 RPM Fig. (5.e), there appears a low ripple due to the presence of the discontinuity in the current id [19]. To attenuate its effect, it would above all be necessary to reduce the duration of this discontinuity. The current in phase a and its corresponding back-EMF are given by Fig. (5.f).
8 Analysis and Comments We note that the total current has a continuous form, but it presents a discontinuity, also the torque has the same form as current, in order to have a smoothed torque, it is necessary to create the conditions which attenuate the duration of the discontinuity. We also notice that brushless motors are designed so that the induced back-EMF is in phase with the current of the same phase and is constant when the current is constant; this situation produces an optimal torque in a motor [20]. The simulation shows that current disturbances directly affect torque and the torque ripples have a direct impact on the speed [7, 19].
Modeling and Simulation of an Operating BLDC
635
Fig. 5. (a) Transistor Signals, (b) The Currents Of The Three Phases, (c) The Current id , (d) The Torque C e , (e) The Speed, (f) Current ia and the back-EMF ea
9 Operation in counter-clockwise direction Below the simulation results when the motor turn in reverse direction (Fig. 6).
636
M. Tellaa et al.
Fig. 6. (a) Transistor Signals, (b) The currents of the three phases, (c) The Currentid , (d) The TorqueC e , (e) The Speed, (f) Current ia and the back-EMF ea
Modeling and Simulation of an Operating BLDC
637
10 Results and Discussion This time we notice that the torque does not have the same sign as the total current. This is normal because the torque is given by the ratio of the power to the speed, as the power is positive then the torque takes the negative sign of the speed which is not the same thing as classic DC motor where the torque has the same sign as the current id .
11 Conclusion We treated in this paper the operation of the motor in the forward and reverse directions, initially we have chosen the good sequence of ignition of the transistors, we established thereafter the equations that governs the operation of the motor, and these equations have been injected in a simulation program. The latter gave us results close to the real operation of the motor. Therefore, we can conclude that the selected models are reliable and can be used for fractional PID controller design.
References 1. Das, D., Kumaresan, N., Nayanar, V., Navin Sam, K., Ammasai Gounden, N.: Development of BLDC motor-based elevator system suitable for DC microgrid. IEEE/ASME Trans. Mechat. 21(3), 1552–1560, (2016). https://doi.org/10.1109/TMECH.2015.2506818 2. Doghmane, M.Z., Kidouche, M.: Optimal decentralized state control of multi-machine power system based on loop multi-overlapping decomposition strategy. In: Bououden, S., Chadli, M., Ziani, S., Zelinka, I. (eds.) ICEECA 2019. LNEE, vol. 682, pp. 217–231. Springer, Singapore (2021). https://doi.org/10.1007/978-981-15-6403-1_15 3. Doghmane, M.Z., Kidouche, M., Ahriche, A.: Decentralized overlapping control design with application to rotary drilling system. IETE J. Res. (2021). https://doi.org/10.1080/03772063. 2021.1886602 4. Khan, S.A., Khare, D., Likhar, Y.: Control BLDC motor using Bidirectional DC converter for electric vehicle. Int. J. Sci. Res. Eng. Trends 7(3) (2021), ISSN: 2395–566X 5. Shifat, T.A., Hur, J.-W.: ANN assisted multi sensor information fusion for BLDC motor fault diagnosis. IEEE Access 9, 9429–9441 (2021). https://doi.org/10.1109/ACCESS.2021. 3050243 6. Riane, R., Kidouche, M., Illoul, R., Doghmane, M.Z.: Unknown resistive torque estimation of a rotary drilling system based on Kalman Filter. IETE J. Res. (2020). https://doi.org/10. 1080/03772063.2020.1724834 7. Pothorajoo, S., Daniyal, H.: PID bidirectional speed controller for BLDC with seamless speed reversal using direct commutation switching scheme. In: 2017 IEEE 8th Control and System Graduate Research Colloquium (ICSGRC), pp. 7–12 (2017). https://doi.org/10.1109/ICS GRC.2017.8070558 8. Nandakumar, M., Ramalingam, S., Nallusamy, S., Rangarajan, S.S.: Hall-sensor-based position detection for quick reversal of speed control in a BLDC motor drive system for industrial applications. Electronics 9(7), 1149 (2020). https://doi.org/10.3390/electronics9071149 9. Mendil, C., Kidouche, M., Doghmane, M.Z.: Hybrid sliding PID controller for torsional vibrations mitigation in rotary drilling systems. Indonesian J. Elect. Eng. Comput. Sci. 22(1), 146–158 (2021). https://doi.org/10.11591/ijeecs.v22.i1.pp146-158
638
M. Tellaa et al.
10. Mendil, C., Kidouche, M., Doghmane, M.Z.: Automatic control of a heat exchanger in a nuclear power station: The classical and the fuzzy methods. In: International Conference on Advanced Electrical Engineering (ICAEE), vol. 2019, pp. 1–6 (2019). https://doi.org/10. 1109/ICAEE47123.2019.9014661 11. Doghmane, M.Z., Kidouche, M., Eladj, S., Belahcene, B.: Design of optimal decentralized controller using overlapping decomposition for smart building system. In: Hatti, M. (ed.) ICAIRES 2020. LNNS, vol 174. Springer, Cham (2021). https://doi.org/10.1007/9783-030-63846-7_16 12. Mendil, C., Kidouche, M., Doghmane, M.Z.: Modeling of hydrocarbons rotary drilling systems under Torsional vibrations: a survey. In: Hatti, M. (ed.) ICAIRES 2020. LNNS, vol 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_24 13. Awaze, S.K.: Four quadrant operation of BLDC motor in MATLAB/SIMULINK. In: 2013 5th International Conference and Computational Intelligence and Communication Networks, pp. 569–573 (2013). https://doi.org/10.1109/CICN.2013.124 14. Mendil, C., Kidouche, M., Doghmane, M.Z.: Hybrid backstepping sliding mode controller for stick–slip vibrations mitigation in rotary drilling systems. IETE J. Res. (2021). https:// doi.org/10.1080/03772063.2021.1919217 15. Mendil, C., Kidouche, M., Doghmane, M.Z.: A study of the parametric variations influences on stick-slip vibrations in smart rotary drilling systems. In: Hatti, M. (ed.) ICAIRES 2020. LNNS, vol 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_67 16. Tang, Z.-H., Chen, Y.-T., Luli, J., Liang, R.-H.: Analysis and design of a smart startup method for a single-phase BLDC fan motor. Electric Power Compon. Syst. 46(16–17), 1844–1856 (2018). https://doi.org/10.1080/15325008.2018.1527867 17. Murali, T., Chandran, C.R.: Four quadrant operation and control of three phase BLDC motor without loss of power. In: 2017 International Conference on Circuit ,Power and Computing Technologies (ICCPCT), pp. 1–6 (2017). https://doi.org/10.1109/ICCPCT.2017.8074299 18. Ramasamy, M., Somasundram, R., Ramasamy, A.: dSPACE real time implementation of fuzzy PID position controller for vertical rotating single link arm robot using four-quadrant BLDC drive, Acta Scientiarum. Technology. Electr. Eng. 39(3) (2017). https://doi.org/10. 4025/actascitechnol.v39i3.28416 19. Manikandan, R., Arulmozhiyal, R.: Modeling and simulation of fuzzy based BLDC fed vertically rotating one DOF robot arm position control system. In: 2016 10th International Conference on Intelligent Systems and Control (ISCO), pp. 1–7 (2016). https://doi.org/10. 1109/ISCO.2016.7727135 20. Doghmane, M.Z., Bacetti, A., Kidouche, M.: Stick-slip vibrations control strategy design for smart rotary drilling systems. In: Hatti, M. (ed.) ICAIRES 2020. LNNS, vol 174. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_20
BLDC Speed Control Based on Fractional PID Controller Mawloud Tellaa1(B) , Abderrezak Aibeche1 , Mohamed Z. Doghmane1,2 , and Aimad Ahriche1 1 Laboratoire d’Automatique Appliquée (LAA), Département d’automatisation et
Electrification des Procédés, Faculté des Hydrocarbures et de la Chimie (FHC), Université M’hamed Bougara-Boumerdes, 35000 Boumerdes, Algeria [email protected] 2 DOE, Exploration Division, SONATRACH, Hassi Messaoud, Algeria [email protected]
Abstract. Fractional order systems are dynamical systems described by fractional order differential equations. The goal of fractional calculus is to generalize traditional derivatives to non-integer orders, but why? Many dynamical systems are best characterized by a dynamical model of fractional order, generally based on the notion of differentiation or integration of the non-integer order since, as is well known, non-integer derivatives possess an effect of memory which they share with several materials such as visco-elastic materials or polymers. This fact is also one of the reasons why fractional calculus has recently been of great interest. The use of the memory effect of fractional derivatives in the construction of simple material models comes with a high cost with respect to numerical resolution. While using a discretization algorithm for non-integer derivatives one has to take into account its non-local structure which generally means high information storage and high complexity of the algorithm. In this chapter, we will define the basic notions of fractional order operators and systems, the properties of fractional order operators, and the methods of approximation of fractional order operators, the applied fractional order PID for BLDC speed regulation. At the end we make a comparison of the system response with FOPID to its response when using classical PID. Keywords: BLDC · FOPID · Fractional order PID · Brushless
1 Introduction Electric actuators (synchronous and asynchronous motors, BLDC motors, DC motors) are omnipresent in electrical energy systems and networks [1]. From those found in our home appliances and computers, to motors in cars, trains, planes, and ships, to those that drive conveyors, pumps, and robots in factories, machines are everywhere [2]. The majority of industrial control systems use BLDC motors, which have gradually taken the place of conventional DC motor due to their low cost, reduced mass, robustness and simplicity of manufacture and maintenance [3, 4]. For all these reasons, the orientation © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 639–651, 2023. https://doi.org/10.1007/978-3-031-21216-1_65
640
M. Tellaa et al.
towards research leading to better exploitation of the BLDC motor as a robust actuator, is very justified, this comes in parallel with the progress of power electronics, linked to the appearance of fast switching components, as well as the development of control techniques, wired or programmed, it is now possible to choose a much more advanced control structure [5]. The brushless direct current motor, or Brushless DC Motor, which is also sometimes called ECM (“Electronically Commutated Motor”) is a quasi-compliant copy of the collector DC motor, with the difference that the switching function, carried out mechanically by the collector in the case of the brushed DC motor, is carried out electronically, without any mechanical contact between stator and rotor being necessary [6]. In order to overcome the disadvantages of mechanical switching, it is necessary as far as possible to remove the commutator and try to reproduce the commutation electronically. For this purpose, the switching will be performed electronically. The brushless DC motor can be defined as a combination of a synchronous machine, a solid state converter, and rotor position sensors which results in a drive system having a torque-speed characteristic [6, 7]. Linear as in the case of a conventional DC motor but which does not have brushes or commutators [8]. The BLDC motor is found in various applications requiring high performance in terms of reliability and operational safety such as electric and hybrid vehicles, spacecraft, aeronautics, robotics, as well as in small applications such as the drive of hard disks and CD-ROM drives, etc. [9–11]. In recent decades intensive research has continued to improve the quality and performance of the control [11, 15]. In the early 1990s, the CRONE regulator was proposed by Oustaloup. This corrector takes advantage of the advantageous properties of fractional order systems which have emerged thanks to fractional calculation, this regulator made it possible to ensure the robustness of the control in a given frequency band [9, 12]. Since this initiative, fractional order control has captured the interest of many researchers. μ In 1999, Podlubny who proposed the PI λ D regulator, which is a generalization of the classic PID corrector, including a fractional integration of order λ and a fractional derivation of order μ, which will allow to widen the field application of fractional calculus to control theory, which has directed several researchers to a new line of research which is the adjustment of the fractional order PID corrector [13]. This present work is the subject of a study of the brushless DC motor starting from its basic principle through its modeling arriving at its speed control [8, 14]. The control part concerns the adoption of the Nelder-Mead optimization method with the ITAE criterion for the optimization of μ the parameters of the fractional order PI λ D controller, with the objective of having an optimal response of the controlled system (BLDC motor speed) [7, 16]. This study presented in this paper is devoted to the study of the speed control, using μ the fractional order PI λ D controller after the optimization of its parameters using the Nelder-Mead optimization method with ith the ITAE criterion, at the end we will present μ also a comparison between PI λ D and conventional PID.
2 Fractional Calculation Fractional calculus is the branch of calculus which is usually the integration and derivative of a function of non-integer order. The idea of fractional calculus appeared three centuries ago when in 1965 the mathematician Leibniz mentioned it in a communication
BLDC Speed Control Based on Fractional PID Controller
641
addressed to the hospital. It was not until Abel (1823), Liouville (1832), Riemann (1853) and Heaviside (1892) that the theory of fractional calculus was formalized. Resulting from purely theoretical problems, its field of application is very vast and affects almost all areas. The integrated-differential operator cDm t where c and t are the limits of the operation is defined as: ⎧ dm ⎨ dtm .............R(α) > 0, = α CDm (1) 1.........................R(α) = 0 t ⎩ t −m .......R(α) < 0, c (dτ ) where m is the order of the operation, usually α ∈ R. We use also other functions such as: Gamma function: +∞ e−t t z−1 DT, ∀z ∈ R (2) Γ (Z) = 0
Mittag-Leffler function: Eα,β (z) =
+∞ k=0
zk , (α, β > 0) Γ (αk + 1)
(3)
3 Modeling Fractional Order Systems As in the whole case, there are different models that represent the fractional order systems we mainly cite [14], Fractional Order Differential Equation: n m ak Dkα y(t) = bk Dkα u(t) (4) k=0
k=0
In the continuous case, the transfer function of a system of commensurable order is given by the equation: m bk (S α )k (5) G(s) = k=0 n α k k=0 ak (S )
4 Fractional Order PID Controller In recent years, research has shown that controllers using fractional-order derivators and integrators achieve better performance and increased robustness compared to conventional controllers [3, 16]. Fractional-order PID controller is more flexible and allows response more accurate with better dynamics [10]. The fractional order PID controller (PIDOF) was first proposed by Podlubny et al. In 1999. This regulator is the generalization of the classic PID controller extended to fractional calculation [5]. The robust control of non-integer order [“Fractional Order” PID (FOPID)] is characterized by fractional
642
M. Tellaa et al.
differential equations of non-integer order presented by Eq. (4) [9, 13]. The general form of the PIDOF is a proportional function having an integrator of order λ and a differentiator of order μ whose transfer function is given by Eq. (6) and Fig. 1: C(S) = Kp + KD S λ +
KI Sμ
(6)
For this controller, the parameters Kp , KI , KD , ωl , ωh , λ, μ, respectively represent: the proportional corrector, the integrator corrector, the differentiator corrector, and the bounds of the frequency domain of validity, and λ, μ are the exponents of integrator and differentiator behavior. Note that the fractional orders λ, μ can be complex or real numbers [9, 17].
Fig. 1. Structure of the PI λ Dμ
Several methods are used in the literature for approximating fractional order operators. There are thirty-two methods in which the main ones are used for regulation with a PID of non-integer order. Among them, we can cite: •The ‘Crone’ approximation method; •The ‘Carlson’ approximation method; •The ‘Matsuda’ approximation method; •The Oustaloup approximation method; Below we will put some detail on the Oustaloup method, the one used for the approximation.
5 Oustaloup Method The simulation of a fractional order system is in most cases very complicated.Several approaches for simulating fractional order systems have been developed in the literature. Most of them are based on the approximation of the fractional order system by an integer order model [9]. These approaches consist in calculating the output of the system using an equivalent continuous rational model, obtained from the approximation of the fractional order model using an operator or a special representation. The most commonly used method was proposed by Oustaloup. This method is based on the continuous-time
BLDC Speed Control Based on Fractional PID Controller
643
approximation of the fractional order operator sα , by a rational function using a recursive distribution of zeros and poles of integer order, distributed in a limited frequency band. The Oustaloup approximation of the fractional operator sα on the frequency band [ωb , ωh ] is given by (7)
N
s + ωk s + ωk
(7)
ωk = ωb (
ωh K+N + 2 (1−α) ) 2N +1 ωb
(8)
ωk = ωb (
ωh K+N + 2 (1+α) ) 2N +1 ωb
(9)
α
s =K
k=−N
1
1
And: K = ωhα
6 Optimisation Criteria ITAE (Integral of Time Multiplied Absolute Error) To have good dynamic accuracy of a system with a step input, the transient state must be characterized by low overshoot and an optimal response time. For this, the parameters of a regulator are chosen so as to minimize the dynamic error e(t) = yref (t) − ys (t). The ITAE performance criterion allows this. Such a criterion is defined by [16]: T J ITAE =
t|e(t)|dt
(10)
0
7 Model of the BLDC When Two Phases are Energized It can be assumed that at each instant there are only two phases supplied. In dynamic mode, the mutual induction between the windings [10] must be taken into account, in this case the voltage applied to the terminals of the supplied phases (a and b) and the current id which passes through them are linked by: U d = (ea − eb ) + 2Rph id + 2Lph
or
ea = Ep eb = −Ep
did did − 2M dt dt
(11) (12)
where: Lph And Rph are the phase inductance and resistance respectively. id : is the current at the input of the switch which passes through the two phases (a) and (b) put in series.
644
M. Tellaa et al.
U d : is the voltage at the input of the switch which is applied to the terminals of phases (a) and (b) connected in series. Ep : is the peak value of the back-EMF induced in each phase, whose expression is proportional to the speed: Ep = K e .W r
(13)
To simplify we put: R = 2Rph , L =2(Lph − M ), E = 2Ep . Then the model becomes identical to that of a conventional DC motor [4]. Ud = E + Rid + L
did dt
(14)
Then the expression of the torque is [18]: Ce = Ce =
(Ea − Eb )id wr
2Ep id 2ke wr id = = kv id wr wr
(15)
Ce = kv id The model of the mechanical part is (Fig. 2): J
dwr = Ce − fwr − Cr dt
Fig. 2. Mechanical linear model.
Such as: wr : The angular velocity in (rad/s). Ce : The electromagnetic torque developed in (N.m). Cr : The load torque in (N.m). f : The coefficient of friction in (N.m rad.s−1 ). J: Centrifugal force [18].
(16)
BLDC Speed Control Based on Fractional PID Controller
645
Fig. 3. Block diagram of a BLDC motor.
8 Open Loop Transfer Function The inverter receives at its input the DC voltage of the, this means that this voltage is across the terminals of the two windings in series, it is exactly the model of the classic DC motor indicated by the Fig. 3: Then the open loop transfer function is given by: Fbo (s) =
1 kv
JL 2 s kv2
1 s+1 + JR k2
(17)
v
By defining respectively the mechanical time constants T m and electrical time T e as following: Tm =
RJ kv2
(18)
Te =
L R
(19)
The transfer function takes the form:
1 kv Fbo (s) = Tm Te s2 + Tm s + 1
(20)
The numerical values of the motor parameters are given in the following table: Knowing that the transfer functions, of the speed regulation were obtained with the numerical values of Table 1 given, by: ∗ 0.0004 L 2.7 Tm = RJ = 0.4 0.000225 = 0.711, Te = R = 0.4 = 6.75 [7] k2 v
F(s) =
4.79s2
66.66 + 0.711s + 1
(21)
646
M. Tellaa et al. Table 1. Numerical values of motor parameters The parameters of the motor studied
Values
Phase resistance R []
0.4
The phase self-inductance L [H]
2.7
The moment of inertia J [kg.m2 ]
0.0004
The electromagnetic torque constant kv [N.m/A]
0.015
The coefficient of friction f [Nm. s /rad]
0.0022
9 Simulation Once having defined the previous Transfer function (21) in the matlab, by using Oustaloup approximation method and choosing Nelder-Mead as the optimization algorithm with the performance metric ITAE [11, 19]. in which we set the number of iterations of the optimization algorithm to 100 iterations, and the order of the Oustaloup approximation method to 5 that’s summarized in Table 2 and FOPID tuned parameters constraints defined in Table 3: Table 2. Optimisation and performance settings Optimisation algorithm
Nelder-mead
Performance metric
ITAE
Max number of iterations
100
Gain margin [dB]
10
Phase margin [deg]
60
Oustaloup frequency band [ωb , ωh ]
[0.0001 to 10000]
Approximation order
5
Table 3. Constraint of FOPID parameters Parameters
Min
max
Kp
0
100
Ki
0
100
λ
0.01
1
Kd
0
100
μ
0.01
0.9
BLDC Speed Control Based on Fractional PID Controller
647
After 30 s the 100 iterations are complete and have the following results (Fig. 4) (Table 4): Table 4. FOPID controller optimal parameters Parameters
Value
Kp
99.957
Ki
99.912
λ
0.5079
Kd
99.991
μ
0.7656
Fig. 4. The open-loop and closed-loop BLDC step response with FOPID controller
It can be seen that the overshoot of the response is very low and the response time very small, it can be said that optimization using ITAE is efficient method for tuning FOPID parameters (Fig. 4).
10 Conventional PID Controller μ
The PID controller is a special case of PI λ D controller, Taking λ = μ = 1 we will have the integer order PID, when replacing λ = μ = 1 in Eq. (6) then we have the conventional form of the PID: C(S) = K p + K D s +
KI s
(22)
where Kp , KI , KD respectively represent: the proportional corrector, the integrator corrector, the differentiator corrector.
648
M. Tellaa et al.
To make this PID in closed loop with the transfer function of our BLDC, we need to search good value of Kp , KI , KD for that reason we chose to use Genetic algorithm as an optimisation tool and the ITAE criterion as performance metric function [11, 19]. In MATLAB the genetic algorithm simulation program is initialized with Population size = 25, and the MaxGenerations = 10 [19, 20] (Fig. 5 and Table 5). Table 5. Genetic algorithm optimisation and performance Settings Optimisation algorithm
Genitic algorithme
Performance metric
ITAE
Population size
25
MaxGenerations
10
After few seconds the optimisation process was finished and has the following results (Table 6): Table 6. PID controller optimal parameters Parameters
Value
Kp
0.8221
Ki
0.0402
Kd
0.2416
The system response is given in the figure above (Figs. 5 and 6):
Fig. 5. The open-loop and closed-loop BLDC step response with PID controller
BLDC Speed Control Based on Fractional PID Controller
649
Fig. 6. Comparison of Closed-loop BLDC step response with PID to FOPID controller
11 Simulation Result Discussion A cross a comparison between PID, FOPID system responses its seem clear that FOPID response present a good characteristic in term of rapidity, overshoot and steady state error, in other term when using FOPID the BLDC step response will be very rapid with very small response time, stable no more overshoot, and has no steady state error.
12 Conclusion In this paper, we presented a BLDC motor speed regulation with a fractional order PIλ Dμ controller using for approximation the oustaloup method and for the optimization of its parameters the optimization by Nelder-Mead algorithm, we have studied also the BLDC step response using conventional PID controller when their parameters was optimised by genetic algorithm.In both controllers optimisation method was based on the minimisation of the ITAE criterion. Obtained simulation results present clearly the satisfactory performance of the fractional order PIλ Dμ controller upon the conventional PID.
References 1. Zhang, F., Li, Z.: Design of fractional PID control system for BLDC motor based on FPGA. In: 2018 Chinese Control and Decision Conference (CCDC), pp. 2293–2296 (2018). https:// doi.org/10.1109/CCDC.2018.8407508 2. Doghmane, M.Z., Kidouche, M.: Optimal decentralized state control of multi-machine power system based on loop multi-overlapping decomposition strategy. In: Bououden, S., Chadli, M., Ziani, S., Zelinka, I. (eds.) ICEECA 2019. LNEE, vol. 682, pp. 217–231. Springer, Singapore (2021). https://doi.org/10.1007/978-981-15-6403-1_15 3. Doghmane, M.Z., Kidouche, M., Ahriche, A.: Decentralized overlapping control design with application to rotary drilling system. IETE J. Res. (2021). https://doi.org/10.1080/03772063. 2021.1886602
650
M. Tellaa et al.
4. Labbaf Khaniki, M.A., Esfandiari, S., Manthouri, M.: Speed control of brushless DC motor using fractional order fuzzy PI controller optimized via WOA. In: 2020 10th International Conference on Computer and Knowledge Engineering (ICCKE), pp. 431–436 (2020). https:// doi.org/10.1109/ICCKE50421.2020.9303634 5. Mendil, C., Kidouche, M., Doghmane, M.Z.: Automatic control of a heat exchanger in a nuclear power station: the classical and the fuzzy methods. International Conference on Advanced Electrical Engineering (ICAEE) 2019, 1–6 (2019). https://doi.org/10.1109/ICA EE47123.2019.9014661 6. Saleh, A.L., Obed, A.A.: Speed control of brushless DC motor based on fractional order PID controller. Int. J. Comput. Appl. (0975–8887) 95(4) (2014) 7. Vanchinathan, K., Valluvan, K.R.: A metaheuristic optimization approach for tuning of fractional-order PID controller for speed control of sensorless BLDC motor. J. Circuits Syst. Comput. 27(08), 1850123 (2018). https://doi.org/10.1142/S0218126618501232 8. Riane, R., Kidouche, M., Illoul, R., Doghmane, M.Z.: Unknown resistive torque estimation of a rotary drilling system based on Kalman filter. IETE J. Res. (2020). https://doi.org/10. 1080/03772063.2020.1724834 9. Khubalkar, S.W., Chopade, A.S., Junghare, A.S., Aware, M.V.: Design and tuning of fractional order PID controller for speed control of permanent magnet brushless DC motor. In: 2016 IEEE First International Conference on Control, Measurement and Instrumentation (CMI), pp. 326–320 (2016). https://doi.org/10.1109/CMI.2016.7413764 10. MohammedEltoum, M.A., Hussein, A., Abido, M.A.: Hybrid fuzzy fractional-order PIDbased speed control for brushless DC motor. Arab. J. Sci. Eng. 46(10), 9423–9435 (2021). https://doi.org/10.1007/s13369-020-05262-3 11. Narmada, R., Arounassalame, M.: Design and performance evaluation of fractional ORDER controller for brushless DC motor. Int. J. Electr. Eng. Inform. 6(3) (2014) 12. Doghmane, M.Z., Bacetti, A., Kidouche, M.: Stick-slip vibrations control strategy design for smart rotary drilling systems. In: Hatti, M. (eds.) ICAIRES 2020. LNNS, vol. 174, pp. 197– 209. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_20 13. Mendil, C., Kidouche, M., Doghmane, M.Z.: Hybrid sliding PID controller for torsional vibrations mitigation in rotary drilling systems. Indones. J. Electr. Eng. Comput. Sci. 22(1), 146–158 (2021). https://doi.org/10.11591/ijeecs.v22.i1.pp146-158 14. Mendil, C., Kidouche, M., Doghmane, M.Z.: Modeling of hydrocarbons rotary drilling systems under torsional vibrations: a survey. In: Hatti, M. (eds.) ICAIRES 2020. LNNS, vol. 174, pp. 243–251. Springer, Cham (2021). https://doi.org/10.1007/978-3-030-63846-7_24 15. Doghmane, M.Z., Kidouche, M., Eladj, S., Belahcene, B.: Design of optimal decentralized controller using overlapping decomposition for smart building system. In: Hatti, M. (eds.) ICAIRES 2020. LNNS, vol. 174, pp. 155–167. Springer, Cham (2021). https://doi.org/10. 1007/978-3-030-63846-7_16 16. Gadekar, K., Joshi, S., Mehta, H.: Performance improvement in BLDC motor drive using selftuning PID controller. In: 2020 Second International Conference on Inventive Research in Computing Applications (ICIRCA), pp. 1162–1166 (2020). https://doi.org/10.1109/ICIRCA 48905.2020.9183219 17. Mendil, C., Kidouche, M., Doghmane, M.Z.: A study of the parametric variations influences on stick-slip vibrations in smart rotary drilling systems. In: Hatti, M. (eds.) ICAIRES 2020. LNNS, vol. 174, pp. 707–715. Springer, Cham (2021). https://doi.org/10.1007/978-3-03063846-7_67 18. Kommula, B.N., Kota, V.R.: Direct instantaneous torque control of brushless DC motor using firefly Algorithm based fractional order PID controller. J. King Saud Univ. – Eng. Sci. 32(2), 133–140. ISSN 1018-3639 (2020). https://doi.org/10.1016/j.jksues.2018.04.007
BLDC Speed Control Based on Fractional PID Controller
651
19. Vanchinathan, K., Selvaganesan, N.: Adaptive fractional order PID controller tuning for brushless DC motor using Artificial Bee Colony algorithm. Results Control Optim. 4, 100032 (2021). ISSN 2666-7207, https://doi.org/10.1016/j.rico.2021.100032 20. Mendil, C., Kidouche, M., Doghmane, M.Z.: Hybrid backstepping sliding mode controller for stick–slip vibrations mitigation in rotary drilling systems. IETE J. Res. (2021). https:// doi.org/10.1080/03772063.2021.1919217
Application of the Prognostic and Health Management to Industrial Systems Abdenour Soualhi1(B) , Bilal El Yousfi1 , Mourad Lamraoui1 , and Kamal Medjaher2 1 Université Jean Monnet Saint-Etienne, Laspi, F-42023 Saint-Etienne, France
{abdenour.soualhi,bilal.elyousfi, mourad.lamraoui}@univ-st-etienne.fr 2 LGP, Ecole Nationale d’Ingénieurs, Tarbes, France [email protected]
Abstract. The reliability and safety operation of an industrial system at a low cost are the main objectives of industrial companies in order to stay competitive in a constantly growing market. This interest is fed by the fact that unexpected shutdowns can often lead to physical hazards as well as economic consequences in key sectors. Hence, fault prediction in the context of the Prognostics and Health Management (PHM) concept emerges as an important focus of the industry. Thus, this paper aims to detail the prognosis aspect and describe how it complements the different maintenance strategies. It also presents the expected benefits of the prognostic methods implementation in order to serve the PHM. More specifically, this paper provides a state of the art of existing prognostic methods used in the PHM strategy. This paper shows the diversity of possible prognostic approaches and the choice of one among them that will define a framework for industrials. Keywords: Prognosis and fault prediction · Prognostics and health management (PHM) · Maintenance strategies
1 Introduction Prognostics and Health Management (PHM) is an emerging strategy which extends the concept of predictive maintenance by optimizing the maintenance and the logistic support in order to increase operational availability, reliability and life expectancy of industrial systems [1]. PHM is defined as an approach for the health management of systems based primarily on the diagnostic, prognostic and decision-making. PHM allows maintenance to be performed more efficiently by integrating PHM data from the prognostic, the localization and the identification of failures resulting from diagnostic and health assessment. This assessment is derived from detection, maintenance data, logistical constraints and the information on the planned mission. The earlier form of maintenance is the corrective maintenance, which consists of reacting only when the equipment fails. Thus, this strategy will lead to sudden breakdowns and, as a result, the preventive maintenance was introduced. The preventive maintenance is carried out at predetermined intervals to reduce the rate of equipment © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 652–664, 2023. https://doi.org/10.1007/978-3-031-21216-1_66
Application of the Prognostic and Health Management to Industrial Systems
653
failure. It includes two types of maintenance plans: systematic preventive maintenance and condition based maintenance (CBM). Systematic maintenance is scheduled without the occurrence of any monitoring activity. It may be based on the number of hours of use or on prescribed dates. On the other hand, CBM is carried out according to the needs identified by the system health assessment [2]. It is based on a set of indicators used to define the current health and predict possible failures before their occurrence. CBM enables to make the right maintenance actions, which avoid stopping a healthy system functioning, detecting an imminent fault and accurately predicting failures [3]. In recent years, the prognostic becomes a prominent part of the CBM architecture and it has seen increasing amounts of interest. The prognostic is defined as the ability to predict the remaining life of a failing system or subsystem, and so anticipate the decision-making for maintenance. The International Organization for Standardization ISO 13381-1 defined the prognosis as an estimation of the remaining life before the occurrence of a fault and the detection of impending faults. The prognostic represents the imminence of a failure and estimates the remaining time before its appearance. It is also associated with the extrapolation of the system state and the quantification of the resulted uncertainty. To benefit from prognostic, the maintenance personnel must have reliable techniques to detect and identify an incipient fault as well as having an efficient estimation of the remaining time before the intervention in the case of a fault occurrence. Therefore, recent progress in prognostic techniques contributes to improve the CBM of systems as a whole. This progress reduces not only maintenance costs but also increases the effectiveness of interventions. Thus, it is important to focus on this aspect and explore the improvement done over the last years. Hence, this paper details the prognostic layer of the CBM architecture and provides an extensive state of the art of existing prognostic methods used in the PHM strategy. Thus, it lists the various possible approaches and establishes the choice of a method among prognostic techniques that will represent a solid framework for industrial applications. Therefore, sections of this work revolve around the detailed presentation of prognostic methods used in the domain of the PHM strategy and their categorization. In the next section, this aspect will be detailed.
2 Prognostic Methods Categorization PHM requires a predictive approach in order to properly assess the fault propagation. Indeed, if an incipient fault can be detected at an early stage, then the maintenance of the system can be optimized by delaying the maintenance intervention based on the prognostic outcomes. The interval between the detection of an incipient fault and the system failure defines the field of the prognostic. Providing a sufficient interval, commonly called Remaining Useful Life (RUL), between the detection of the incipient fault and the system failure, allows a better use of the system and a reduction of maintenance operations [4, 5]. There are several categorizations in the literature for the prognostic methods. However, categorizing these methods into distinct classes proves to be difficult due to the variety of applications. For instance, Roemer et al. in [7] classified methods related to the prognostic in three categories namely experience-based, data-driven and model-based
654
A. Soualhi et al. Experience-based prognostic
Applicability
Probabilistic model of reliability, of remaining life Poisson law, exponential law, Weibull ... Model-based prognostic physical model
Data driven prognostic Trend analysis Artificial intelligence model Neural Networks, Hidden Markov models… Accuracy, Complexity (Cost, Implementation ...)
Fig. 1. Prognostic approaches [6].
prognostic (Fig. 1). However, it is possible to integrate experience-based prognostic into data-driven prognosis since both handle system data. Thus, the classification given in [6] will be considered. It consists of classifying the prognostic into model-based, data-driven and hybrid methods. 2.1 Model-Based Prognostics Model-based prognosis deal with a physical model that uses mathematical representations to integrate a physical understanding of the degradation process [7]. A conceptual diagram of the model-based prognostic is shown in Fig. 3. It consists of studying the physics of failure of the monitored system and representing it by a physical model. Mathematical functions, such as differential equations are developed to represent the system. These equations are then used in statistical techniques such as Kalman filters and parity relationships to estimate the state of the system. Residuals which are the difference between the model prediction and observations are then used to detect, isolate and predict the degradation of the system [7] (Fig. 2). Input Residue analysis
Actuators Measurements
System Sensors Output
Generator of residues
Residues
Evaluation of residues
Fault condition
Decision support Prediction of RUL
Fig. 2. Typical architecture of model-based prognostic methods
The first advantage of this technique is that it does not require the historical data to estimate the future state of the system when the model is known. Another benefit is that it allows taking into account the deterioration caused by environmental conditions such as heat, loads, humidity, vibration and shock. However, its drawback is that a mathematical representation of a system requires a deep understanding of the underlying physical
Application of the Prognostic and Health Management to Industrial Systems
655
processes that lead to system failure. It is also necessary to define a physical model for each mode of failure as well as the data describing the conditions related to each process [8]. 1. Physics of Failure When there are no observations and only knowledge is available, a model based on Physics of Failure (PoF) takes place. It takes into account the physics of the system, the system degradation behavior as well as failure modes and uses the degradation properties such as material, geometry and loading conditions [9]. A typical PoF model is the fault propagation models such as cracks or spalls propagation. Lie et al. in [10] used crack propagation modeling approach by relating bearing defect growth rate to the instantaneous defect area size and material constants. This method is based on Paris’ Law crack growth where a least-square scheme allows adaptability to changes in the system state. However, the material constants have to be determined empirically and the defect area size is considered linearly correlated to vibration root mean square level. In [11], Forman law crack growth was used for rotor shaft crack growth prediction. A stochastic version of the Yu-Harris bearing life equation was used in [12] in order to forecast spall beginning and the Kotzalas-Harris progression model was also used to define the time to failure. This framework was enhanced in [13]. A program called Contact Analysis for Bearing Prognostics (CABPro) was used in [14] using finite element analysis in order to calculate material stress based on defect size, bearing geometry, load and speed. After this step, the cycles to failure are estimated. 2. Bayesian estimation with Kalman filters Kalman Filter (KF) is a model that estimates the system output based on a certain gain. The difference between the estimation and the actual output is used to generate residuals which are used for diagnostic and prognostic purposes. It is designed for linear systems by minimizing the squared error between the input without noise and the one with added noise. It considers that additive measurement and process noises are independent and Gaussian. When non-linear systems or links between system and measurement take place, KF is replaced by Extended Kalman Filter (EKF), which proceeds by partial derivatives to linearize the estimation. Recently, EKF was used in [15] for prognostic of the proton exchange membrane fuel cell. However, when noise is not Gaussian, EKF is no longer reliable because calculation time will increase and cause the divergence of the filter when calculating the Jacobian matrix [16]. Other forms of enhancements for non-linear systems with Gaussian were also proposed in the literature such as the Gauss–Hermite Quadrature Kalman Filter (GHKF) as well as the Unscented Kalman Filter (UKF) which is an extension of GHKF and Monte-Carlo Kalman Filter (MCKF) [17]. 3. Bayesian estimation with particle filters Particle Filters (PF) or sequential Monte Carlo methods estimate an online future state and alleviate the drawbacks of KF and its derivatives. The idea of this filter is to
656
A. Soualhi et al.
represent the posterior Probability Density Function (PDF) by a set of random samples called particles which are iteratively generated. They are associated with weights which are updated with each generation. Thus, the outputs estimates are calculated according to these particles and their weights. Moreover, particles of dynamic noise are also drawn each iteration. The higher the number of particles, the nearer the filter output is to the posterior Probability Density Function (PDF). However, when the number of iterations increases, the posterior PDF approximation tends towards zero [18]. It is possible to attenuate this effect by increasing the number of particles but it is not always applicable. Thus, at the end of each iteration a resampling step must be added. Recently, particle-filtering approaches were thoroughly developed and results showed that Unscented Kalman filter is more accurate and has less calculation cost than particle filtering [19]. 2.2 Data-Driven Prognostics If an accurate model of the system is not available, the data-driven prognostic approach is used to determine the Remaining Useful Life (RUL) by trending the trajectory of a developing fault and predicting the amount of time before it reaches a predetermined threshold level. Data-driven prognostic is based on the assumption that the monitoring data of a system remain relatively unchanged until the occurrence of a fault. In this approach, the monitoring is performed through features that indicate the degradation of the system. The data is first analyzed to extract sensitive features able to detect any anomalies. Then, thanks to prediction algorithms, the trend evolution of the extracted features is used to estimate the remaining time before the system failure or before it attains a fixed threshold level [20]. Figure 3 summarizes the data-driven prognostic structure. Learning base System Observations test
Feature extraction
Prediction algorithms Neural Networks, Hidden Markov Models
Fault threshold
Predicting the trend of the monitoring feature
Prediction of RUL
Fig. 3. Architecture of a data driven prognosis
Data-driven prognosis offers many advantages compared to the model-based one. It learns the system behavior based on data provided by sensors and therefore does not require any specific knowledge for modeling purposes. Furthermore, it may be applied to complex systems where a large number of features can be extracted using signal processing techniques. Data-driven prognostic methods categorization is given by [21, 22]. 1. Knowledge based models The knowledge based methods estimate the similarity between the actual situation and a bank of failures data in order to determine the RUL. They regroup expert systems and Fuzzy-rule based systems. The expert system is a software program consisting of a knowledge base acquired from experts in the given domain and rules based on IF-THEN statements, to determine
Application of the Prognostic and Health Management to Industrial Systems
657
in which situations this knowledge should be applied. Many researchers have worked on the application of expert systems for prognostic problems. However, its knowledge base must be accurate and complete in order to have satisfactory results [23]. It has to be continuously updated as the system configuration changes. Furthermore, an ensemble of inputs in the database provides an output and as the number of inputs/outputs increases, the number of possible combinations may generate a combinatorial explosion [24]. Most of the expert system drawbacks are avoided by using Fuzzy rule-based systems since they accept noisy and incomplete inputs and need fewer rules. Furthermore, since they are based on fuzzy logic, which is a form of probabilistic knowledge, the rule-sets are not exact but approximate [25]. Fuzzy logic has been developed to handle the concept of partial truth, where the truth value can range between completely true and completely false. Thus, the decision making is replaced by fuzzy sets and the rules are replaced by fuzzy rules. This method seems to be efficient when handling noisy and inaccurate data because it works in an intuitive way. The fuzzy logic becomes more complicated with complex systems due to the large amount of rules. It is possible to simplify it by using Neural-Fuzzy (NF) method which integrates both neural networks and fuzzy logic principles. This combination overcomes the problems of choosing the membership functions of fuzzy logic, their distributions, the fuzzy rules compositions and the lack of knowledge [26]. In [26], a study comparing between techniques (ANN, NF) and a new method called Coactive Adaptive NeuroFuzzy Inference System (CANFIS-GA) showed that CANFIS-GA is more accurate than non-optimized techniques. In [27], NF was implemented to predict the in-socket residual limb temperature and was compared to Gaussian Processes for Machine Learning (GPML) algorithms for the prediction of skin temperature in lower limb prostheses. By comparing the predicted and actual data, it shows that both modeling techniques have similar performance metrics and can be used for non-invasive temperature monitoring. 2. Life expectancy models These models regroup Stochastic and statistical models. a) Stochastic models When the system is complex, it is difficult to build a physical model. Thus, the idea is to build an experience approach, which is based on the stochastic modeling of the degradation based on a collected dataset. These models consider that the times to failure of identical systems are statistically the same and are randomly distributed. Therefore, they are represented by a probability density function. (1) Aggregate reliability functions The approach of reliability analysis of aggregated failure data analyses the times to failure of a range of components in order to come up with a PDF and a hazard function. The PDF informs about the time of failure happening [28, 29]. The degradation phenomenon is modeled in different distributions but when it is gradual, it is more convenient to use Gaussian function [30]. Moreover, Weibull functions are widely used because they
658
A. Soualhi et al.
are adaptive to a variety of degradations. The main advantage of this approach is that it is based on the use of simple functions rather than complex mathematical models and therefore it is relatively easy to implement [31]. (2) Conditional probability model In order to assess probabilistic values of future behavior, first, actual state is presented by a condition reliability function and then Bayes theorem is applied. When it is defined, the RUL function is described as the estimate time to failure which takes into account the actual state [32]. Static Bayesian Networks (SBN) are directed acyclic graphical models composed of random variables and their interdependencies probabilities [33]. An adaptive Bayesian decision model in order to assess the more convenient periodicity of replacement and examination parts was developed in [34]. In the other hand, Dynamic Bayesian Networks (DBN) are Bayesian networks that relate variables to each other over adjacent time steps. Among DBNs, we can cite Markov Models (MM) [35], Semi-Markov models (SMM [36], Hidden Markov Models (HMM) [37], and Hidden Semi-Markov Models (HSMM) [38]. Recently, R. Moghanddass et al. introduce a non-homogeneous semiMarkov model to deal with parameter estimation for the training of the model and to develop the measures of the prognostic model reliability including the mean residual life [39]. b) Statistical models Statistical models are based on assessing the fault progression of a system by considering anterior data of identical systems. Then, the prediction of the future state of the system is based on the extrapolation of this data. (1) Trend evaluation This approach is simple to implement and consists of trending a monotonic parameter in function of time which is correlated with the RUL. This parameter is acquired form merged sensors data. An alarm threshold is determined and RUL is estimated from this level. Different alarms can be added such us shutdown threshold which indicates the failure time of the equipment. Then the trend is extrapolated via classical regression methods. To predict the RUL, the link between the sensors data and the related end of life is established in order to follow the evolution of the degradation in time. Despite the simplicity of the parameter extrapolation, this method is influenced by uncertainties from different sources. This uncertainty is unavoidable but it is controlled by techniques such as noise modeling [40]. (2) Autoregressive models Many regression methods were used for RUL forecasting such as autoregressive models and the multivariate adaptive regression splines [41, 42]. The autoregressive moving average is capable of modeling uncorrelated time series and it is applicable
Application of the Prognostic and Health Management to Industrial Systems
659
for prognostics based on stationary signals. For non-stationary signals, the Autoregressive Integrated Moving Average Model (ARIMA) is more adapted [43]. Recently, a method to evaluate a system health and predict its reliability evolving trend in an online condition monitoring applied to a vertical roller mill was proposed in [44]. An autoregressive model is designed by identifying the model. Then, its parameters are estimated by non-linear optimization techniques method in order to minimize the estimation error. Finally, the model is validated through the examination of standardized residuals and the autocorrelation of residuals [41]. The shortcoming of this method is its inefficiency when the historical data is incomplete which may lead to a false extrapolation [45]. Furthermore, these models are less efficient for long term prediction because of the dynamic noise. This is reduced by exploiting predictions based on actual observations for RUL prediction instead of past predictions [46]. 3. Neural Networks Artificial Neural Networks (ANN) are the most common tool used for data-driven prognostics. One must underline the triple use of ANN: state estimation, state prediction, and direct RUL estimation. It consists of multiple layers with a usual configuration of a layer of input nodes, one or more layers of hidden nodes and one layer of input nodes connected by weights. ANNs are faster than traditional statistical prognostic methods [47] and can handle complex non-linear systems without the need of a considerable amount of data [48]. ANN is reinforced when combined with different techniques. For instance, different NNs were introduced such as hybrid neural networks [49], Wavelet Neural Networks (WNN), Dynamic Wavelet Neural Network (DWNN), recurrent neural networks (RNN) [2], Time Delay Neural Networks (TDNN) [50]. 4. Similarity-based learning Similarity-based methods estimate the similitude between the actual model and the offline model in order to predict the RUL of the system using different methods, like linear regression [45], k-Nearest Neighbors and belief functions [51], etc. In [43], the author presented a methodology of Trajectory Similarity-based Prediction (TSBP) for RUL forecasting. This framework consists of extracting fault trajectories drawn from the historical observations and models. Then similarity between the system, which is a new test model and the historical model is estimated. Finally, a model aggregation is necessary to aggregate the RULs of the trajectories and a model of the similarityweighted sum which gives a final RUL is then presented. This model aggregation was also presented in [52]. Recently, Ramasso et al. developed in [53] the algorithm EVIPRO-KNN which is a novel prognostic method relying on belief functions. Those functions are added to the approach to deal with insufficient data. Moreover, this approach is able to forecast both continuous and discrete future states which will offer online information about the actual observed data as well as the evaluated RUL. When expert knowledge is available, the fuzzy-based similarity can be carried out to assess the distance between run-to-failure
660
A. Soualhi et al.
information by using fuzzy membership functions instead of sharp distance consideration [54]. 2.3 Hybrid Prognostics Generally, hybrid prognostic approaches revolve around the combination of model-based (MB) and data-driven (DD) methods in order to benefit from their strengths [8]. Hybrid prognostic approaches includes four combinations: DD&DD, DD&MB, MB&DD and MB&MB combination. Creating a hybrid prognostic application is done by carrying out hybrid methods upfront or associating already realized data-driven or model-based methods to other methods. 1. Data-driven combinations If the previously implemented approach is Data-Driven (DD) and the physics of failure is unknown, it is more convenient to carry out DD combinations to enhance the results accuracy. When the data present various scenarios, the same DD method is applied to each scenario in a parallel structure based on DD combination [55]. Moreover, when expert knowledge is available, it is associated with DD method as was done in [3], where expert knowledge in the form of fuzzy logic were associated with HMMs. However, when expert knowledge is not available, a DD method can be used as parameter estimator and the other DD method put in series give more accuracy [56]. If all these tests are negative, DD methods are merged in parallel like it is the case in [6] where multiple algorithms were combined with a weighted-sum formulation. 2. Data-driven and model-based combinations DD and MB combination is the widely reputed form of hybrid prognostics. In [57], a hybrid approach for RUL estimating was proposed which consist of combining neural networks and based particle filtering. MB and DD are combined in a parallel way to enhance RUL estimation accuracy when the conditions are not verified. This model was built in [58] by using an ensemble of Kernel regression with PoF models. Recently, a framework for hybrid model for RUL prediction was developed in [59]. The proposed method is able to enhance forecasting accuracy and consists of combining two DD methods with a particle filter. The first DD method estimates the measurement model which is a mapping from measurements to system state while the second DD provides prediction of future measurements in order to decrease the uncertainty of the long-term prediction of the particle filter. 3. Model-based combinations When knowledge contains various scenarios, MB methods are combined in a parallel manner as it was shown in [60]. An ensemble of empirical models gives the RUL estimation of each model and they represent the input of Kalman filter-based algorithm. This method was applied to turbine blades undergoing developing creep. Moreover, when expert knowledge is available, it is associated with MB method in order to control
Application of the Prognostic and Health Management to Industrial Systems
661
uncertainties. It was applied in [61] where multi-sensor data fusion based on fault detection and fuzzy Kalman filter feedback were used to reduce vehicle risk. When there is no expert knowledge, BM methods are used in a parallel manner to estimate parameters such as in [62] where UKF is used to estimate the state of the process and the particle filter has to predict the RUL.
3 Conclusion In this paper, a survey of the different prognostic methods used for prognosis and health management has been proposed. First, according to the state of the art, the various approaches have been categorized into three main axes: model-based, data-driven and hybrid methods. Through the study of numerous works, it was established that modelbased methods, such as the physics of failure as well as the Bayesian estimation with particle filters and Kalman filters are very reliable and accurate for prognosis. However, these methods require a deep understanding of the physical mechanism of the failure and the model verification. On the other hand, data-driven methods, such as knowledgebased models, life expectancy models and Neural Networks, are widely applicable but they heavily rely on the historical data of the system over many run-to-failure tests. Lastly, hybrid methods attracted a special interest thanks to their combination characteristics. It comes out from this comparison that data-driven prediction methods are more appropriate for applications where it is possible to learn the behavior of the system using only reliable features without requiring specific knowledge of the system physics. However, historical data needs to be reliable to determine correlations, establish models and assess data trends leading to failure. As a compromise, hybrid methods allows to minimize historical data. However, both event and condition data are needed in order to establish the proper prognosis. Finally, the choice of a prognostic approach relies heavily on the application nature and the type of inputs. However, in most cases, the system modeling is a hard task for complex systems, which makes data-driven methods for prognosis the most applicable approach. Hence, in the recent researches, it is recurrent to find hybrid methods being used since a perfect single method does not exist.
References 1. Kalgren, P.W., Byington, C.S., Roemer, M.J., Watson, M.J.: Defining PHM, a lexical evolution of maintenance and logistics. In: 2006 IEEE Autotestcon, pp. 353–358, September 2006 2. Yam, R.C.M., Tse, P.W., Li, L., Tu, P.: Intelligent predictive decision support system for condition-based maintenance. Int. J. Adv. Manuf. Technol. 17(5), 383–391 (2001) 3. Soualhi, A., Razik, H., Clerc, G., Doan, D.D.: Prognosis of bearing failures using hidden Markov models and the adaptive neuro-fuzzy inference system. IEEE Trans. Ind. Electron. 61(6), 2864–2874 (2014) 4. Strangas, E., Aviyente, J.N.S., Zaidi, S.: Improving the reliability of electrical drives through failure prognosis. In: IEEE SDEMPED, pp. 172–178 2011 5. Benkedjouh, T., Medjaher, K., Zerhouni, N., Rechak, S.: Fault prognostic of bearings by using support vector data description. In: 2012 IEEE Conference on Prognostics and Health Management, pp. 1–7, June 2012
662
A. Soualhi et al.
6. Hu, C., Youn, B.D., Wang, P., Yoon, J.T.: Ensemble of data-driven prognostic algorithms for robust prediction of remaining useful life. Reliab. Eng. Syst. Saf. 103, 120–135 (2012) 7. Vachtsevanos, G., Lewis, F.L., Roemer, M., Hess, A., Wu, B.: Intelligent Fault Diagnosis and Prognosis for Engineering Systems. Wiley (2006) 8. Peng, Y., Dong, M., Jian Zuo, M.: Current status of machine prognostics in condition-based maintenance: a review. Int. J. Adv. Manuf. Technol. 50, 297–313 (2010) 9. J. I. Aizpurua and V. M. Catterson, “Towards a Methodology for Design of Prognostic Systems,” Annu. Conf. PHM Soc., vol. 7, no. 1, Art. no. 1, 2015, 10. Li, Y., Billington, S., Zhang, C., Kurfess, T., Danyluk, S., Liang, S.: Dynamic prognostic prediction of defect propagation on rolling element bearings. Tribol. Trans. 42(2), 385–392 (1999) 11. Oppenheimer, C.H., Loparo, K.A.: Physically based diagnosis and prognosis of cracked rotor shafts. In: Component and Systems Diagnostics, Prognostics, and Health Management II, vol. 4733, pp. 122–132, July 2002 12. Orsagh, R.F., Sheldon, J., Klenke, C.J.: Prognostics/diagnostics for gas turbine engine bearings. In: 2003 IEEE Aerospace Conference Proceedings (Cat. No.03TH8652), vol. 7, pp. 3095–3103, March 2003 13. Kacprzynski, G.J., Sarlashkar, A., Roemer, M.J., Hess, A., Hardman, B.: “Predicting remaining life by fusing the physics of failure modeling with diagnostics. JOM J. Miner. Met. Mater. Soc. 56, 29–35 (2004) 14. Marble, S., Morton, B.: Predicting the remaining life of propulsion system bearings. In: 2006 IEEE Aerospace Conference (2006) 15. Bressel, M., Hilairet, M., Hissel, D., Ould Bouamama, B.: Extended Kalman filter for prognostic of proton exchange membrane fuel cell. Appl. Energy 164, 220–227 (2016) 16. Welch, G., Bishop, G.: An Introduction to the Kalman Filter (1995) 17. Wang, Y., Binaud, N., Gogu, C., Bes, C., Fu, J.: Determination of Paris’ law constants and crack length evolution via extended and unscented Kalman filter: an application to aircraft fuselage panels. Mech. Syst. Signal Process. 80, 262–281 (2016) 18. Haug, A.J.: A tutorial on Bayesian estimation and tracking techniques applicable to nonlinear and non-Gaussian processes. MITRE CORP MCLEAN VA, January 2005 19. M. Daigle, B. Saha, and K. Goebel, “A comparison of filter-based approaches for model-based prognostics,” in 2012 IEEE Aerospace Conference, Mar. 2012, pp. 1–10 20. Lee, J., Wu, F., Zhao, W., Ghaffari, M., Liao, L., Siegel, D.: Prognostics and health management design for rotary machinery systems-reviews, methodology and applications. Mech. Syst. Signal Process. 42(1), 314–334 (2014) 21. Heng, A., Zhang, S., Tan, A.C.C., Mathew, J.: Rotating machinery prognostics: state of the art, challenges and opportunities. Mech. Syst. Signal Process. 23(3), 724–739 (2009) 22. Sikorska, J.Z., Hodkiewicz, M., Ma, L.: Prognostic modelling options for remaining useful life estimation by industry. Mech. Syst. Signal Process. 25(5), 1803–1836 (2011) 23. Biagetti, T., Sciubba, E.: Automatic diagnostics and prognostics of energy conversion processes via knowledge-based systems. Energy 29(12), 2553–2572 (2004) 24. Garga, A.K., et al.: Hybrid reasoning for prognostic learning in CBM systems. In: 2001 IEEE Aerospace Conference Proceedings, vol. 6, pp. 2957–2969, March 2001 25. Elghazel, W., Bahi, J., Guyeux, C., Hakem, M., Medjaher, K., Zerhouni, N.: Dependability of wireless sensor networks for industrial prognostics and health management. Comput. Ind. 68, 1–15 (2015) 26. Tahmasebi, P., Hezarkhani, A.: Application of a modular feedforward neural network for grade estimation. Nat. Resour. Res. 20(1), 25–32 (2011) 27. Mathur, N., Glesk, I., Buis, A.: Comparison of adaptive neuro-fuzzy inference system (ANFIS) and Gaussian processes for machine learning (GPML) algorithms for the prediction of skin temperature in lower limb prostheses. Med. Eng. Phys. 38(10), 1083–1089 (2016)
Application of the Prognostic and Health Management to Industrial Systems
663
28. Schomig, A.K., Rose, O.: On the suitability of the Weibull distribution for the approximation of machine failures. Institute of Industrial and Systems Engineers Conference, May 2013 29. Goode, K.B., Moore, J., Roylance, B.J.: Plant machinery working life prediction method utilizing reliability and condition-monitoring data. Proc. Inst. Mech. Eng. Part E J. Process Mech. Eng. 214(2), 109–122 (2000) 30. van Noortwijk, J.M.: A survey of the application of gamma processes in maintenance. Reliab. Eng. Syst. Saf. 94(1), 2–21 (2009) 31. Sundin, P.O., Montgomery, N., Jardine, A.K.S.: Pulp mill on-site implementation of CBM decision support software, Melbourne, Australia (2007) 32. Banjevic, D., Jardine, A.K.S.: Calculation of reliability function and remaining useful life for a Markov failure time process. IMA J. Manag. Math. 17(2), 115–130 (2006) 33. Weidl, G., Madsen, A.L., Israelson, S.: Applications of object-oriented Bayesian networks for condition monitoring, root cause analysis and decision support on operation of complex continuous processes. Comput. Chem. Eng. 9(29), 1996–2009 (2005) 34. Kallen, M.J., van Noortwijk, J.M.: Optimal maintenance decisions under imperfect inspection. Reliab. Eng. Syst. Saf. 90(2), 177–185 (2005) 35. Rausand, M., Hoyland, A.: System Reliability Theory: Models, Statistical Methods and Applications. Wiley-Interscience, Hoboken (2004) 36. Lugtigheid, D., Jardine, A.K.S., Jiang, X.: Optimizing the performance of a repairable system under a maintenance and repair contract. Qual. Reliab. Eng. Int. 23(8), 943–960 (2007) 37. Baruah, P., Chinnam, R.B.: HMMs for diagnostics and prognostics in machining processes. Int. J. Prod. Res. 43(6), 1275–1293 (2005) 38. Dong, M., He, D.: A segmental hidden semi-Markov model (HSMM)-based diagnostics and prognostics framework and methodology. Mech. Syst. Signal Process. 21(5), 2248–2266 (2007) 39. Moghaddass, R., Zuo, M.J., Liu, Y., Huang, H.: Predictive analytics using a nonhomogeneous semi-Markov model and inspection data. IIE Trans. 47(5), 505–520 (2015) 40. Elattar, H.M., Elminir, H.K., Riad, A.M.: Prognostics: a literature review. Complex Intell. Syst. 2(2), 125–154 (2016). https://doi.org/10.1007/s40747-016-0019-3 41. Box, G.E.P., Jenkins, G.: Time Series Analysis, Forecasting and Control. Holden-Day Inc., San Francisco (1990) 42. Yan, J., Koc, M., Lee, J.: A prognostic algorithm for machine performance assessment and its application. Prod. Plan. Control 15(8), 796–801 (2004) 43. T. Wang, “Trajectory similarity based prediction for remaining useful life estimation,” PhD Thesis, University of Cincinnati, 2010 44. Wang, Q., Fang, Y., Zhou, Z., Zuo, J., Xiao, Q., Zhou, S.: Reliability assessment of the vertical roller mill based on ARIMA and multi-observation HMM. Cogent Eng. 4(1), 1270703 (2017) 45. Wang, T., Yu, J., Siegel, D., Lee, J.: A similarity-based prognostics approach for Remaining Useful Life estimation of engineered systems. In: 2008 International Conference on Prognostics and Health Management, pp. 1–6, October 2008 46. Wu, W., Hu, J., Zhang, J.: Prognostics of machine health condition using an improved ARIMA-based prediction method. In: 2007 2nd IEEE Conference on Industrial Electronics and Applications, pp. 1062–1067, May 2007 47. R. R. Joshi and C. Reeves, “Beyond The Cox Model : Artificial Neural Networks For Survival Analysis Part II,” 2006 48. Sbarufatti, C., Corbetta, M., Giglio, M., Cadini, F.: Adaptive prognosis of lithium-ion batteries based on the combination of particle filters and radial basis function neural networks. J. Power Sources 344, 128–140 (2017) 49. Vachtsevanos, G., Wang, P.: Fault prognosis using dynamic wavelet neural networks. In: 2001 IEEE Autotestcon Proceedings. IEEE Systems Readiness Technology Conference. (Cat. No.01CH37237), pp. 857–870, August 2001
664
A. Soualhi et al.
50. Zemouri, R., Racoceanu, D., Zerhouni, N.: Recurrent radial basis function network for timeseries prediction. Eng. Appl. Artif. Intell. 16(5), 453–463 (2003) 51. Ramasso, E., Rombaut, M., Zerhouni, N.: Joint prediction of continuous and discrete states in time-series based on belief functions. IEEE Trans. Cybern. 43(1), 37–50 (2013) 52. Eker, O.F., Camci, F., Jennions, I.K.: A similarity-based prognostics approach for remaining useful life prediction, Nantes, France, July 2014 53. Ramasso, E., Rombaut, M., Zerhouni, N.: Prognostic by classification of predictions combining similarity-based estimation and belief functions. In: Denoeux, T., Masson, M.H. (eds.) Belief Functions: Theory and Applications. AISC, vol. 164, pp. 61–68. Springer, Heidelberg (2012). https://doi.org/10.1007/978-3-642-29461-7_7 54. Pecht, M., Jaai, R.: A prognostics and health management roadmap for information and electronics-rich systems. Microelectron. Reliab. 50(3), 317–323 (2010) 55. Liu, J., Vitelli, V., Seraoui, R., Zio, E.: Dynamic weighted PSVR-based ensembles for prognostics of nuclear components. Presented at the FLINS 2014, August 2014 56. Liu, Z., Li, Q., Liu, X., Mu, C.: A hybrid LSSVR/HMM-based prognostic approach. Sensors 13(5) (2013). Art. no. 5 57. Baraldi, P., Compare, M., Sauco, S., Zio, E.: Ensemble neural network-based particle filtering for prognostics. Mech. Syst. Signal Process. 41(1), 288–300 (2013) 58. P. Baraldi, F. Mangili, G. Gola, B. H. Nystad, and and E. Zio, “A Hybrid Ensemble-Based Approach for Process Parameter Estimation and Degradation Assessment in Offshore Oil Platforms,” Int. J. Perform. Eng., vol. 10, no. 5, p. 497, Jul. 2014, 59. Liao, L., Kottig, F.: Review of hybrid prognostics approaches for remaining useful life prediction of engineered systems, and an application to battery life prediction. IEEE Trans. Reliab. 63(1), 191–207 (2014) 60. Baraldi, P., Mangili, F., Zio, E.: A Kalman filter-based ensemble approach with application to turbine creep prognostics. IEEE Trans. Reliab. 61(4), 966–977 (2012) 61. Rodger, J.A.: Toward reducing failure risk in an integrated vehicle health maintenance system: a fuzzy multi-sensor data fusion Kalman filter approach for IVHMS. Expert Syst. Appl. 39(10), 9821–9836 (2012) 62. Yoon, J., He, D.: Development of an efficient prognostic estimator. J. Fail. Anal. Prev. 15(1), 129–138 (2014). https://doi.org/10.1007/s11668-014-9902-7
Characterization and Simulation of the Power IGBT Module Used in VFD for Drilling Applications H. Sefsaf1(B) , B. Nadji1 , and Y. Yakhelef2 1 Laboratoire d’Electrification des Entreprises Industrielles, Faculté des Hydrocarbures et de la
Chimie, Université M’hamed Bougara, Boumerdes, Avenue de l’independence, 35000 Boumerdes, Algeria [email protected] 2 Laboratoire Signaux et Systèmes, Institue de Génie Electrique et Electronique, Université M’hamed Bougara, Boumerdes, Avenue de l’independence, 35000 Boumerdes, Algeria
ABSTRACT. At present, billions of dollars are being invested in the oil and gas industry, and the intellectual forces of many countries are working on the improvement of technology. In this connection, the problem arises of increasing the efficiency of capital investments [1]. One of the ways to improve the quality and increase profits is the use of drilling rigs equipped with a variable frequency drive (VFD) technology, which have proven themselves in operation at difficult climatic conditions. In pursuit of increased quality and profitability the VFD may hold the key to efficiently performing intricate and high-speed tasks and thus make complex wells technically and economically feasible [2]. The inverter module plays an important role in the Variable-Frequency Drive and the most important switching devices used in the inverter module are the IGBT & MOSFET. This paper focuses on the characterization and simulation of the power IGBT module used in VFD of drilling rigs. This characterization is based on the use of ANSYS solver Circuit - Simplorer©. This modeling is only linked to the knowledge of the IGBT characteristics obtained from datasheets. The comparison between the simulation models and the datasheet is reported and conclusions are made. Keywords: Modelling and simulation · Power modules · IGBT module · VFD · SIMPLORER
1 Introduction In the fast-growing market of power semiconductors, power modules are playing a key role in power conversion systems, which are used as switching elements for the main power inverter feeding the electrical motor, such as renewable energy systems, electric vehicles, industrial equipment and drilling drives. These applications offer a high demand for reliable operation of the power electronics systems [3], the fulfillment of these design performance and specifications indeed implies an appropriate and accurate modeling of the main power device. This modeling process enables the study and assessment of © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 665–671, 2023. https://doi.org/10.1007/978-3-031-21216-1_67
666
H. Sefsaf et al.
the operating performances and dynamics of the whole power system. In this paper, we extract and simulate IGBT module with rating of 1700 V – 1200 A, which is manufactured by Infineon and referenced as FF1200R17KE3_B2 used in variable frequency drive of drilling rigs. The paper is organized as follows. Section 2 presents the topology of the variable frequency drive and IGBT module. Section 3 presents the Extraction method of the model parameters. Section 4 presents simulation and results. Section 5 presents conclusions and recommendations.
2 AC Drives for Drilling Applications 2.1
Variable Frequency Drive
The Variable Frequency Drive (VFD) is an autonomous frequency converter system used to supply the AC main drives for drilling applications, such as the Drawworks, Mud Pumps, Top Drive and Rotary Table. (Fig. 1) shows the single line diagram for the Top Drive system as example. In this highly sophisticated drive system, IGBT based power module, is the backbone semiconductor component of the power conversion and drive system. Due to the fact that the operating characteristics and performances of that device have a paramount impact on the dynamic behaviour of the entire system, the main scope of this work will be devoted to its modeling and simulation regarding to characteristic properties.
(a)
(b)
Fig. 1. Single line diagram for the Top Drive system.
Fig. 2. Power IGBT module FF1200R17KE3_B2. (a) Module circuit diagram (b) package outlines [4]
Characterization and Simulation of the Power IGBT
667
2.2 Power Module To satisfy the industrial drilling applications and market, IGBT based power modules are extensively introduced and employed. As an available and practical case study, the power module of respectively voltage and current ratings given as 1700 V–1200 A is chosen to be studied in this work. This module, which is referenced as (FF1200R17KE3_B2) is manufactured by Infineon and qualified to be used as a building bock of the inverter in the drilling drive system. This module is composed of two IGBT switches each one with anti-parallel diode. (Fig. 2) shows the IGBT based module considered in this study.
3 Method of Parameter Extraction and Model Characterization We shall use Simplorer© software program, to develop and elaborate a characteristic model of the IGBT based power module by an equivalent electric circuit and using the datasheet characteristics. These characteristics are mainly the switching energy and the so-called static characteristics as well as the capacity variation profile as a function of the applied voltage at the IGBT based module terminals. The method used to identify and characterizing the power module model is based on the parameters and characteristics taken from the corresponding datasheet. The procedure is carried out in several steps, where firstly, the nominal values of the voltage VCE , current IC , junction temperature TJ , internal gate resistor RG , input capacity, voltages VGE_on VGE_off applied to the gate of the IGBT in conduction and blocking states, as well as the inductors and resistors are determined. After that, the I-V profile characteristics of respectively IC (VGE ) and IC (VCE ) when subjected to variable temperature and the junction voltage VGE . In the process, we need also to determine and give the Current-Voltage property of the antiparallel diode IF (VF ) used in the power module as well as characterizing the thermal impedances of the semiconductor devices; the IGBT and the diode. Based on all these available and supplied data, the transient performances of both IGBT and diode components of the power module are finally specified and described, for which the design parameter values particularly of Eon , Eoff , ton , toff concerning the IGBT and Qrr concerning the anti-parallel diode are supplied with the two different values of the temperature to fully characterize the voltage VCE and the current IC of the power module. (Fig. 3) illustrates the flow of the device characterization for basic dynamic IGBT and advanced dynamic IGBT models. The topic named in each box is linked to detailed information for the corresponding wizard dialog box [5].
668
H. Sefsaf et al.
Fig. 3. Flow of the device characterization for basic dynamic IGBT and advanced dynamic IGBT models [6].
These characteristics are the results of the Advanced Dynamic IGBT module (FF1200R17KE3_B2) characterization using Simplorer Software supplied by the manufacturer datasheet. (Fig. 4) shown the Transfer Characteristics, that is, Ic = f(Vge ) at a specific temperature (Vce = 20V, T = 25 °C & T = 125 °C). (Fig. 5) shown the output characteristics, that is, Ic = f(Vce ) at a specific temperature ( Vge = 15V, T = 25 °C & T = 125 °C). (Fig. 6) shown the forward characteristic of diode IF = f(VF ) at a specific temperature (T = 25 °C & T = 125 °C). (Fig. 7) shown the transient thermal impedance of IGBT ZthJC = f(t). After this characterization a very good agreement obtained between characteristics results and manufacture datasheet.
Characterization and Simulation of the Power IGBT
Fig. 4. Transfer characteristic IGBT Ic = f(Vge )
Fig. 6. Forward characteristic of Diode IF = f(VF )
669
Fig. 5. Output Characteristics IGBT Ic = f(Vce )
Fig. 7. Transient thermal impedance IGBT
4 Simulations and Results The simulation models in this study are made for power module IGBT/Diode component (FF1200R17KE3_B2). After representing and characterizing the power module by its equivalent electrical circuit model as it is depicted by (Fig. 8), this elaborated model is then simulated under the Simplorer software program. Among the different models that are issued by the simulator [7], the advanced dynamic model is chosen and worked out due to its optional features particularly the availability the studying the effect of both IGBT turn-off current and the input capacitance values on the characterization
670
H. Sefsaf et al.
and performance of the power module. In this model the model free-wheeling is also provided a fact that allows considering the dynamics of this building component in this characterization study. The simulation results (Fig. 9) clearly show the overcurrent at the turn-on, overvoltage at turn-off, but these peaks always remain in the safe operating area of the IGBT.
Fig. 8. Half Bridge Circuit OF IGBT module FF1200R17KE3_B2 using Simplorer
Fig. 9. Voltages and currents waveforms at the switching. (a) turn-on. (b) turn-off of the IGBT module (E = 900 V, IL = 1200A, TJ = 125 °C; RGON = 1.2 RGOFF = 1.5).
Characterization and Simulation of the Power IGBT
671
5 Conclusion In this paper, we characterized and simulated the silicon-IGBT based power module (FF1200R17KE3_B2) with load as a fixed current source. This module is the basic component of ModSTACK™ 6MS2400R17KE3-3G-C20VTIN inverter, used in VFD drilling applications, a good agreement should be noted between the simulation results obtained and those of the datasheet. In fact, silicon-based power components still prevail on the market, and are starting to reach its theoretical limits in terms of performance. In this context, different wide band gap semiconductor devices, such as silicon carbide (SiC), which exhibits attractive physical characteristics for the emerging of this power device type in the market of high performance requirements of power electronics based drive systems. The future work will include a simulation of the same characteristics module based on SiC MOSFET, which is expected to provide lower loss, higher efficiency, and smaller size when compared with their silicon (Si) type counterpart.
References 1. Andriyanov, A.M., Andriyanov, P.A.: Drilling automation based on rigs equipped with the top drive system. IAC OIL AND GAS 2017, IOP Conf. Series: Earth and Environ. Sci. 181(2017), 012002 (2018) 2. Walt, A., Jacques, B.: Drilling automation. Oilfield Rev. Summ. 24(2) .Copyright © 2012 Schlumberger (2012) 3. Minh, H.N., Sangshin, K.: Electronics. Enhance reliability of semiconductor devices in power converters 9, 2068 (2020). https://doi.org/10.3390/electronics9122068 4. Datasheet Infineon IGBT Module FF1200R17KE3_B2 5. Oustad, D., Lefebvre, S.: Comparison of modeling switching losses of an IGBT based on the datasheet and an experimentation. In: Electrical Engineering Symposium (SGE 2016): EF-EPF-MGE, 7–9 Jun 2016, Grenoble, France (2016) 6. Simplorer Help at. http://www.ansys.com 7. Daou, H., Costa, F.: Dynamic electric model for IGBT power module based on Q3D® and Simplorer® : 3D layout design, stray inductance estimation, experimental verification. In: Electrical Engineering Symposium (SGE 2016), Grenoble, France, Jun 2016
Power Management Strategy of a Hybrid PV-Battery System Connected to the Grid Y. Bouthiba1(B) , B. Meghni2 , B. Benlahbib3 , and M. Ouada1 1 Dept. Electromécanique (UBMA), Laboratoire des Systèmes Electromécaniques (UBMA),
23000 Annaba, Algeria [email protected], [email protected] 2 Dept. Electrotechnique (UBMA), Laboratoire des Systèmes Electromécaniques (UBMA), 23000 Annaba, Algeria 3 Centre de Développement des Energies Renouvelables, CDER, Unité de Recherche Appliquée en Energies Renouvelables, URAER, 47133 Ghardaïa, Algeria
Abstract. This paper presents an energy management for a grid-connectedhybrid system, which consists of a photovoltaic generator (PVG), an energy storage system (ESS) using a battery, and a dump load (in our case, it is a resistance) to protect the battery. The photovoltaic system and the ESS are connected in parallel with the DC Link through different DC-DC power converters. The DC voltage is then transformed into AC voltage using a DC/AC inverter connected to the grid. This study is based on optimization and Energy Management Control (EMC) to achieve energy balance in the hybrid system under different conditions of power generation and power demand. As an improvement, the tracking of the maximum power point (MPPT) of the photovoltaic system is based on the Perturb and Observe (P&O) control method. The flow of active and reactive energy to the grid is controlled using Direct Power Control based on Proportional Integral Controller (DPC-PI) through the inverter (DC/AC). This study was investigated using MATLAB/Simulink, and the obtained results are encouraging for future works. Keywords: Photovoltaic · ESS · P&O · DPC-PI · EMC · Grid
1 Introduction Fossil fuels are among the most widely used energy sources to produce electrical energy, but they are polluted (unclean energy) and their quantities are limited, add to that the growing global demand for energy [1, 2]. So there is a pressing need to make use of renewable energy sources, however, due to the interrupted nature, individual power sources cannot meet the continuous energy of the load, so a hybrid system can be formed by combining two or and above sources of energy (renewable/non-renewable) [3]. Renewable energy hybrid systems and their energy management have been proposed in the literature, such as in [4], a modified adaptive exponential-like sliding mode control algorithm is used to control the hybrid energy system, which is composed of a photovoltaic generator and a storage battery, to achieve optimal energy management. In [5], a control technique © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 672–681, 2023. https://doi.org/10.1007/978-3-031-21216-1_68
Power Management Strategy of a Hybrid PV-Battery System
673
for power management of a hybrid PV/battery system was proposed through the MPPT technique for battery charge control, and this system was investigated via simulation and experimental work. In [6], a Model Predictive Voltage Control (MPVC) combined with a droop control is used to achieve the stabilization of the AC output voltage of the islanded micro-grid. In [7], the online energy management of a hybrid system composed of a photovoltaic system/ fuel cell/ battery is suggested, where the neural network controller is used to extract the maximum power of the photovoltaic system, and the power is managed by the fuzzy logic controller. In this paper, a study of the power management of a hybrid system is presented, which consists of a photovoltaic system (PV) as a primary source, an energy storage system (ESS) based on a battery, and a dump load to protect the battery from overcharging. The power of this system is managed depending on the battery state of charge (SOC), and the active and reactive power flowing into the grid is controlled by DPC-PI control. The structure of this paper is coordinated as follows: Sect. 2 describes the structure of the studied hybrid energy system with the modeling of its different parts. In Sect. 3, the HES management strategy is described. In Sect. 4, the results obtained through simulations in the MATLAB/Simulink are presented and discussed. Eventually, a conclusion for this study is presented in Sect. 5.
2 Description of the Hybrid Energy System and Modeling Figure 1 shows the proposed studied hybrid system, which consists of a photovoltaic generator coupled to a DC-DC converter for MPPT and an energy storage system (ESS) using a lead-acid battery connected to a bi-directional DC-DC converter. To protect the battery from overcharging, a dump load (resistance) is used. The above elements are connected in parallel to the dc-link. The dc voltage is transformed to ac voltage via the dc-ac converter connected to the grid.
Fig. 1. The general structure of the hybrid energy system.
Fig. 2. The control structure of the PV system.
2.1 PV Energy Conversion System Figure 2 shows the structure of the photovoltaic system, consisting of a photovoltaic panel and a DC-DC boost converter with an MPPT controller. To get the optimal work of the photovoltaic system, MPPT controls are used [8].
674
Y. Bouthiba et al.
1 PV system model The PV system cell equivalent circuit is shown in Fig. 3 [9]. The mathematical expression of the output current of the solar cell is determined by Eq. (1) [10]: V + Rs I V + Rs I I = Ipv − I0 exp (1) −1 − Vt α Rp where: I and V represent the PV cell output current and voltage respectively; Ipv is actual light-generated current, and I0 is the diode saturation current; Rs and Rp are the series and parallel resistances respectively of the PV cell; α is a diode constant; Vt is the thermal junction voltage. The expression of the PV array composed of Nser × Npar identic modules is represented by Eq. (2) [11]:
⎞
⎡ ⎛ ⎤ ser ser I I V + Rs NNpar V + Rs NNpar ⎠ − 1⎦ −
I = Ipv Npar − I0 Npar ⎣exp⎝ (2) Vt αNser R Nser p Npar
where: Nser is the number of series modules; Npar is the number of parallel modules. 2 MPPT control Solar energy is one of the renewable energy sources that is used, but its conversion is affected by environmental and technological factors (i.e. radiation and temperature of the PV module), to improve its efficiency and increase the power of the PV generator, the Maximum Power Point Tracking (MPPT) technology is used [12, 13]. As mentioned in the reference [14], there are different techniques for the MPPT technique. 2.2 Perturb and Observe (P & O) Technique Considered P&O technique implementation is less costly where the PV array voltage is sensed by only one voltage sensor [10]. Where this algorithm is applied according to Fig. 4 [15]. This algorithm can be explained as follows: • If P(k) − P(k − 1) > 0 and V(k) − V(k − 1) > 0 Then D(k) = D(k − 1) − D Decrease D. • If P(k)−P(k − 1) < 0 and V(k)−V(k − 1) < 0 Then D(k) = D(k−1)−D Decrease D. • If P(k)−P(k−1) > 0 and V(k)−V(k − 1) < 0 Then D(k) = D(k − 1)+D Increase D. • If P(k)−P(k − 1) < 0 and V(k)−V(k − 1) > 0 Then D(k) = D(k − 1)+D Increase D.
⇐⇒ ⇐⇒ ⇐⇒ ⇐⇒
Power Management Strategy of a Hybrid PV-Battery System
Fig. 3. The PV system cell’s equivalent circuit.
675
Fig. 4. Flowchart of the P & O control algorithm.
2.3 Energy Storage System (ESS) In this study, a lead-acid battery coupled with DC-Link by a DC-DC bidirectional converter is used as an energy storage system (ESS). The purpose of this system is to keep the DC-link voltage constant at the required level despite changes in sources or load, it also ensures a balance of power between load and sources by charging or discharging the battery via evaluating its state of charge (SOC) [8]. The PI control technique controlled the DC-Link voltage in this system (ESS) as shown in Fig. 6 [16]. The battery voltage is expressed as follows [17]: Ubat = Ebat − Ri .i
(3)
where: Ebat : is the no-load voltage; Ri : is the internal resistance of the battery; i: is the current of the battery. Ubat : is the voltage of the battery. The state of charge (SOC) of a battery is expressed as follows [16]: ibat dt SOC = 100 1 + (4) Q where: Q is the battery capacity and ibat is the charging current of the battery. The minimum (SOC min ) and maximum (SOC max ) allowable battery state of charge is determined to ensure battery safety when charging and discharging [16]: SOC min ≤ SOC ≤ SOC max
(5)
In this study, the PI control technique is used to adjust the DC-Link voltage in the ESS at the reference value as shown in Fig. 5. 2.4 Modeling and Control of the Grid It expresses the dynamic model of the grid connection when selecting a frame of reference that rotates synchronously with the grid voltage as follows [19]: Vdg = Vdi − Rg Idg − Ldg
dIdg + Lqg ωg Iqg dt
(6)
676
Y. Bouthiba et al.
dIqg − Ldg ωg Idg dt The equation for the DC-Link system is as follows [20]: Vqg = Vqi − Rg Iqg − Lqg
C
dVDC 3 Vdg = Idg − IDC dt 2 VDC
(7)
(8)
where: Vdg , Vqg : are voltage elements of the grid on the axis of d-q; Vdi , Vqi : are voltage elements of the inverter on the axis of d-q; Rg : the resistance of the grid; Ldg , Lqg : are inductance elements of the grid on the axis of d-q; Idg , Iqg : are current elements of the grid on the axis of d-q; VDC : is the DC-Link voltage; IDC : is the DC-Link current; C: is the DC-Link capacitor. The active and reactive power flowing into the grid is expressed in the d-q reference frame as follows [21]: 3 (9) Pg = Vdg Idg + Vqg Iqg 2 3 (10) Qg = Vdg Iqg + Vqg Idg 2 To control the active (P) and reactive (Q) power independently, the space-vector is aligned on the d-axis, thus setting Vqg = 0, where the expression for active and reactive power becomes as follows [21]: 3 Vdg Idg (11) 2 3 (12) Qg = Vdg Iqg 2 In this study, the active and reactive power of the grid are controlled by DPC-PI control[20]. Direct Power Control (DPC) provides good dynamic performance and also ensures decoupled control of instantaneous active and reactive power [22]. Figure 6 represents a schematic diagram of active and reactive power control of the grid. Pg =
3 Energy Management System The electrical power of the proposed system is managed according to the diagram in Fig. 7 [8, 23]. So, it can be explained as follows: • P net = 0: At this stage, the power of the photovoltaic generator is equal to the power demand, so the battery does not perform any operations. • P net > 0: At this stage, the power of the photovoltaic generator is greater than the power demand, so if the battery is full (SOC > SOC max ), the surplus energy is dissipated by the dump load, but if it is not full (SOC < SOC max ), it will be charging. • P net < 0: At this stage, the power of the photovoltaic generator is less than the power demand, so if the battery is enough (SOC > SOC min ), it will discharge to help the photovoltaic generator cover the power demand, but if it is not enough (SOC < SOC min ), there will be load-shedding.
Power Management Strategy of a Hybrid PV-Battery System
Fig. 5. Energy Storage System with control structure [18].
677
Fig. 6. Schematic diagram of grid control.
Fig. 7. Energy management system flowchart.
4 Results and Discussion Simulations of the studied system were carried out under the MATLAB/Simulink to evaluate the performance of the proposed energy management strategy applied to this system through the alteration in the power demand and the power of the photovoltaic generator by radiation (Fig. 8) with constant temperature (Fig. 9). The power equilibria in this system are acquired despite the changes it undergoes by preserving a constant voltage at the DC-link (Fig. 13) by charging and discharging the battery (Fig. 12), and this is done according to time domains (Fig. 10) as follows: • t ∈ [0 s–1.5 s]: At this stage, the power demand has exceeded the power of the photovoltaic generator, and therefore the battery is in discharge mode to compensate for the power shortage. • t ∈ [1.5 s–3 s]: At this stage, the power of the photovoltaic generator is equal to the power demand, and therefore the battery is in a resting state.
678
Y. Bouthiba et al.
• t ∈ [3 s–4.73 s]: At this stage, the power of the photovoltaic generator has exceeded the power demand, and therefore the battery is in charging mode to store the surplus. • t ∈ [4.73 s–5.5 s]: At this stage, there is an excess of energy production and the state of charge of the battery at its maximum limit (in our case, SOC max = 80%)(Fig. 12), and thus the excess energy is dissipated by activating the dump load (K = 1) (Fig. 11) to protect the battery from damage. The active and reactive power of the grid (Fig. 14 and Fig. 15) was also controlled by DPC-PI control. Figure 16 and Fig. 17 represent the current and voltage of the grid, respectively. The simulation results showed that the performance of the used control had a positive effect, as it provided good results.
Fig. 8. Profile of the solar irradiation.
Fig. 9. Profile of the ambient temperature.
Fig. 10. Powers of the hybrid energy system.
Fig. 11. Power of the dump load.
Fig. 12. State of charge of the battery.
Fig. 13. Voltage DC Link.
Power Management Strategy of a Hybrid PV-Battery System
679
Fig. 14. Active power of the grid.
Fig. 15. Reactive power of the grid.
Fig. 16. Three-phase current of the grid.
Fig. 17. Three-phase voltage of the grid.
5 Conclusions In this paper, a grid-connected hybrid system composed of a photovoltaic generator and a battery has been modeled and simulated. The power of the photovoltaic system was tracked through the MPPT (P & O) controller. An energy management strategy was used to achieve the energy balance in this studied system under various conditions (the change in power demand and photovoltaic generator power). DPC-PI control was also used to control the active and reactive power of the grid. The effectiveness of control was verified through a simulation of the system under MATLAB/Simulink, The results showed a good performance compared to the previous study in terms of accuracy and response time, thus the results are encouraged for future works.
References 1. Madaci, B., Chenni, R. and Hemsas , K.E., 2018, June. Energy Management Of The Standalone Hybrid Power System By Fuzzy Logic. In: 6th European Conference on Renewable Energy Systems, Istanbul, Turkey, 25–27 June 2018 2. Zerk, A.E., Ouassaid, M., Zidani, Y.: Energy management based fuzzy logic control of hybrid system wind/photovoltaic with batteries. In: IEEE Conference Renewable Energies, Power Systems & Green Inclusive Economy (REPS-GIE), Casablanca, Morocco, 23–24 April 2018 3. Krishna, K.S., Kumar, K.S.: A review on hybrid renewable energy systems. Renew. Sustain. Energy Rev. 52, 907–916 (2015) 4. Taghavifar, H., Taghavifar, H.: Adaptive robust control-based energy management of hybrid PV-Battery systems with improved transient performance. Int. J. Hydrogen Energy 46, 7442– 7453 (2021)
680
Y. Bouthiba et al.
5. Mirzaei, A., Forooghi, M., Ghadimi, A.A., Abolmasoumi, A.H., Riahi, M.R.: Design and construction of a charge controller for stand-alone PV/battery hybrid system by using a new control strategy and power management. Sol. Energy 149, 132–144 (2017) 6. Jayachandran, M., Ravi, G.: Predictive power management strategy for PV/battery hybrid unit based islanded AC microgrid. Int. J. Electr. Power Energy Syst. 110, 487–496 (2019) 7. Natsheh, E.M., Natsheh, A.R., Albarbar, A.: Intelligent controller for managing power flow within standalone hybrid power systems. IET Sci. Measur. Technol. 7, 191–200 (2013) 8. Benlahbib, B., et al.: Experimental investigation of power management and control of a PV/wind/fuel cell/battery hybrid energy system microgrid. Int. J. Hydrogen Energy 45, 29110–29122 (2020) 9. Madaci, B., Chenni, R., Kurt, E., Hemsas, K.E.: Comparison of two maximum power point tracking techniques applied to a photovoltaic system. In: Third European Conference on Renewable Energy Systems (ECRES), Antalya, Turkey, October 2015 10. Sumathi, S., Ashok Kumar, L., Surekha, P.: Solar PV and wind energy conversion systems. In: Springer International Publishing, Switzerland, p. 72,115, January 2015 11. Villalva, M.G., Gazoli, J.R., Filho, E.R.: Modeling and circuit-based simulation of photovoltaic arrays. In: IEEE, Power Electronics Conference. Bonito-Mato Grosso do Sul, Brazil, 27 September–1 October 2009, June 2009 12. Cristaldi, L., Faifer, M., Rossi, M., Toscani, S.: MPPT definition and validation: a new model-based approach. In: IEEE, International Instrumentation and Measurement Technology Conference Proceedings, Graz, Austria, 13–16 May 2012, May 2012 13. Benlahbib, B., Bouarroudj, N., Mekhilef, S., Abdelkrim, T., Lakhdari, A., Bouchafaa, F.: A fuzzy logic controller based on maximum power point tracking algorithm for partially shaded PV array-experimental validation. Elektronika ir elektrotechnika 24(4) (2018). ISSN 1392-1215 14. Kandemira, E., Cetinb, N.S., Borekci, S.: A comprehensive overview of maximum power extraction methods for PV systems. Renew. Sustain. Energy Rev. 78, 93–112 (2017) 15. EL Gouri, R., Ben Brahim, M., Hlou, L.: A Comparative study of mppt technical based on fuzzy logic and perturb observe algorithms for photovoltaic systems. J. Theor. Appl. Inf. Technol. 58, 336–346 (2013) 16. Merabet, A., Ahmed, K.T., Ibrahim, H., Beguenane, R., Ghias, A.: January. Energy management and control system for laboratory scale microgrid based wind-PV-battery. IEEE Trans. Sustain. Energy 8, 145–154 (2017) 17. Sarrias, R., Fernández, L.M., García, C.A., Jurado, F.: Coordinate operation of power sources in a doubly-fed induction generator wind turbine/battery hybrid power system. J. Power Sources 205, 354–366 (2012) 18. Benlahbib, B., Bouchafaa, F., Noureddine, B., Mkhilef, S.: Fractional order PID controller for DC link voltage regulation in hybrid system including wind Turbine- and Battery packsExperimental validations. Int. J. Power Electron. 10(3), 289–313 (2019) 19. Chinchilla, M., Arnaltes, S., Burgos, J.C.: Control of permanent-magnet generators applied to variable-speed wind-energy systems connected to the grid. IEEE Trans. Energy Convers. 21, 130–135 (2006) 20. Meghni, B., Dib, D., Azar, A.T., Ghoudelbourk, S., Saadoun, A.: Robust adaptive supervisory fractional order controller for optimal energy management in wind Turbine with battery storage. In: Azar, A.T., Vaidyanathan, S., Ouannas, A. (eds.) Fractional Order Control and Synchronization of Chaotic Systems. SCI, vol. 688, pp. 165–202. Springer, Cham (2017). https://doi.org/10.1007/978-3-319-50249-6_6 21. Vijayakumari, A., Devarajan, A.T., Devarajan, N.: Decoupled control of grid connected inverter with dynamic online grid impedance measurements for micro grid applications. Int. J. Electr. Power Energy Syst. 68, 1–14 (2015)
Power Management Strategy of a Hybrid PV-Battery System
681
22. FEKIK Arezki, M.: Commande Directe De Puissance D’un Redresseur à MLI Par DSP. 3ème Cycle LMD Doctorat thesis, University of Tizi-Ouzou, 20/06/2018, June 2018 23. Benlahbib, B., Bouarroudj, N., Mekhilef, S., Abdelkrim, T., Lakhdar, A.: Power management and DC link voltage regulation in renewable energy system. In: 2019 International Conference on Advanced Electrical Engineering (ICAEE), Algiers, Algeria
Optimisation, Control and Power Conversion
Natural and Mixed Convection in Solar Drying Process Samah Adjmi1(B) and Chérifa Abid2 1 Materials Physico-Chemistry Laboratory, Science and Technology Faculty, Chadli Bendjedid
University, B.P. 73, 36000 El Tarf, Algeria [email protected] 2 Aix-Marseille Université, IUSTI UMR 7343, 13453 Marseille CEDEX 13, France [email protected]
Abstract. This experimental study was carried out on an indirect solar dryer with a total volume of 113,3 L. The experimental results of airflow in an indirect dryer with natural and mixed convections were presented. In order to overcome the effect of outdoor experiments, the solar heat flux has been replaced by a heat flux submitted to the absorber and provided by Joule effect. The temperature and fluid velocity profiles are presented in order to determine the fluid flow and the thermal profiles inside the different parts of the dryer with natural and mixed convection in order to describe and allow a better comprehension of the fluid flow inside a dryer. At least to out knowledge, it turns out that the mixed convection mode is for the first time mentioned in the scientific literature. Keywords: Indirected solar dryer · Natural convection · Mixed convection · Drying chamber
1 Introduction In countries with high agricultural production, peasants have been obliged to throw a part of crops, because of the lack of means of conservation. Drying is one of the most effective methods of preserving the product. However, this process requires huge sums because it consumes a lot of energy; solar drying is one of the most effective ways to dry crops at the lowest cost. The literature about solar dryers deals with the presentation of their designs and performances. S. Sharma et al. [1] presented a review of solar drying systems where both passive and active modes and various types (direct, indirect, mixed) are considered; A.G.M.B. Mustayen et al. [2] exposed a review focused on the efficiency of different solar dryer models. Another review provided by a. Fudhol et al. [3] concerns dryers working with various heat sources such as electric, solar, biomass and hybri, around twenty systems of marine and agricultural applications were compared. H. El Hage et al. [4] carried out a review on solar drying techniques with low environmental and economic impact. In order to see the efficiency of some solar driers, a. B. Lingayat et al. [5] presented a review of indirect type solar dryers by highlighting different designs, the construction details and the models of performance evaluation. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 685–690, 2023. https://doi.org/10.1007/978-3-031-21216-1_69
686
S. Adjmi and C. Abid
The present paper describes an experimental study of drying process where both natural and mixed convection are considered; to our knowledge the mixed convection phenomenon has not been considered before in drying process. The considered system consists of an inclined channel heated from below coupled with rectangular drying chamber connected by the outlet to evacuate the air. The experimental device is instrumented by temperature and velocity sensors in order to recover the velocity and temperature profiles in the dryer.
2 Experimental Setup A description of the experimental apparatus is shown in Fig. 1; it concerns a drying process in natural convection or mixed convection. The studied system consists of an inclined channel coupled with a vertical rectangular drying chamber, open at both sides; an outlet serves for the evacuation of the air. The experimental device is widely instrumented to trace fluid velocity and temperature profiles at various locations in the ventilated open channel. The absorber is an open rectangular channel inclined at 45° where the lower side is uniformly heated by Joule effect. The heated zone is constituted by three layers, the first layer consists of a sheet of polycarbonate of thickness of 20 mm, the second one corresponds to the heating layer where three adhesive resistances (films) are pasted on the polycarbonate sheet, each film has a length of 20 cm; the third layer is a copper plate
Hoz=13c
I : Outlet Loz=31c
G=43cm
II : Dryer Chamber Ldc=54c Hdc=28c G=43cm
Y
X III : Absorber G=43cm
Ha=12cm
La=60c
Z
Fig. 1. Schematic representation of experimental setup
Natural and Mixed Convection in Solar Drying Process
687
of thickness 10 mm. Thus the polycarbonate insulates the lower part of the absorber and the copper allows homogenizing the temperature. The other sides of the absorber consist of plexiglass plates of a thickness of 10 mm. The drying chamber and the outlet zone are made of plates of wood with a thickness of 5 mm. Table 1 shows the dimensions of the various parts constituting the dryer. The experimental measurement steps were carried out by going through the following steps: • Heating the lower plate of the absorber where three heating plates are placed under a voltage of 118.9V and an electrical resistance of 70,7; • Waiting 30 min to obtain steady state; • Do the measurements of velocity and temperature detected on the three parts of the dryer (absorber 0 < y/Ha < 1, drying chamber 0.2 < x/Hdc < 0.95 and outlet zone 0 < x/Hoz < 1; We should be note that all the used sensors were automatically calibrated through the “Labview Signal Express” software.
3 Experimental Results The air flow could be naturally induced by buoyancy forces or forced thanks to fans: • Without air blowing (natural convection or passive mode): the absorber is uniformly heated at the lower wall (y/H = 1) where all the other walls are considered adiabatic. The fluid in contact with the hot surface warms up which leads to its motion. The free convection sets up naturally due to buoyancy forces, the flow pattern consists on longitudinal rolls along the absorber. The heated fluid goes up from the absorber to the drying chamber and is finally evacuated via the outlet. In this case, the heat transfer between the fluid and the absorber is only due to natural convection; the ratio Gr/Re2 , determined further is around 75; this large ratio reflects the importance of natural convection compared to forced convection. • With air blowing (mixed convection or active mode): the fluid flow is ensured thanks to three fans installed at the outlet of the dryer. The imposed pressure difference induces a forced flow from the absorber to the outlet. In this case, the fluid velocity is a superposition of a forced component (due to the fans) on a natural one (due to the heating of the absorber). The heat transfer between the absorber and the fluid is submitted to a mixed convection phenomenon. The further determination of the ratio Gr/Re2 will allow the classification of the type of the involved convection; in this case Gr/Re2 is around 15, which corresponds to a mixed convection phenomenon. 3.1 Natural Convection Flow In the case of natural convection, Fig. 2 shows the velocity and temperature profiles at the absorber. One observes, due to the non slipping condition, that the velocity is equal at zero at the horizontal plates of the absorber (y/Ha = 0 for the upper face and
688
S. Adjmi and C. Abid
y/Ha = 1 for the lower and heated face of the absorber). Between both the plates, the velocity increases from zero at y/Ha = 1 to reach a maximum at y/Ha = 0.58, then it decreases progressively till zero at y/Ha = 0. This profile is due to natural convection phenomenon, indeed, when the working fluid is heated by the hot plate of the absorber, its density decreases which provokes the fluid motion thanks to buoyancy forces. The temperature profile is plotted on the same figure and one notices that it is coherent with the velocity one, the highest temperature is found at y/Ha = 1, i.e. at the heated wall, the lowest one is obtained at the same location where the highest velocity was obtained, i.e. y/Ha = 0.58. When the fluid leaves the absorber, it naturally enters the drying chamber where it goes up along the wall adjacent to the absorber. The measurements conducted in the dryer chamber, allow plotting the horizontal profile of the velocity. It should be noted that the fluid, close to the wall adjacent to the absorber, at x/Hdc = 0.2 has the highest velocity (0.35 m/s) and gradually as the x/Hdc increases the velocity decreases until it vanishes when x/Hdc is around 0.6; beyond this value, there is a quiescent zone without any fluid motion. The temperature profile is approximately uniform around a mean value of 31 °C. The same behavior is observed at the outlet area. Temperature Velocity
Absorber at x/La=0,85
40 38 36 34
0,2
32
Temperature (°C)
Velocity (m/s)
0,4
30
0,0
28 0,0
0,2
0,4
0,6
0,8
1,0
y/H (-) Temperature Velocity 32,0
Drying chamber at y/Ldc=0,64
0,4
Temperature Velocity
Outlet at z/G=0,5
0,40
0,2
31,0
0,1
30,5
0,35
30,2
30,0
0,30
29,8 0,25 29,6
0,0
0,2
0,3
0,4
0,5
0,6
x/Hdc (-)
0,7
0,8
0,9
1,0
30,0
0,20
0,0
0,2
0,4
0,6
0,8
x/Hoz (-)
Fig. 2. Velocity and temperature profiles in natural convection dryer.
1,0
29,4
Temperature (°C)
31,5
Velocity (m/s)
0,3
Temperature (°C)
Velocity (m/s)
30,4
Natural and Mixed Convection in Solar Drying Process
689
3.2 Mixed Convection Flow In the case of mixed convection, i.e. the fluid motion is ensured by forced (fans) and natural (buoyancy) convection. The various measurements allow to plot the velocity and temperature profiles and to compare them with the case of natural convection. Natural Convection Mixed Convection
Drying Chamber at y/Ldc=0,64
Natural Convection Mixed Convection
Absorber at x/La=0,85
0,8
0,8 0,7
0,6
0,6
Velocity (m/s)
Velocity (m/s)
0,5 0,4
0,2
0,4 0,3 0,2 0,1
0,0
0,0
0,0
0,2
0,4
0,6
y/Ha (-)
0,8
1,0
1,2
-0,1
0,1
0,2
0,3
0,4
0,5
0,6
0,7
0,8
0,9
1,0
x/Hdc (-)
Fig. 3. Comparison of velocity profiles at the absorber and the drying chamber for both heat transfer modes.
Thus, Figs. 3 and 4 show the velocity and temperature profiles at the absorber and the drying chamber for the same locations as in the case of natural convection. First concerning the velocity behavior, in the absorber, globally, the shape of the curves are the same in both cases, however one can notice that the velocity in the case of mixed convection is larger, the highest value is around 0.82 m/s while it is around 0.41 m/s for the case of natural convection. Otherwise, the profile seems approximately parabolic in mixed convection while it is rather flat in the central zone for natural convection. In the drying chamber, the mixed convection manifests higher profile and one observes that the quiescent zone occurs at greater x/h = 0.7 instead of x/H = 0.6 in natural convection which means that the static zone is smaller in mixed convection. The temperature profiles in the absorber have approximately the same shape even if the fluid temperature is higher in natural convection than in mixed convection, this is due to the residence time of the fluid in the heated zone; indeed, as the velocity is smaller in natural convection, the residence time is larger, which allows to the fluid to be warmer in natural convection than in mixed convection. However in the drying chamber, the temperature profile is rather flat, i.e. the temperature is approximately uniform in natural convection. Indeed, as the velocity is weak the different fluid layers have the same residence time which leads to an uniform temperature. In mixed convection, as the velocity profile is parabolic, the temperature profile behaves symmetrically i.e. its shape is also parabolic.
690
S. Adjmi and C. Abid Natural Convection Mixed Convection
Absorber at x/La=0,85
45
32,0
Natural Convection Mixed Convection
Drying Chamber at y/Ldc=0,64
31,5 31,0
Temperature (°C)
Temperature (°C)
40
35
30
30,5 30,0 29,5 29,0
25
0,0
0,2
0,4
y/Ha (-)
0,6
0,8
1,0
28,5
0,2
0,4
x/Hdc (-)
0,6
0,8
1,0
Fig. 4. Comparison of temperature profiles at the absorber and the drying chamber for both heat transfer modes.
4 Conclusion This article presents the results of an experimental investigation on a system composed of an inclined channel coupled to a rectangular drying chamber attached to an outlet to evacuate the air. The experimental device has certain modularity, allows a certain number of parameters to be varied or boundary conditions of the system. The experimental device is also used to plot the speed and temperature profiles at various points of the ventilated channel. This survey was implemented to study the influence of the heat flow distribution at the inclined channel on the chimney effect with natural and mixed convections. The instrumentation allowed us to understand the importance of various thermo-hydraulic mechanisms related to the parameters of the system. Whatever convection and heating modes, we observed heterogeneity of velocities and temperatures within the drying chamber. This consequence could have a negative effect on the homogeneity of the drying quality.
References 1. Sharma, A., Chen, C.R.Vu, Lan, N.: Solar-energy drying systems: a review. Renew. Sustain. Energy Rev. (13), 1185–1210 (2009) 2. Mustayen, A.G.M.B., Mekhilef, S., Saidur, R.: Performance study of different solar dryers: a review. Renew. Sustain. Energy Rev. 34, 463–470 (2014) 3. Fudholi, A., Sopian, K., Ruslan, M.H., Alghoul, M.A., Sulaiman, M.Y.: Review of solar dryers for agricultural and marine products. Renew. Sustain. Energy Rev. 14, 1–30 (2010) 4. El Hage, H., Herez, A., Ramadan, M., Bazzi, H., Khaled, M.: An investigation on solar draying. A Review with Economic and Environmental Assessment (157), 815–829 (157), pp. 815-829 (2018) 5. Lingayat, A.B., Chandramohan, V.P., Raju, V.R.K., Meda, V.: A review on indirect type solar dryers for agricultural crops – Dryer setup, its performance, energy storage and important highlights. Appl. Energy 258, 114005 (2020)
Super Twisting Fuzzy High-Order Sliding Mode Control of Variable-Speed Wind Turbine Lakhdar Saihi1(B) , Fateh Ferroudji1 , Khayra Roummani1 , Youcef Bakou1 , Khaled Koussa1 , and Mohammed Boura2 1 Unité de Recherche en Energies Renouvelables en Milieu Saharien URERMS, Centre de
Développement des Energies Renouvelables CDER, 01000 Adrar, Algeria [email protected] 2 Department of Science and Technology, University of Tahri Mohammed Bechar, P.O.B. 417, 08000 Bechar, Algeria
Abstract. This study proposes a novel super twisting fuzzy high-order sliding mode control of doubly-fed induction generator (DFIG) associated with a horizontal axis wind turbine, the generator fed directly in the stator by the network and supplied by converter side rotor (CSR) in the rotor. In the first part, we illustrate the model of the mechanical and electrical parts of the wind turbine system (turbine + DFIG). In the next section, we propose a novel approach by using a hybrid technique between a super twisting algorithm and fuzzy logic control for regulating the stator powers (active/reactive), in order to reduce the chattering issue and enhance the power quality of the grid compared with a classical high-order sliding mode control. The proposed strategy (STF-HOSMC) offers higher performance and robustness (reduce the chattering effect and ameliorate the quality of energy). The results of the simulations are given and analysed for the wind system. Keywords: Super twisting fuzzy · High-order sliding mode · DFIG · Chattering issue
1 Introduction Wind energy is a renewable energy source that is a worthy alternative to traditional energy sources that pollute the environment because it is available worldwide [1, 2]. The most of wind turbine systems are fixed speed; however, using speed variable in the turbine chain has several benefits. Doubly fed induction generators (DFIG) are frequently used in wind turbine chains with varying speeds. The use of DFIG in a wind energy system has several advantages [1, 2]. In the control context, several strategies of the control of doubly fed induction generators (DFIG) appeared; such as the high-order sliding mode control base on super twisting algorithm. This technique is a modern control method for nonlinear and complexes systems [2, 3]. It allows sequentially and systematically, selecting the Lyapunov function, to determine the control law of the system. Its principle is to establish in a constructive manner the control law of the nonlinear system by considering some state © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 691–699, 2023. https://doi.org/10.1007/978-3-031-21216-1_70
692
L. Saihi et al.
variables as virtual drives and develop intermediate control laws [4, 5], but, the chattering problem caused by the discontinuous section of high-order sliding mode control is a significant drawback. To eliminate this impact, one option to improve the high-order sliding mode controller performance is to combine it with fuzzy logic control, which can be used to minimize chattering and improve the HO-SMC controller’s energy quality. The primary goal of this work is to demonstrate the features and benefits of the fuzzy high-order sliding mode for controlling the active and reactive stator powers of DFIGs installed on horizontal axis wind turbines [6]. In this study; the mechanical part (wind turbine) and electrical part (DFIG) models are described in the second section of this research. The super twisting fuzzy of high-order sliding mode approach is discussed in the third section. The simulation results are shown in the following section, and finally conclusions are summarized in the last section.
2 Wind Turbine Based on DFIG Model The kinetic energy of the wind is converted into mechanical energy by the wind turbine system. This mechanical energy is converted into electrical energy by a generator (DFIG) for different uses (Fig. 1) [1, 3–5].
Fig. 1. Topology of DFIG system.
The power of wind turbine is obtain by [1, 2]: Pt =
1 ρSv3 Cp (λ, β) 2
− 21 116 t − 0.4β − 5 e λi ; λ = r λi v . (kg.m−3 ): air density, S = π r 2 : sweep area
with; Cp (λ, β) =
1 2
(1)
where; ρ of the turbine blade (m2 ), v −1 (m.s ): wind speed, Cp (λ, β): power coefficient, λ: speed ratio, β: pitch angle.
Super Twisting Fuzzy High-Order Sliding Mode Control
693
2.1 Model of DFIG The classical model of DFIG Park reference frame (d-q) is illustrates [3, 4]: ⎧ ϕds vds = Rs ids + d dt − ωs ϕqs ⎪ ⎪ ⎪ dϕ ⎨ vqs = Rs iqs + dtqs + ωs ϕds ϕdr ⎪ vdr = Rr idr + d dt − (ωs − ωr )ϕqr ⎪ ⎪ ⎩ dϕ vqr = Rr iqr + dtqr − (ωs − ωr )ϕdr
(2)
with; ϕdr = ls ids + lm idr ; ϕqs = ls iqs + lm iqr ; ϕdr = lr idr + lm ids ; ϕqr = lr iqr + lm iqs : the stator and rotor flux. The expression of mechanical part [4, 5]: Tg − Tem = J
d t + f t dt
(3)
with; Tem = p ϕds iqs − ϕqs ids in d-q reference frame. The stator active and reactive powers in Park reference frame, are obtained as [3, 4]:
Ps = vds ids + vqs iqs (4) Qs = vqs ids − vds iqs We used Field-oriented method of stator, in order to delete the coupling between the stator powers. The principle of this technique consists in aligning of the stator vector flux ϕds with the axis d allows getting constant electrical voltages and currents in permanent dϕ mode ϕds = ϕs , ϕqs = dtqs = 0 [3–5]: According to FOC, the expression of the electromagnetic torque and stator powers active and reactive are simplified as [3–5]: ⎧ lm ⎪ T = −p ϕ i ⎪ em s qr ls ⎨ Ps = −vs llms iqr ⎪ ⎪ ⎩ Q = −v lm i + s s ls dr
(5) vs2 ls .ωs
The regulation of DFIG rotor currents allows the DFIG to regulate stator powers (active and reactive). A relation between rotor currents and rotor voltages is shown in [2, 3, 5]: vdr = Rr idr + lr σ didtdr − gωs lr σ iqr (6) di vqr = Rr iqr + lr σ dtqr + gωs lr σ idr + g lmlsvs with; σ = 1 −
2 lm ls .lr
.
3 Super Twisting Fuzzy Strategy of DFIG High-order sliding mode control (HOSM-C) based on super twisting algorithm is among the most attractive nonlinear control systems [6–8]. This approach leads the fundamental
694
L. Saihi et al.
sliding mode concept through acting on the second-order time derivatives of the sliding surface, rather than affecting the first derivative as in the order of the first SMC. In order to mitigate the effects of chattering phenomenon and unwanted mechanical effort which, is affected the power quality. Fuzzy super-twisting algorithm is proposed to enhance the classical high-order sliding mode control [9–11]. 3.1 Control Laws The idea of sliding mode control is to drive the system’s trajectories to achieve a certain surface, a sliding surface, and then remain there [9–11]. And in order to design it, one needs follow three steps: The choice of the sliding surface:
d n−1 e(t) s(t) = λ + dt
(7)
where; λ > 0, n is the order of the system; e(t): error of the variable to be controlled; s(t): sliding surface [10, 11]. In this case n = 1 then s(t) = e(t), we choose the error between the stator powers of the reference (Ps-ref , Qs-ref ) and measured stator powers (Ps , Qs ) as surfaces in second order sliding mode control, so that we can write the following expression: ref ePs = Ps − Ps (8) ref eQs = Qs − Qs The derivative of these errors is given [12, 13]: ref e˙ Ps = P˙ s + vs llms iqr ˙ sref + vs lm idr e˙ Qs = Q
(9)
ls
1 v ; = − R i − gω l σ i have; idr r s r qr dr dr l σ r ˙iqr = 1 vqr − Rr iqr − gωs lr σ idr − g lm vs . lr σ ls By replacing the expression of idr and iqr we obtain: ref e˙ Ps = P˙ s + vs llms lr1σ vqr − Rr iqr − gωs lr σ idr − g lmlsvs (10) ˙ sref + vs lm 1 vdr − Rr idr − gωs lr σ iqr e˙ Qs = Q we
ls lr σ
Second derivative of errors can get [11, 12]: ⎧ ⎨ e¨ P = P¨ sref + lm vs −Rr iqr − gωs lr σ idr + lm vs v˙ qr s ls lr σ l l σ s r lm v s ref lm v s ⎩ e¨ Q = Q ¨ −R + + i − gω l σ i r s r qr dr s s ls lr σ ls lr σ v˙ dr
(11)
Super Twisting Fuzzy High-Order Sliding Mode Control
695
• The establishment of conditions of existence The LYAPUNOV function V(x) defines the convergence condition, which makes the surface attracting and invariant [11]. The control objective is to drive the system trajectory to reach the sliding manifold S = S˙ = 0 in finite time [11, 12]. Only if the following criteria is met will the sliding mode be available: V(x) = S S˙ < 0
(12)
• The determination of the control law There are several techniques of algorithms generating the convergence of S and S˙ to zero. The most used in literature are twisting and super-twisting [10]. In the case of a HOSM control, the control appears explicitly in S can be written in the following form [12, 13]: S¨ = ρ(x, t) + ϕ(x, t)v
(13)
δ ˙ ˙ t, u) + δ S(x, ˙ t, u)[A(x)x + B(x)u]. with; ρ(x, t) = δu S(x, t, u); ϕ(x, t) = δtδ S(x, δx We can formulate e¨ Ps , e¨ Qs the same form of Eq. (13) to appear the control vector u, then we can used the super twisting algorithm [12, 13]: ⎧ ⎨ e¨ P = G ˙ Ps + lm vs v˙ qr s ls lr σ (14) lm v s ⎩ e¨ Q = G ˙ + Qs s ls lr σ v˙ dr
˙ Ps where; G = ref l v ¨ s + m s −Rr idr − gωs lr σ ˙iqr . ˙ Qs = Q G ls lr σ We can obtain Vqr and Vdr :
ref P¨ s + llsmlrvσs
−Rr iqr − gωs lr σ idr ;
Vqr = uPs + αPs |S(Ps )|ρ sign(S(Ps )) Vdr = uQs + αQs |S(Qs )|ρ sign(S(Qs ))
(15) C
with; uPs = wPs sign(S(Ps )), uQs = wQs sign(S(Qs )), wPs > KPPsm ; αP2 s ≥ s CQs 4CPs KPs M (wPs +CPs ) 2 ≥ 4CQs KQs M (wQs +CQs ) ; 0 < ρ ≤ 1 ; 0 < ρ ≤ 1; w > ; α Qs Qs KQs m KP2s m KPs m (wPs +CPs ) KQ2 s m KQs m (wQs +CQs ) • Fuzzy second order sliding mode control In order to ameliorate the performance and robustness of DFIG in the context of wind energy production, we are interested in the novel strategy proposed in this study, which reduces the chattering issue of the classical high-order sliding mode, this approach is to combined super twisting of HOSMC with the robust technique fuzzy logic. The design of the super twisting fuzzy algorithm is shown in (Fig. 2) [9, 10]:
696
L. Saihi et al. v^eq_rq
Equivalent Part of SM +
+ S(P)
Ps_ref
System
STF-HOSM-C
-
Ps_mes
+ Fuzzy Part of SM
v^fuzzy_rq
Fig. 2. Super twisting fuzzy algorithm.
High-order sliding mode controller (HOSMC) based on super twisting fuzzy algorithm is a replacing the switching controller term sing(S(x)) of the super twisting algorithm, by a fuzzy controller as given below: u = ufuzzy_1 + ufuzzy_2
(16)
ρ where; u˙ 1 = −w.Sfuzzy (Ps , Qs ); ufuzzy_2 = −α Sfuzzy (Ps , Qs ) .Sfuzzy (Ps , Qs ).
ρ Vqr = ufuzzyPs + αPs Sfuzzy (Ps ) Sfuzzy (S(Ps )) ρ Vdr = ufuzzy_Qs + αQs Sfuzzy (Qs ) Sfuzzy (S(Qs ))
(17)
where; ufuzzy_Qs = wPs Sfuzzy (S(Ps )); ufuzzy_Qs = wPs Sfuzzy (S(Qs )). Recently, fuzzy logic (FL) controllers, which are more robust than classical nonlinear controllers and one of the most attractive nonlinear control strategies, are one of the most essential techniques of artificial intelligence strategies capable of reproducing human reasoning and occupying a large place in modern research fields [13]. This technique becomes very dominant in several industrial fields. The fuzzy logic setting does not request mathematical model, but uses inferences with multiple rules, these rules are described in Table 1; based on linguistic information of the dynamic behaviour system, can applied with imprecise inputs [13]. A fuzzy logic controller’s fundamental structure is composed of three parts: (Fuzzification, Inference engine, Defuzzification). It has two inputs and one output, as illustrated in the block diagram of the FL controller (Fig. 3).
Rules
Fuzzificaon
Defuzzificaon
Inference
Fig. 3. System FL.
Super Twisting Fuzzy High-Order Sliding Mode Control
697
To generate the fuzzy system, we defined three fuzzy sets Negative, Zero and Positive. The rule base of Fuzzy was defined in the Table 1 to develop the inference system: Table 1. Rule bases of fuzzy logic controller. DE/E
N
Z
P
N Z
BN
MN
Z
MN
Z
MP
P
Z
MP
BP
4 Results of Simulation High-order sliding mode fuzzy controller and the classical high-order sliding mode technique applied for the control of stator powers (active/reactive) of DFIG are implemented in MATLAB/Simulink.
Fig. 4. Profile of wind speed.
Figure 4 is illustrated the speed of wind on (m/s) [±10 m/s] and Fig. 5 present the electromagnetic torque and stator current of DFIG for the super twisting fuzzy control. All these variables depend on the speed of wind changing. Figure 6 illustrates the stator active and reactive powers of generator controlled by the proposed (super twisting fuzzy algorithm) (red line) and the classical high order sliding mode control (blue line), and their references (black line). The results show that, even in the presence of reference fluctuations, the suggested super twisting fuzzy controller has greater performance and stability in terms of reference tracking (time response, overshoot), hypersensitivity to perturbation and against
698
L. Saihi et al.
Fig. 5. Electromagnetic torque, current stator Fig. 6. Stator powers (active and reactive). of DFIG. (Color figure online)
Fig. 7. Stator currents THD with HOSM.
Fig. 8. Stator currents THD with HOSM-Fuzzy. (Color figure online)
variation parameters, and reducing the chattering issue than the standard high-order sliding mode control method. In addition, Fig. 7 shows that the THD value of standard sliding mode control (10.65%), and Fig. 8 shows that the THD value of super twisting fuzzy control (7.32%), the super twisting fuzzy control is significantly lower than the standard sliding mode control, allowing for better energy quality from wind turbines based on DFIG
5 Conclusions The high-order sliding mode control based on super twisting fuzzy (HOSM-C) of a horizontal axis wind turbine system equipped with doubly fed induction generator fed directly to the grid by the stator and connected with CSR (converter side rotor), has been
Super Twisting Fuzzy High-Order Sliding Mode Control
699
proposed in this work. The simulation results illustrate the proposed strategy compared to the conventional high-order sliding mode control, this proposed technique has a better performance, and more efficient in tracking a time-varying trajectory, in addition to mitigate the chattering issue and ameliorate the power quality.
References 1. Saihi, L., Berbaoui, B., Glaoui, H.: Robust control H∞ fuzzy of a doubly fed induction generator integrated to wind power system. Majlesi J. Electr. Eng. 14(1), 59–69 (2020) 2. Bakou, Y., et al.: Design of robust control based on R∞ approach of DFIG for wind energy system. In: 1st Global Power, Energy and Communication Conference (GPECOM), pp. 337– 341. IEEE (2019) 3. Saihi, L., et al.: Hybrid control based on sliding mode fuzzy of DFIG power associated WECS. In: AIP Conference Proceedings, vol. 2123, no. 1, p. 030015 (2019) 4. Saihi, L., Bakou, Y., Harrouz, A., Colak, I., Kayisli, K., Bayindir, R.: A comparative study between robust control sliding mode and backstepping of a DFIG integrated to wind power system. In: 2019 7th International Conference on Smart Grid (icSmartGrid), pp. 137–143 (2019). https://doi.org/10.1109/icSmartGrid48354.2019.8990810 5. Saihi, L., Bakou, Y., Ferroudji, F., Berbaoui, B., Djilali, L.: MPPTF & pitch fuzzy controller of a wind turbine system using DFIG. In: 1st International Conference on Sustainable Renewable Energy Systems and Applications (ICSRESA) (2019) 6. Echiheb, F., Ihedrane, Y., Bossoufi, B., Bouderbala, M., Motahhir, S., el Ghamrasni, M.: Robust Sliding-Backstepping Mode Control of a wind system based on the DFIG Generator (2022) 7. Saihi, L., Boutera, A.: Robust sensorless sliding mode control of PMSM with MRAS and Luenberger extended observer. In: 8th International Conference on Modelling, Identification and Control (ICMIC), pp. 174–179. IEEE (2016) 8. Zhou, M., Su, H., Liu, Y., Cai, W., Xu, W., Wang, D.: Full-order terminal sliding-mode control of brushless doubly fed induction generator for ship microgrids. Energies 14(21), 7302 (2021) 9. Djilali, L., Badillo-Olvera, A., Rios, Y.Y., López-Beltrán, H., Saihi, L.: Neural high order sliding mode control for doubly fed induction generator based wind turbines. IEEE Lat. Am. Trans. 20(2), 223–232 (2021) 10. Saihi, L., Berbaoui, B., Glaoui, H., Djilali, L., Abdeldjalil, S.: Robust sliding mode H∞ controller of DFIG based on variable speed wind energy conversion system. Periodica Polytechnica Electrical Engineering and Computer Science (2019) 11. Saihi, L., et al.: Super twisting high order sliding mode control of vertical axis wind turbine with direct attack based on doubly fed induction generators. In: Hatti, M. (ed.) ICAIRES 2019. LNNS, vol. 102, pp. 184–194. Springer, Cham (2020). https://doi.org/10.1007/978-3030-37207-1_19 12. Yaichi, I., Semmah, A., Wira, P.: Neuro-second order sliding mode control of a DFIG based wind turbine system. J. Electr. Electron. Eng. 13(1), 63–68 (2020) 13. Saihi, L., Ferroudji, F., Berbaoui, B., Koussa, K., Roummani, K., Bakou, Y.: Sliding mode fuzzy MPPT controller of a wind turbine system based on DFIG. In: Hatti, M. (ed.) IC-AIRES 2021. LNNS, vol. 361, pp. 604–612. Springer, Cham (2022). https://doi.org/10.1007/978-3030-92038-8_60
Hydrogen Diffusion Study via Phosphorus Deactivation in n-Type Silicon R. Ouldamer1(B) , D. Madi2 , and D. Belfennache3 1 Laboratory of Processes for Materials, Energy, Water and Environment,
Mohand Oulhadj University, Bouira, Algeria [email protected] 2 Laboratory of Materials and Sustainable Development, Mohand Oulhadj University, Bouira, Algeria [email protected] 3 Research Center, Industrial Technologies, P.O. Box 64, Cheraga 16014, Algeries, Algeria [email protected]
Abstract. Using the hydrogen deactivation of the phosphorus donor in silicon based Schottky diodes, the diffusion of hydrogen is investigated. Hydrogenation is performed by microwave plasma discharge involving an electron cyclotron resonance system (MW-ECR) to observe the variation in different operational parameters of diodes such as starting phosphorus concentration. The analysis of this variation has been carried out by capacitance–voltage (C–V) measurements to monitor the doping activation/deactivation profiles. From these profiles, hydrogen diffusion coefficients are calculated for different dopant concentrations. They clearly show that the rates and depth of deactivation were obtained in the low starting phosphorus-doped silicon sample. Our data are explained with the assumption that hydrogen diffusion is limited by trapping at the donor sites. In this case, H+ the dominant atomic species in the MW-ECR plasma reactor becomes H0 and prefers to gain an electron to become a negative ion H− which interacts with the ionized atomic phosphorus P+ to form the PH complex. Therefore, no deeper hydrogen migration is detected for high starting phosphorus concentration. This is clarified by the build-up of a large amount of molecular hydrogen beneath the surface, which strongly hinders the diffusion of the hydrogen in the bulk. The values of hydrogen diffusion coefficient obtained in our study are in close agreement to those reported in literature. Keywords: Phosphorus deactivation · Hydrogen diffusion · Plasma hydrogenation · Capacitance-voltage measurements · Molecular hydrogen · Solar cells
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 700–705, 2023. https://doi.org/10.1007/978-3-031-21216-1_71
Hydrogen Diffusion Study via Phosphorus Deactivation in n-Type Silicon
701
1 Introduction Recent research has shown that hydrogen plays an important role in in improving many silicon devices whose performance is limited by defects and impurities. Gettering, a process that takes place during phosphorus-diffusion step nedeed for n+ p junction formation [1], is a commonly used way of purifying the bulk of silicon wafers. Therefore, to further reduce the remain electrically active defects, most silicon solar cell manufacturers apply hydrogenation from n+ emitter side. However, hydrogen interacts with phosphorus dopants and form complex [2, 3]. Consequently, the diffusion of hydrogen will be affected and will be the same for the passivation of the defects. Thus, an efficient passivation of defects is only possible if phosphorus concentration effects on hydrogen diffusion is understood and appropriately accounted. H0 and H− are two distinct charge states that hydrogen can be shown in n-type silicon. Furthermore H0 would be ionized by capturing a free electron via H0 +e− → H− [2]. As well as, phosphorus deactivation by hydrogen can take a place by creating inactive complex following the equation H− + P+ → PH [2, 3]. In addition, it is well admitted that the starting phosphorus doping level [Pstar. ] is equal to the active phosphorus concentration [P+ ] at room temperature [4]. Consequently, after the hydrogenation process, the phosphorus deactivation concentration [PH] can be expressed as: [PH] = [Pstar. ] – [P+ ]. This conclusion has been well established from capacitance-voltage (C– V) measurements which uses the variation of the space-charge region width with the applied reverse-bias voltages. By knowing that the space-charge region contains only ionized dopants as well as it is devoid of free electrons and holes, the change in the applied reverse voltage gives data about the doping profile of the silicon [4]. In this work, the principal motivation is to study the effect of phosphorus concentration on hydrogen diffusion through hydrogen-phosphorus deactivation in schottky diodes based silicon. Hydrogenation treatments are performed in mw-ecr reactor where the plasma is generated by microwave discharge undneath an electron cyclotron resonance. The dopant activation/deactivation in schottky diodes was measured via C-V apparatus by studying the variation in apparent doping phosphorus profile before and after hydrogenation.
2 Experimental Procedure The hydrogenation process is performed in MW-ECR plasma reactor of the ICUBE laboratory, Strasbourg University, France. A picture of the reactor has been reported in the following reference [5]. 2.45 GHz is used to ignite H2 gas in a resonant chamber, where a magnetic field is employed to keep the ECR condition. The plasma pressure was 0.6 Pa at H2 of 30 sccm and the hydrogenation duration of 60 min. The microwave plasma power (PMW ) was 650 W for a fixed substrate temperature of 200 °C. For this work, we used monocrystalline silicon wafers (mc-Si) having 280 µm thick, 1 cm×1 cm in size and uniformly phosphorus doped with different starting level. Before hydrogenation, all the silicon wafers were degreased using trichloroethylene (TCE), acetone, and methanol, treated in dilute hydrofluoric (HF) acid for removal of native oxide, then rinsed in running deionised water and finally dried into nitrogen flux. The
702
R. Ouldamer et al.
mc-Si wafers were then hydrogenated in MW-ECR plasma reactor. Gold contacts of 1 mm diameter were deposited using a shadow metal mask onto the hydrogenated surface, while aluminium was deposited onto the rear face to provide an ohmic contact. Then, the Schottky diode was analysed by capacitance-voltage apparatus at 1 MHz and room temperature using a digital Hewlett Packard LCR meter controlled by a computer. The active phosphorus profile was calculated using these C–V data.
3 Results and Discussion The active phosphorus profile of mc-Si doped with different starting phosphorus level [Pstar. ] from 4 × 1013 to 2 × 1019 cm−3 are revealed in Fig. 1. Also, the hydrogenation conditions are shown. We can observe the uniform phosphorus profile of the nonhydrogenated wafers. However, hydrogenation treatments affect the distribution and phosphorus level. In fact, our results show that increasing the starting phosphorus level of the samples leads to a decrease in the hydrogen-phosphorus diffusion fronts XE, representing the deep diffusion of hydrogen atoms in the bulk silicon with (1/[Pstar. ]). We find that XE = 0.75, 1.25, 3.68 and 9.93µm for [Pstar. ] = 2 × 1019 , 7 × 1017 , 2 × 1015 and 4 × 1013 cm−3 , respectively. Also, the deactivation depth increased with the decreased of the starting phosphorus concentration. Consequently, our results show a hinder diffusion of hydrogen in the bulk of our samples. Furthermore, our results show that for a specified amount of hydrogenation, the concentration of neutralized phosphorus is directly proportional to the initial phosphorus concentration. So, the decimal logarithm of inactive phosphorus concentration (log[PH]) calculated at a depth of XE in samples as a function of decimal logarithm of the starting phosphorus concentration (log[Pstar.]) is shown in Fig. 2. It helps to estimate the difficulty in diffusion of hydrogen in our n-type silicon. Hence, at higher concentrations of phosphorus, the [PH] tends to saturate. Actually, it is well-known that the main species in MW-ECR plasma system is H+ which impinges the substrate surface due to magnetic field and sheath potential [6]. Also, above ambient temperature the charge state of hydrogen (H+ , H− and H0 ) depends on the Fermi level position in the band gap of silicon and H+ changes to H− through the state configuration of H0 [7, 8]. In addition, it is possible that H0 captures an electron or another H0 to produce respectively hydrogen negative or its molecule. These molecules seem as platelets at the subsurface layer of silicon [9–11]. Because platelet nucleation occurs at phosphors sites, the increasing of phosphorus content in silicon enhances monotonically the platelets concentration [12]. Furthermore, Huang et al. has reported that platelets size depends on the hydrogen diffusivity in the silicon although the platelets reduce the in-diffusion of hydrogen [13]. Thus, based on what that mentioned above, we can state that hydrogen H+ absorb an electron at the sample surface to become H0 (H+ + e− → H0 ) and the H0 would be ionized by capturing a free electron (H0 + e− → H− ) and then phosphorus deactivation would progress with H− + P+ → PH. When phosphorus concentration increases, the densities of H0 and H− become important. This resulted in high amounts in phosphorus deactivation and larger dimension of platelets. So, the platelets impede the deep diffusion of hydrogen into the samples. However, decreasing the phosphorus concentration induces a low amount of H−
Active phosphorus concentration (1019cm-3)
5
703
(a)
4 3
Without hydrogen MW-ECR plasma hydrogenation Pmw=650W, TH=200°C, tH=60min, H2=30sccm
2 1 0
2,0
5
10
15
20
25
Depth(µm)
30
(b)
1,5 1,0 without hydrogen MW-ECR plasma hydrogenation Pmw=650W, TH=200°C, tH=60min, H2=30sccm
0,5 0,0 1,5
Active phosphorus concentration (1017cm-3)
Active phosphorus concentration (1015cm-3)
Active phosphorus concentration (1013cm-3)
Hydrogen Diffusion Study via Phosphorus Deactivation in n-Type Silicon
7,5
3,0
4,5
Depth(µm)
6,0
7,5
(c)
6,0 4,5 3,0
Without hydrogen MW-ECR plasma hydrogenation PMW=650W, TH=200°C, tH=60min, H2=30sccm
1,5 0,0 0,5
2,0
1,0
2,0
2,5
(d)
1,5
1,0
1,5
Depth(µm)
Without hydrogen MW-ECR plasma hydrogenation Pmw= 650W, TH= 200°C, tH= 60 min, H2= 30 sccm
0,6
0,8
1,0
1,2
1,4
Depth(µm)
1,6
1,8
2,0
Fig. 1. Active phosphorus concentration profiles of hydrogenated of mc-Si wafers with different starting phosphorus level [Pstar. ]: (a) 4 × 1013 , (b) 2 × 1015 , (c) 7 × 1017 and (d) 2 × 1019 cm−3 . The hydrogenation was carried out at 650W and 200 °C for 60 min.
704
R. Ouldamer et al. 18
Log([PH])
17
16
15
14
13
13
14
15
16
17
18
19
20
Log([Pstar.])
Fig. 2. Decimal logarithms of deactivated concentration of phosphorus at XE depth versus the starting phosphorus concentration.
and probably a dispersion of H0 on the sample surface. Therefore, the platelets amount will be little, which allows H− diffusion as well as a deep phosphorus deactivation in silicon. To prove our arguments, we calculated the diffusion coefficients in our samples corresponding to depth XE through the relationship XE = (DH − × tH )0.5 where DH and tH are the diffusion coefficient and the hydrogenation duration, respectively. The results are listed in Table 1. It reveals that the presence of phosphorus inversely affects the diffusion coefficient (DH − ) specifically for n-type silicon due to the formation of hydrogen–phosphorus complexes. These observations are in close agreement to those reported in literature [13, 14]. Table. 1. Hydrogen diffusion coefficients calculated in mc-Si wafers doped with different phosphorus levels. Starting phosphorus concentration (cm−3)
XE (µm)
DH − (cm2 /s)
2 × 1019
0.75
1.56 × 10–12
7 × 1017
1.25
4.34 × 10–12
2 × 1015
3.68
2.7 × 10–11
4 × 1013
9.93
2.74 × 10–10
4 Conclusion To study the hydrogen diffusion in n-type silicon, we have chosen to follow the evolution of the active phosphorus deactivation profiles in Schottky diodes based silicon under different experimental conditions. We find that hydrogen diffusion is more influenced by the initial phosphorus concentration in the sample. In fact, quite high neutralisation depth was obtained on the low phosphorus doped silicon. This behaviour is explained by the formation of molecular hydrogen plans close to the silicon surface called platelets
Hydrogen Diffusion Study via Phosphorus Deactivation in n-Type Silicon
705
which increase with increasing the starting phosphorus concentration. In this case, H+ converts to H0 to promote interaction with another H0 instead of gaining an electron to become a negative ion H- which is the diffusing species in n-type silicon. Finally, this finding is further confirmed with the values of hydrogen diffusion coefficient DH estimated at the hydrogen-phosphorus diffusion fronts.
References 1. Lin, A., Wong, X., Li,Y., Chou, L.: Passivation and gettering of defective crystalline silicon solar cell. Sol. Ener. Mat. Sol. Cells 62(1–2), 149–155 (2000) 2. Endrös, A.: Charge-state-dependent hydrogen-carbon-related deep donor in crystalline silicon. Phys. Rev. Lett., 63(1), 70–73 (1989) 3. Tripathi, D., Srivastava, P.C., Chandra, S.: Hydrogen passivation studies on Pd-n-type-Si diodes. Phys. Rec. B, 39, 13420–13425 (1989) 4. Schroder, D.K.: Semiconductor Material and Device Characterization, p. 371. Wiley, New York- United States (2006) 5. Slaoui, A., Pihan, E., Ka, I., Mbow, N.A., Roques, S., Koebel, J.M.: Passivation and etching of fine-grained polycrystalline silicon films by hydrogen treatment. Sol. Ener. Mat. Sol. Cells 90, 2087–2098 (2006) 6. Yoon, S.F., Tan, K.H., Zhang, Q., Rusli, M., Ahn, J., Valeri, L.: Effect of microwave power on the electron energy in an electron cyclotron resonance plasma, Vacuum, 61, pp. 29–35. Elsevier, Netherlands (2001) 7. Johnson, N.M.: Electric field dependence of hydrogen neutralization of shallow-acceptor impurities in single-crystal silicon. Appl. Phys. Lett. 47, 874–876 (1985) 8. Herring, C., Johnson N.M., Van de Walle, C.G.: Energy levels of isolated interstitial hydrogen in silicon. Phys. Rev. B 12, 125209-1–12509-27 (2001) 9. Van de Walle, C.G., Bar-Yam, Y., Pentelides, S.T.: Theory of hydrogen diffusion and reactions in crystalline silicon. Phys. Rev. Lett. 60, 2761–2764 (1988) 10. Deák, P., Snyder, L.C., Corbett, J.W.: State and motion of hydrogen in crystalline silicon. Phys. Rev. B 37, 6887–6892 (1988) 11. Deák, P., Snyder, L.C., Lindström, J.L., Corbett, J.W., Pearton, S.J., Tavendale, A.J.: The self-trapping of hydrogen in semiconductors. Phys. Lett. A 126, 427–430 (1988) 12. Nickel, N.H., Anderson, G.B., Johnson, N.M., Walker, G.: Nucleation of hydrogen-induced platelets in silicon. Phys. Rev. B 62, 8012–8015 (2000) 13. Huang, Y.L., Ma, Y., Job, R., Fahrner, W.R.: Suppression of hydrogen diffusion at the hydrogen-induced platelets in p-type Czochralski silicon. Appl. Phys. Lett. 86, 131911– 1319113 (2005) 14. Rizk, R., De Mierry, P., Ballutaud, D., Aucouturier, M., Mathiot, D.: Hydrogen diffusion and passivation in p- and n-type silicon. Phys. Rev. B 44, 6141–6151 (1991)
Moth-Flame Optimizer Algorithm for Optimal of Fuzzy Logic Controller for Nonlinear System Ahmed Bennaoui1(B) , Aissa Ameur1 , Slami Saadi2 , and Ameur Bennaoui3 1 Laboratoire des Semi-Coducteurs et Matériaux Fonctionnels, University of Amar Telidji,
Laghouat, Algeria {a.bennaoui,a.ameur}@lagh-univ.dz 2 University of Ziane Achour, Djelfa, Algeria 3 University of constantine2, El Khroub, Algeria [email protected]
Abstract. The Moth-Flame Optimizer Algorithm (MFO) is one of the modern meta-heuristic optimization techniques. In this paper, The Moth-Flame Optimizer algorithm has been utilized to find the optimal parameters of fuzzy logic controller for nonlinear systems. The results demonstrated the fuzzy logic controller with Moth-flame optimization algorithm gives better performances comparing with FLC without MFO. Keywords: Membership functions · MFO · Fuzzy controller · Nonlinear systems
1 Introduction The control of nonlinear systems is a difficult and complex task and generally requires an adequate model to describe the totality of the behaviors of the system. In the absence of this type of model, it is necessary to use either control strategies insensitive to the uncertainties of the model, or non-parametric techniques that do not require the availability of a mathematical model of the process. The fuzzy command is part of the last class and can be an alternative. In 1974, M. Mamdani made the first application in the regulation of industrial processes, then other applications are made by Sugneo (1985). Then fuzzy controllers are adopted using the analogy with the classical PID [1, 2] and combinations with other types of control such as sliding mode [3, 4]. Fuzzy logic is widely used in dc-dc converter controls [5–8], and presents effective and rigorous results. There are many studies use optimization algorithms of tuning the membership function parameters of Fuzzy logic controller such as [9, 10] . One of the most new algorithms that developed by Seyedali Mirjalili [11, 12]] is Moth-Flame Optimization technique. Mothflame optimization algorithm (MFO) is a new type of intelligent optimization algorithm proposed by Seyedali Mirjalili et al. in 2015 [11, 12]]. The algorithm has the characteristics of strong parallel optimization ability, excellent global performance and not easy to fall into local extremum, which has gradually attracted the attention of the academic and engineering circles. These are precisely the main motivations that led us to choice the Moth-Flame Optimization technique for optimizing of fuzzy logic controller for © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 706–718, 2023. https://doi.org/10.1007/978-3-031-21216-1_72
Moth-Flame Optimizer Algorithm for Optimal
707
nonlinear system. This paper is organized as follows: Sect. 2: background knowledge of Moth-Flame Optimizer Algorithm (MFO). Section 3: Fuzzy Logic Controller for nonlinear system. Section 4: Simulation and Results. Section 5: Conclusion
2 background Knowledge of Moth-Flame Optimizer Algorithm (MFO) Moth-flame optimization (MFO) is a heuristic search algorithm proposed by Seyedali Mirjalili in 2015 [11, 12]. The algorithm has the characteristics of strong parallel optimization ability, excellent global performance and not easy to fall into local extremum, which has gradually attracted the attention of the academic and engineering circles. Moths have a special way of navigating at night: lateral orientation. That is, it will fly at a certain angle to the moon (light source), so that it can maintain a straight flight path, but this method is only effective when the light source is far away from the moth. When there is an artificial light source, the moth will be deceived by the artificial light and keep flying at the same angle as the artificial light. Because it is too close to the light source, its flight path is not a straight line, but a spiral path (Figs. 1 and 2).
Fig. 1. Transverse orientation
Inspired by this natural phenomenon, Seyedali Mirjalili [11, 12] abstracts the process of moths spiraling around the light source into an optimization process. The entire space where the moth flies is the solution space of the problem, and a moth is one of the problems. Solution, and the flame (light source) is an optimal solution to the problem,
708
A. Bennaoui et al.
Fig. 2. Spiral flying path around close light sources
each moth corresponds to a light source, which avoids the algorithm from falling into local optimum; when there are enough moths and flames, the flight of the moth can search for the solution In the process of optimization, the number of flames decreases with the increase of the number of iterations, so that the moth can fully search the neighborhood space for a better solution, ensuring the optimal solution. Algorithm utilization.
Moth-Flame Optimizer Algorithm for Optimal
709
Based on the above characteristics, MFO finds a balance between exploration and utilization, and has a good effect in algorithm optimization problems. The process of MFO is as follows [11, 12]: 1) Initialize the moth population. Set the input optimal power flow control variable dimension d, moth population search size n, maximum number of iterations T and logarithmic spiral shape constant b and other parameters. 2) Randomly generate moth positions in the search space, and evaluate the fitness of each moth in the moth population. 3) Repeat the following process to guide to reach the stop standard 3.1) Adaptively update the number of flames n. The spatial positions of the moths are sorted in the order of increasing fitness value and assigned to the flame as the spatial position of the flame in the first generation. When the number of iterations is 1, the number of moths is the number of flames.
Fig. 3. The Moth-flame optimization (MFO) algorithm flowchart
710
A. Bennaoui et al.
3.2) Reorder the fitness value of the updated flame position and moth position, and select the spatial position with better fitness value to update the position of the next generation flame. 3.3) The adaptive mechanism reduces the number of flames and updates the search parameters of moths. 3.4) Update moth location. Update the position of the moths based on the flame and flight parameters corresponding to each moth. 4) Output the optimal solution (flame) (Fig. 3).
3 Fuzzy Logic Controller for Nonlinear System The fuzzy logic control theory was first introduced by Zadeh [13] in 1965. Fuzzy logic control is not based on a mathematical model and is widely used to solve problems under uncertain and pvague environments with high nonlinearities fuzzy logic control has been successfully applied in many areas [14–20]. Etc. fuzzy logic control has three main components such as: fuzzification, fuzzy inference engine (decision logic), and defuzzification stages (Fig. 4).
Fig. 4. Fuzzy logic controller for nonlinear system
A Fuzzy Controller is characterised by inputs and outputs are correlated by Membership Functions (MF). In this case there are The two input fuzzy sets The error (e) and the
c
Fuzzifier
Rulebase
Defuzzifier
Inference
Fig. 5. The fuzzy logic controller
Moth-Flame Optimizer Algorithm for Optimal
711
change of error (de) are composed of three membership functions: Negative (N), Nule (Z) and Positive(P). The output fuzzy set is composed of five membership functions: Negative High (GN),Negative (N), Nule (Z) and Positive (P), Positive High (GP) [21] (Figs. 5, 6, 7, 8 and Table 1). Table 1. Rules and output membership functions [21] Negative
Nule
Positive
Negative
PH
P
Z
Nule
P
Z
N
Positive
Z
N
NH
Fig. 6. The inputs fuzzy sets (e and de) [21]
712
A. Bennaoui et al.
Fig. 7. The output fuzzy sets (e and de) [21]
definition program [21].
Moth-Flame Optimizer Algorithm for Optimal
713
Fig. 8. Reference signal [21]
4 Simulation and Results We proposed using MFO optimization algorithms for tuning the choice of The inputs and The Outputs Membership Functions of FLC (Fig. 9).
MFO
r(k)
+
-
Fig. 9. Fuzzy logic controller with MFO for nonlinear system
714
A. Bennaoui et al.
we are taken as the fitness function following: +∞ [e(t)]2 dt Cost Function = ISE = 0
where: ISE is Integral Square Error (Figs. 10, 11, 12, 13 and 14, Table 2)
Fig. 10. Iterative convergence curve (MFO)
Moth-Flame Optimizer Algorithm for Optimal
Fig. 11. The optimal inputs fuzzy sets (e and de) with MFO
Fig. 12. The optimal output fuzzy sets with MFO
715
716
A. Bennaoui et al.
Fig. 13. The output signals
Fig. 14. The error
Moth-Flame Optimizer Algorithm for Optimal
717
Table 2. Performance comparison between FLC with MFO, and FLC without MFO ISE FLC With MFO
0.1389
FLC Without MFO
0.2362
5 Conclusion In this work, we propose using The Moth-Flame Optimizer (MFO) algorithm for Optimal fuzzy logic controller for nonlinear system. Simulation results show the fuzzy logic controller with MFO has excellent performance on: achieve lower the integral of square of errors (ISE) comparing with FLC without MFO. Conflicts of Interest. No conflict of interest was declared by the authors.
References 1. Sharma, R., Rana, L., K.P.S., Kumar, V.: Performance analysis of fractional order fuzzy PID controllers applied to a robotic manipulator. Expert Syst. Appl. 41, 4274–4289 (2014) 2. Savran, A., Kahraman, G.: A fuzzy model based adaptive PID controller design for nonlinear and uncertain processes. ISA Trans. 53, 280–288 (2014) 3. Mohammadi, M., Nafar, M.: Fuzzy sliding-mode based control (FSMC) approach of hybrid micro-grid in power distribution systems. Electr. Power Energy Syst. 51, 232–242 (2013) 4. Gao, Q., Liu, L., Feng, G., Wang, Y., Qiu, J.: Universal fuzzy integral sliding-mode controllers based on T–S fuzzy models. IEEE Trans. Fuzzy Syst. 22(2) 5. Bennaoui, A., Saadi, S.: Type-2 fuzzy logic PID controller and different uncertainties design for boost DC–DC converters. Electr. Eng. 99(1), 203–211 (2017) 6. Nachidi, M., ElHajjaji, A., Bosche, J.: An enhanced control approach for dc–dc converters. Electr. Power Energy Syst. 45, 404–412 (2013) 7. SeshagiriRao, G., Raghu, S., Rajasekaran, N.: Design of feedback controller for boost converter using optimization technique. Int. J. Power Electron. Drive Syst. (IJPEDS) 3(1), 117–128 (2013). ISSN: 2088-8694 8. Baek, J., Park, M.: Fuzzy bilinear state feedback control design based on TS fuzzy bilinear model for DC–DC converters. Electr. Power Energy Syst. 42, 710–720 (2012) 9. Fang, G., Kwok, N.M., Ha, Q.: Automatic fuzzy membership function tuning using the particle swarm optimization. In: IEEE Pacific-Asia Workshop on Computational Intelligence and Industrial Application, 2008, pp. 324–328 (2008). https://doi.org/10.1109/PACIIA.2008.105 10. Zhang, H.-X., FWang, F., Zhang, B.: Genetic optimization of fuzzy membership functions. In: IEEE International Conference on Wavelet Analysis and Pattern Recognition (2009). https:// doi.org/10.1109/ICWAPR.2009.5207463 11. Bennaoui, A., Saadi, S., Ameur, A.: Performance comparison of MFO and PSO for optimal tuning the fractional order fuzzy PID controller for A DC-DC boost converter. In: International Conference on Electrical Engineering (ICEE), Istanbul, Turkey, 2020, pp. 1–5 (2020). https:// doi.org/10.1109/ICEE49691.2020.9249778 12. Mirjalili, S.: Moth-flame optimization algorithm: A Novel Nature-Inspired Heuristic Paradigm. Elsevier, Knowledge-Based Systems 2015
718
A. Bennaoui et al.
13. Zadeh, L.A.: Fuzzy sets. Inform. Control 8, 338–353 (1965) 14. Baldwin, J.F.: Knowledge from data using fuzzy methods. Pattern Recogn. Lett. 17, 593–600 (1996) 15. Kasabov, N.K., Song, Q.: DENFIS: dynamic evolving neural-fuzzy inference system and its application for time-series prediction. IEEE Trans. Fuzzy Syst. 10(2), 144–154 (2002) 16. Versaci, M., Morabito, F.C.: Fuzzy time series approach for disruption prediction in tokamak reactors. IEEE Trans. Mag. 39(3), 1503–1506 (2003) 17. Pedrycz, W.: Fuzzy set technology in knowledge discovery. Fuzzy Sets Syst. 98, 279–290 (1998) 18. Liao, S.S., Tang, T.H., Liu, W.-Y.: Finding relevant sequences in time series containing crisp, interval, and fuzzy interval data. IEEE Trans. Syst. Man Cybern.–B 34(5), 2071–2079 (2004) 19. Lee, C.C.: Fuzzy logic in control systems: fuzzy logic controller-part I/II. IEEE Trans. Syst. Man Cybern. 20, 404–435 (1990) 20. Timothy, R.: Fuzzy Logic with Engineering Application. McGraw-Hill, New York (1995) 21. Martaj, N., Mokhtari, M.: Matlab R2009, Simulink Et Stateflow Pour Ingenieurs, Chercheurs Et Etudiants. Springer, Heidelberg (2010). https://doi.org/10.1007/978-3-642-11764-0
Optimal Location and Sizing of Capacitor Banks in Distribution Systems Using Grey Wolf Optimization Algorithm A. Hachemi1(B) , F. Sadaoui1 , and S. Arif2 1 Department of Electrical Engineering, Faculty of Applied Science, Electrical Engineering
Lab, University of Ouargla, Ouargla, Algeria {hachemi.ahmedtidjani,sadaoui.fares}@univ-ouargla.dz 2 LACoSERE Lab, University of Laghouat, Laghouat, Algeria [email protected]
Abstract. Capacitors are often employed in distribution systems to compensate for reactive power consumed by inductive loads. Indeed, this reactive power injected by capacitors allows reducing power losses and improving power factor and voltage profile in the distribution network and this is what we will discuss in this paper, where we obtained satisfactory and acceptable results, taking into account some limitations. In this paper, we see one of the best optimization methods called Grey Wolf Optimization (GWO) as we will explain how it works and we will apply this method to our problem to determine the optimal location and sizing of multiple capacitors, to reduce active power losses. Simulation results show that GWO based algorithm has accurate convergence to optimal location and size of capacitor banks. The results demonstrate a significant reduction in total power losses and an improvement in the voltage profile of the network, In addition, when compared to other approaches, it provides the best results. The proposed works have been investigated on IEEE 12, 33, and 69 bus distribution test systems to demonstrate the performance and effectiveness of the proposed method. Keywords: Grey Wolf Optimization (GWO) · Distribution systems · Capacitors bank · Optimal location and size · Active power losses reduction
1 Introduction In distribution systems, the voltage at buses decreases as we move away from the substation, and the losses are high. Larger and more complicated electric distribution networks result in increased system losses and poor voltage control. According to the literature, over 13% of the total electricity generated is consumed as losses at the distribution network level [1]. A part of these losses is due to reactive currents. The power losses due to reactive currents can be reduced by installing a capacitors bank (CB). In addition to reducing power losses, capacitors cover the lack of reactive power in the distribution network and therefore improve the voltage profile. However, improper location of the © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 719–728, 2023. https://doi.org/10.1007/978-3-031-21216-1_73
720
A. Hachemi et al.
capacitor leads to increased power losses and voltage drops [1]. Thus, the challenge of optimum capacitor allocation entails identifying the locations and sizes of capacitors to put in a distribution system in order to generate maximum benefits while meeting all operational restrictions at various loading levels. Capacitors installation is the most popular approach for enhancing power factor, voltage profile enhancement, and line loss reduction in power distribution systems. To maximize the benefits and minimize the effect on the power system, the position and size of capacitor units should be optimized. In other cases, improper placement might diminish benefits and possibly jeopardize the entire system’s functionality [2]. To get the most out of capacitor placement in distribution networks, a variety of strategies have been devised. Baran and Wu were the first to tackle the problem of capacitor placement for power loss reduction [3]. Because most distribution network components, such as motors and transformers, are inductive, the network power factor will be trailing, reducing system capacity, increasing system losses, and reducing voltage. Some of these issues can be solved with shunt capacitors [4, 5]. Through what we discussed in this introduction we will discuss in this paper, the effect of integration of capacitors into electrical distribution networks, the extent of their contribution to reducing active losses, and the extent of their improvement in raising the value of the voltage. To find the optimal size and location of the capacitors, we will use Grey Wolf Optimization Algorithm.
2 Problem Formulation 2.1
Load Flow Analysis
The Backward/Forward Sweep (BFS) methods is used to find the voltage at each bus, the active and reactive power flow through the branch and also the active and reactive power loses. 2.2 Objective Function The objective function considered in our optimization problem is the minimization of active power losses, given by: f = Min(PTloss )
(1)
When the total active power loss in distribution system with Nb branches is given by: PTloss =
Nb i=1
Ploss (i) =
Nb
ri · Ii2
(2)
i=1
The current flowing through the branch i of resistance ri between the buses i and j is given as: Pi + Qi ∗ (3) Ii = Vi
Optimal Location and Sizing of Capacitor Banks
721
where Pi and Qi are real and reactive power flowing through the branch, and Vi is the voltage magnitude of the bus i. Substituting (3) into (2), the total active power loss is given as follows: PTloss =
Nb P 2 + Q2 ri i 2 i |Vi | i=1
(4)
2.3 Constraints To find the optimal size and location of the capacitors, the objective function, i.e. minimization of power loses, must satisfy a set of equality and inequality constraints. Equality constraints (power balance): PG = PTloss + PL
(5)
QG + QC = QTloss + QL
(6)
where: PG , QG are the active and reactive power of generators, respectively. PTloss , QTloss are the total active end reactive power loses, respectively. PL , QL are the total active end reactive power of load, respectively. QC is the total reactive power of capacitors. Inequality constraints: Voltage limit : V min ≤ |Vi | ≤ V max , i = 1, 2, . . . , Nbus
(7)
where V min and V max are the minimum and maximum voltage Current limit : |Ii | ≤ I max , i = 1, 2, . . . , Nbr
(8)
I max represents the maximum current flowing through the branches Capacitor size limit :
NC i=1
QCi ≤ QCmax
(9)
Were QCmax is the maximum reactive powef of capacitors and NC is the number of capacitor banks.
3 Grey Wolf Optimization (GWO) Meta-heuristics approaches make optimization quite simple, flexible, derivation-free mechanisms and confer local optima avoidance. Grey wolves are referred to as summit predators since they live at the top of the food chain. The majority of them want to live in a group. The majority of the group usually comprises of 5–12 people. The GWO approach is a form of simulation of how wolves hunt in real life. It mimics the social hierarchy and hunting mechanism of grey wolves. So, it is considered a meta-heuristic
722
A. Hachemi et al.
algorithm. There are four levels of grey wolves. The first are leaders, known as alpha (α) wolves. The beta levels are the second level (β) wolves, The lowest ranked grey wolves are delta (δ) wolves and omega (ω) wolves. For mathematical mode, the α is the fittest solution sequenced by the second and third best solutions, β and δ respectively. But the ω is assumed to be the rest of the candidate solutions. The main steps of grey wolf hunting are addressed in [6]. The following equations mathematically represents the encircling manners: →− → − → − → − (10) D = C .Xp(t) − X (t) − → − − → − → → X (t + 1) = Xp(t) − A .( D )
(11)
− → − → The current iteration is denoted by the letter t. Xp and X are the position vectors of − → − → the prey and a grey wolf, respectively. The A and C represent coefficient vectors and can be estimated using: − → A = 2ar1 − a
(12)
= 2r2 C
(13)
→ where components of vector − a are reduced from 2 to 0 over the course of iterations and r1 and r2 are random vectors in [0, 1] in a linear form. In GWO technique, the hunting is directed by α, β and δ. The ω wolves track these three wolves. The α, β and δ wolves are considered to have better information about the potential position of prey. Consequently, the first three most excellent options have been saved. Furthermore, the other search agents update their positions in accordance with the position of the best search agent, as shown in the equations below [6]: − − − → → → → → − → − − → − − → − → →− →− →− (14) D α = C X α − X , D β = C X β − X , D δ = C X δ − X − → − − → − − → − − → → − → → − → − → − → − → → X 1 = X α − A 1 D α , X 2 = X β − A 2 D β , X 3 = X δ − A 3( D δ) − → − → − → X1+ X2+ X3 − → X (t + 1) = 3
(15)
(16)
From (14, 15, 16), according to α, β and δ in the n-dimensional search space, a search agent updates its position as shown in Fig. 1 [7]. Additionally, the final position was in a random place in the search space inside a circle which is well defined by the locations of α, β and δ and therefore, α, β and δ evaluate the position of the prey, and other wolves update their positions arbitrarily near the prey. The description of GWO algorithm is summarized by the following steps: 1. Random generation of initial population 2. α, β and δ wolves calculate the probable location of the prey via iterations
Optimal Location and Sizing of Capacitor Banks
723
→ 3. “− a ” is linearly reduced from 2 to 0 to stress exploration (searching for prey) and exploitation (attacking the prey), respectively. Candidate positions tend to move → → − − away from the prey when A > 1 and converge to the prey when A < 1, so as to avoid stagnation in local solutions. 4. Finally, the GWO algorithm is terminated by the satisfaction of an end criterion.
Fig. 1. Updating the position in GWO [7].
4 Simulation Results The standard IEEE 12, 33, and 69 bus distribution test systems are used in this study to evaluate the efficacy of the proposed method. The standard IEEE 12 consists of 11 branches and 12 buses (one slack bus and 11 loads), with total demand of active power amounting to 435KW and total reactive power equaling 405 Kvar. The standard IEEE 33 contains 33buses and 32 branches. It is a radial system with a total load of 3715 KW and 2300 KVAR [8]. The standard IEEE 69 contains 68 branches and 69 buses (one slack bus and 68 loads), with a total of 3802.1 KW and 2694.5 KVAR. The maximum number of capacitors installed for the given test systems is limited to four. Beyond four capacitor banks, the decrease in active power losses is no longer considerable. For the IEEE 33 bus, the results obtained by GWO algorithm are compared with other existing techniques as shown in Table 1. Table 2 shows the proposed GWO efficiency in finding optimal capacitor banks allocation and sizing in distribution electrical networks of 12 and 69 buses. The proposed GWO algorithm is programmed using MATLAB R2020 software. As is seen in Fig. 2, regardless of the type of network used, the optimal integration of capacitor banks reduces the active power losses. For example, in IEEE 33 bus, after placement of CB at bus 30 with an optimal size of 1257.9306 kVAR total active power losses are reduced from 211 kW to 154, 3813 kW which represents a decrease of 26.82%,
724
A. Hachemi et al.
the second case after placement two capacitors at bus 12 and 30 buses with an optimal size of 465.1522 kVAR for the first capacitor and 1062.2207 kVAR for the second capacitor total active power loses is reduced to 141.844 kW which represents a decrease of 32.78%, in the third case, after integrating three capacitors we record the decrease in active losses by 34.47%.in last case, after integrating four capacitors we record the decrease in active losses by 35.15%. In IEEE 12 bus, after placement of CB at bus 9 with an optimal size of 210.1745kVAR total active power losses are reduced from 20.692kW to 12.5708 kW which represents a decrease of 39.24%, the second case after placement two capacitors at bus 10 and 7 buses with an optimal size of 121.3590kVAR for the first capacitor and 172.4815 kVAR for the second capacitor total active power loses is reduced to 11.3596 kW which represents a decrease of 45.10%, in the third case, after integrating three capacitors we record the decrease in active losses by 46.18%.in last case, after integrating four capacitors we record the decrease in active losses by 46.65%. In IEEE 69 bus in the first case, after integrating one capacitor we record the decrease in active losses by 32.43%. in the second case after integrating two capacitors we record the decrease in active losses by 34.91%. in the third case after integrating three capacitors we record the decrease in active losses by 35.43. in last case, after integrating four capacitors we record the decrease in active losses by 35.48%. From these results, we note increasing the number of capacitors leads to lower losses, In addition, we note that after merging more than three capacitors, the losses do not decrease much. Table 1. Comparison of the results of GWO algorithm and different approaches of 33 bus distribution test system GWO algorithm Case
Losses (KW)
Without 211 capacitors With one capacitor
Previous approaches Location Size of of capacitors capacitors (Kvar)
Optimization Case approaches
–
Previous approaches [9–11]
151.3813 30
With two 141.844 capacitors
CB1 = 12
–
1257.8648 Analytical method [9] 465.1522 BPSO [10]
CB2 = 30 1062.2207
Losses Location Size of (KW) of capacitors capacitors (Kvar)
before 211 capacitors With one capacitor
–
151.41 30
With two 151.7 capacitors
–
1230
CB1 = 33 920 CB2 = 14 610
With 138.2677 CB1 = 30 1037.6609 BFOA [11] three CB2 = 24 552.0396 capacitors CB3 = 13 388.6387
With 144.04 CB1 = 18 349.6 three CB2 = 30 820.6 capacitors CB3 = 33 277.3
With four 136.8401 CB1 = 24 capacitors CB2 = 30
–
493.8133 – 900.6148
CB3 = 7
446.8961
CB4 = 14
299.5834
–
–
–
Optimal Location and Sizing of Capacitor Banks
725
In comparison to what it was before in the tests systems, we notice an improvement in the value of total active power losses as shown in Fig. 2. The voltage profile of the tests systems is depicted in Fig. 3 in the case of the electrical network 33 bus and in the cases of 12 and 69 the voltage profile of test system is depicted in Fig. 4 and 5 respectively. As can be seen, the voltage level at 33,12, and 69 nodes for the radial distribution systems are improved and placed at an acceptable margin after the integration of capacitors. Table 2. Results of GWO algorithm of 69 and 12 bus distributions tests systems 69 test system
12 test system
Case
Losses (KW)
Location Size of of capacitors capacitors (Kvar)
Case
Losses (KW)
Location Size of of capacitors capacitors (Kvar)
Without capacitors
225
–
before capacitors
20.692
–
With one capacitor
152.0410 61
With two capacitors
146.4416 CB1 = 61 1275.0933 With two CB2 = 17 360.9775 capacitors
–
1330.0960 With one capacitor
–
12.5708 9
210.1745
11.3596 CB1 = 10 121.3590 CB2 = 7
172.4815
With three 145.2696 CB1 = 12 393.1021 With three 11.1357 CB1 = 7 capacitors CB2 = 61 1242.0888 capacitors CB2 = 4
126.7292
CB3 = 21 With four capacitors
CB3 = 10 121.3170
169.3296
145.1704 CB1 = 56
81.6735 With four 313.9936 capacitors
CB2 = 66
103.7764
11.0391 CB1 = 10 101.5118 CB2 = 7
87.4198
CB3 = 61 1243.9215
CB3 = 4
103.5818
CB4 = 20
CB4 = 8
59.2776
246.3807
225
200
211
145.1704 11
136.8401
145.2696 11.1
138.2677
11.4
141.844
146.4416
12.6
50
152.041
100
151.3813
150 20.692
Total acve power losses (kW)
250
0 Without capacitors
With one capacitor
33 test system
With two capacitors
With three capacitors
With four capacitors
Distribuons tests systems 69 test system 12 test system
Fig. 2. Total active power losses before and after integration of capacitors in systems 33,12 and 69 bus.
726
A. Hachemi et al.
1.02
Without capacitors
With one capacitor
With two capacitors
With three capacitors
With four capacitors
1 0.98
Voltage (pu)
0.96 0.94 0.92 0.9 0.88 0.86 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33
Bus Number
Fig. 3. Voltage profile before and after integration of capacitors in system 33 bus
Without capacitors
With one capacitor
With two capacitors
With three capacitors
With four capacitors
1.01 1
Voltage(pu)
0.99 0.98 0.97 0.96 0.95 0.94 0.93 0.92 0.91 1
2
3
4
5
6 7 Bus Number
8
9
10
11
12
Fig. 4. Voltage profile before and after integration of capacitors in system 12 bus
Optimal Location and Sizing of Capacitor Banks
1.02
Without capacitors
With one capacitor
With three capacitors
With four capacitors
727
With two capacitors
1
Voltage (pu)
0.98 0.96 0.94 0.92 0.9 0.88 1
3
5
7
9 11 13 15 17 19 21 23 25 27 29 31 33 35 37 39 41 43 45 47 49 51 53 55 57 59 61 63 65 67 69
Bus number
Fig. 5. Voltage profile before and after integration of capacitors in system 69 bus
5 Conclusion In this paper, a GWO-based approach for optimal capacitors allocation and sizing in radial distribution networks is given. The goal was to minimize power losses and determine the size and location of the capacitors, where we tested the method on three electrical networks 12, 33, and 69 bus radial distributions tests networks, and in each system, we see an improvement in the value of active losses and electrical voltage, and we also noticed by comparing the results of the method with other methods that the gray wolf optimization method is better than the methods we compared with it. The studied technique is capable of improving the voltage and minimizing the system losses and will help distribution network operators solve the same problem for practical large-scale complex distribution networks.
References 1. Ng, H.N., Salama, M.M.A., Chikhani, A.Y.: Classification of capacitor allocation techniques. IEEE Trans. Power Delivery 15(1), 387–392 (2000) 2. Georgilakis, P.S., Hatziargyriou, N.D.: Optimal distributed generation placement in power distribution networks: models, methods, and future research. IEEE Trans. Power Syst. 28(3), 3420–3428 (2013) 3. Baran, M.E., Wu, F.F.: Optimal capacitor placement on radial distribution systems. IEEE Trans. Power Delivery 4(1), 725–734 (1989) 4. Gallego, R.A., Monticelli, A.J., Romero, R.: Optimal capacitor placement in radial distribution networks. IEEE Trans. Power Syst. 16(4), 630–637 (2001)
728
A. Hachemi et al.
5. Chiang, H.D., Wang, J.C., Cockings, O., Shin, H.D.: Optimal capacitor placements in distribution systems: part1. A New Formulation and the Overall Problemv 5(2), 634–642 (1990) 6. Muro, C., Escobedo, R., Spector, L., Coppinger, R.P.: Wolf-pack (Canis lupus) hunting strategies emerge from simple rules in computational simulations. Behav. Proc. 88(3), 192–197 (2011) 7. Mirjalili, S., Mirjalili, S.M., Lewis, A.: Grey wolf optimizer. Adv. Eng. Softw. 69, 46–61 (2014) 8. Kashem, M.A., Ganapathy, V., Jasmon, G.B., Buhari, M.I.: A novel method for loss minimization in distribution networks. In: DRPT2000. International Conference on Electric Utility Deregulation and Restructuring and Power Technologies. Proceedings (Cat. No. 00EX382), pp. 251–256. IEEE, April 2000 9. Aman, M.M., Jasmon, G.B., Bakar, A.H.A., Mokhlis, H., Karimi, M.: Optimum shunt capacitor placement in distribution system—a review and comparative study. Renew. Sustain. Energy Rev. 30, 429–439 (2014) 10. Khodabakhshian, A., Andishgar, M.H.: Simultaneous placement and sizing of DGs and shunt capacitors in distribution systems by using IMDE algorithm. Int. J. Electr. Power Energy Syst. 82, 599–607 (2016) 11. Kowsalya, M.I.A.M.: Optimal distributed generation and capacitor placement in power distribution networks for power loss minimization. In: 2014 International Conference on Advances in Electrical Engineering (ICAEE), pp. 1–6. IEEE, January 2014
Estimation on the Potential of Dimethyl Ether (DME) as Clean Alternative Fuel by CFD Ali Bouziane(B) , Hadj Miloua, and Mohammed Zaitri Faculty of Technology, University of Djillali Liabes, Sidi Bel Abbes, Algeria [email protected]
Abstract. This article aims to study suitability and merits of employing a dimethyl ether (DME) as alternative fuel for energy security and environmental sustainability purposes. A numerical simulation of turbulent DME-air combustion is presented by using the open-source software OpenFOAM. The DME was supply in the main jet inlet of the Sandia flame (SFD) and EDC combustion model, coupled with the k-e turbulence model, is validated on our test case. For DME, Zhao chemical mechanisms model was evaluated in this paper. We present preliminary results using combustion modeling to understand the turbulent dimethyl ether flames in comparison to widely used methane flames. Results showed that the DME can be effectively used as an alternative for generating a reasonable temperature as methane does but also significantly decrease in CO2 gas emissions is pointed out. Keywords: Di-methyl ether · Sandia · Alternative fuel · k-e turbulence model · EDC
1 Introduction Nowadays, the main selection criteria for the future energy policy are climate change and energy security, gave rise to much concern and purposes, in many organizations such as International Energy Agency (IEA) [1]. Therefore, the transition from fossil fuels to alternative fuel in transportation, power generation as well as most industries promises solutions to these issues also, economic developments will be expected such as the creation of new jobs and sources of income [2]. The dimethyl ether (DME) is a promising alternative for applied combustion systems for many reasons: the use of this type of fuel is possible in compressionignition engines without any specific modifications [3, 9–11]. DME is also used in gas turbines as an additive to natural gas [19, 20]. It has a high cetane number and possesses favorable combustion characteristics and make DME a good candidate for replacing conventional fuel in engine technologies, such as Homogenous Charge Compression Ignition (HCCI) [2, 3–8]. The use of DME has been shown to result in significant decreases in particulate formation of CO, NOx products because of the oxygen content [4, 5, 9, 10]. At least, the production of DME can be achieved from renewable energy sources, such as biomass, fossil fuel sources [12–14]. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 729–739, 2023. https://doi.org/10.1007/978-3-031-21216-1_74
730
A. Bouziane et al.
DME combustion subject has been examined by numerous authors: Curran et al. studied experimentally the pyrolysis and oxidation of DME under highly diluted conditions for equivalence ratios between 0.7 and 4.2 [23, 27]. Zhao et al. [17] investigated a theoretical and experimental analysis of the unimolecular decomposition of DME applying a hierarchical methodology to develop a high-temperature model describing pyrolysis and oxidation of DME at f = 2.5. Dagaut et al. [22, 25] performed a research work on the oxidation of DME in a jet-stirred reactor at 10 atm by measuring concentration profiles of reactants, intermediates, and products in a temperature range of 550–1100 K. Zheng et al. [30] carried out an experiments by determined the ignition temperature of DME/N2 mixtures in counterflow diffusion flames. They varied the concentration of the DME from 5.9 to 30% in a pressure range of 1.5–3 atm. Vries et al. [36] and Daly et al. [29] published experimental investigation on measurements of DME flame speeds. Chen et al. [38] and Tang et al. [39] studied the incidence of DME addition on methane mixtures at high temperatures. The impact of adding dimethyl ether to methane in definite condition was investigated experimentally and numerically by Lowry et al. [40] over a range of initial pressures from 1 to 10 atm for different fuel percentage varying from 60% CH4 /40% DME to 80% CH 4 /20% DME in volume. Amano and Dryer [37] carried out that a small percentage of DME had enhancing impact on autoignition of CH4/air mixtures [37]. In aim to give more indications in practical using of combustion of DME, we present preliminary data by developing numerical simulation of turbulent DME-air combustion in Sandia flame burner (SFD). Methane-air Sandia flame is turbulent piloted diffusion flame widely used for flame and combustion studies [15], providing a large data and detailed documentation set for validation. To further understand the complicated coupling between turbulence and DME reaction chemistry comparison between these two types of flames would be valuable allowing to assess the predictive capability of advanced numerical simulations when using this type of fuel, and to achieve a level of characterization in turbulent flames using more oxygenated (complex) fuels like DME. Computational Fluid Dynamics (CFD) can offer detailed information about the flow (the chemical reactions, the heat transfer, species …) and open source software such as OpenFoam permits customizable tools without license costs. The objective of work is to develop and validate a new solver in aim to simulate this type of combustion accurately under this regime (SFD) and to evaluate the capability and the potential of DME flames of using as an alternative to methane (Figs. 1 and 2).
Fig. 1. Computational domain
Estimation on the Potential of Dimethyl Ether (DME)
731
outerwall
outlet
Air Block3 pilot jet
Fig. 2. Sketch of the burner (Flame D geometry)
2 Governing Equations and CFD Models The Favre-averaged continuity, momentum, and energy equations for the turbulent compressible flames are as defined as: ∂ρ + ∇.(ρν) = 0 ∂t
(1)
∂ρν + ∇.(ρνν) = −p + .τ + ρg ∂t
(2)
∂ρhs ∂ρ + ∇.(ρνhs ) = ∇.(α∇.hs ) + + ωT + qR ∂t ∂t
(3)
∂ρYi + ∇.ρνYi = ∇.ρhs + τ + ρν ∂t
(4)
The overbar denoted Reynolds averaging, while the tilde is Favre averaging. ωk in Eq. (3) indicate the heat release due to combustion. The dynamic thermal diffusivity α in Eq. (3) is dependent on the thermal conductivity of the mixture. The dynamic viscosity, μ in Eq. (4) is computed based on the Sutherland transport model. The reaction and thermophysical properties are defined using the OpenFOAM format (classes) to specify the transport properties for each species. The last term on the right hand side of the Eq. (4) represents the chemical source term. For boundary conditions, the zero gradient approach is imposed. The bulk velocity for the main fuel jet was 49.6 m/s. It has been numerically verified that when the flow is reaching steady state conditions, the air co-flow velocity approaches the fixed value of 0.9 m/s. 2.1 Combustion Modelling - Eddy Dissipation Concept (EDC) Building on the EBU model, the eddy dissipation concept (EDC) was proposed by Ertesvag and Magnussen [44-46]. This model considers these fine structures to be the place where chemical reactions occur while sufficient mixing and temperature has been
732
A. Bouziane et al.
achieved. These regions can be determined with fast chemistry approach which assumes equilibrium in fine structures or detailed chemistry approach which assumes fine structures as well as stirred reactors. The EDC combustion model is used since it is found to be reasonable and accurate for these type of flame (Sandia Flame) [15]. 2.2 The k − ε Turbulence Model For the turbulence modeling in the turbulent flame case, the Reynolds-averaged Navier– Stokes (RANS) equations are applied. The k − ε turbulence model is selected because of its known properties and simple integration with chemistry models (chemkin). The equations for the k-ε turbulence model are the equations for turbulent kinetic energy k, dissipation of turbulent kinetic energy ε, turbulent viscosity m t, and Reynold’s stresses. The modeled transport equations for the standard k-ε turbulent model are: μk ∂ρk ∇.k) + P − ρε (5) + ∇.(ρνk) = ∇( μ + ∂t σk ε ∂ρε μt ∇.ε) + (Cε1 P − C2 P) (6) + ∇.(ρνε) = ∇( μ + ∂t σε k where C E1 , and C E2 are adjustable constants. The turbulent viscosity μ t and the kinetic energy production Pk are: k2 μ = Cρ ε
(7)
2.3 The Radiative Heat Transfer The radiative heat transfer study here focuses on the radiation modeling with the spherical harmonic P1 approximation to solve the radiative transport equation (RTE) [44, 45]. The P1 model solves an advection–diffusion equation for the mean local incident radiation, G. The P1 model solves PDE in the form of [46, 47]: ∇.(∇.G) − aG = −(4eσSB T 4 + E)
(8)
where a, e and E represent the absorptivity, emissivity and emission contribution, respectively.
3 Results and Discussion First of all, it is essential to validate our model then, mean temperature are compared with experiments. The Sandia Flame D data consists of specie concentration, temperature, velocity and turbulent kinetic energy measurements. Measurements are taken at different axial positions expressed using the main jet diameter d, starting from the burner exit to the flame tip. The kinetic mechanism of Zhao et al. [16] was applied to model the DME flame. The Zhao mechanism was for the flame simulations, it consists of a 39-species and 290 reversible reactions.
Estimation on the Potential of Dimethyl Ether (DME)
733
3.1 Model Validation The temperature field profile compared to the experimental ones at different stations (X/D) is given by the Fig. 3; – at x/d = 3. Close to the inlet burner, it’s found that the proposed combustion model (EDC) predict the flame behavior accurately. However,the small gap is visible in the second part of the graph (Fig. 3) where the simulation overpredicts the temperature. The disagreement between the numerical results and experiment at x/d = 3 is the results of the radiation model. On the other hand the P1 model had effect the results. – at x/d = 07,5 mm. The radial profile of numerical temperature and experimental data graphs are very much alike, as expected. – at x/d = 15 mm. Once again, it can be seen that the numerical results are unable to predict correctly the experimental temperature profile in the middle of the flame and this computational domain (1 < r/D < 2.5). – at x/d = 30 mm. The computation results match the experimental fairly well in the entire domain. In general, there is a good agreement between the results from simulations and experimental data.
Fig. 3. Temperature at axial distances: a) x/d = 3, b) x/d = 7.5, c) x/d = 15, d) x/d = 30 Validation of mean temperature distribution at different locations
The observed discrepancies is the consequence of: – the k − E turbulence model.
734
A. Bouziane et al.
– the use of simplified P1 approximation model for radiative heat transfer calculations. Overall, the validation gives a good indication of the adequacy and accuracy of the implemented solver (EDC, chemkin) and its robustness of present numerical simulation. 3.2 Temperature Profile In Fig. 4(a–c) mean temperature field of the two flames at different cross-sections are compared. The Fig. 4 shows the radial profile of temperature of two type of flame then behavior of increasing and decreasing temperature is captured. The temperature of the two type of flame graphs are very much alike, as expected. It can be said that the DME flame seem to be closer to the methane (GRI) flame and higher maximum temperature of the DME flame is calculated at the different station with exception in X/D = 15 mm.
Fig. 4. Comparaison of Radial profile of temperature between DME flame and methane combustion at axial distances at x/d = 3, x/d = 3, b) x/d = 7.5, c) x/d = 15
The difference in temperature confirms a faster combustion process for the DME than methane and it is known that, DME is a mixture containing oxygenated components (the existence of chemically bound oxygen) thus, the chemical bond energy of C–O for DME
Estimation on the Potential of Dimethyl Ether (DME)
735
is much lower than those of C–C or C–H in other fuels, increases the combustion rate of fuel [22, 23]. According to the results, it is obvious that DME generates almost the same temperature as methane combustion at different locations and is also higher at some points. 3.3 Carbon Dioxide Emission The calculation of carbon dioxide emission is more than indicated in our case because of the impact in emission problem. Concentration of CO2 is calculated in Fig. 5 both for the two flames. At each station, higher concentration of CO2 is expected for the methane (GRI) flame compared to DME combustion. The disparity is small near the burner (Fig. 5a), grows higher as the flame advances. Also the differences is noted in the middle of the flame, because of combustion process for the DME is different compared to the methane. Based on Fig. 5, the DME flame produces much less CO2 than methane as axial distances increase.
Fig. 5. Comparison of Radial profile of CO2 species between DME flame and methane combustion at axial distances at x/d = 3, x/d = 3, b) x/d = 7.5, c) x/d = 15
Another phenomena can affect the production of the CO2, what we know by the pyrolyse process [17]. The kinetic model [15] is able to reproduce the fuel, oxygen, and
736
A. Bouziane et al.
intermediate-product species profiles with a high degree of accuracy, with the exception of the apparition of pyrolyse process [18, 19]. The comparisons between the different figures can confirm the merit of using the DME instead of methane for improvement of CO2s reduction. At the burner base pointed out that the concentration of O2 equal to zero, both for the DME and methane, indicating also that O2 is a limiting species (the Fig. 6). However, the discrepancies between the two flames result near flame region (at X/D = 15). Due to the faster combustion process for the DME. Overall,the chemistry mechanism of the DME is capable of modelling this region. The reason of these behaviour can be summarized in two points: – The oxygen content in the DME played a significant role. – The Impact of reaction mechanisms on simulation results.
Fig. 6. Sandia flame D contours (a) Temperature; (b) CO2 production
4 Conclusion This paper presents a CFD using OpenFOAM library, carried out by burning methane (GRI) and a dimethyl ether (DME) with a skeletal mechanism developed by Zhao. The main goal of this paper was to assess the applicability of the DME as new fuel to substitute methane flame in Sandia flame D burner. Simulations are performed using the EDC combustion model and k-e turbulence model. Numerical results were validated with available data in the literature designed by Sandia flame D experimental and good agreements were found. It was conclude that the combustion of the DME in this type of system can produce the equivalent temperature distribution as methane flame and higher maximum temperature of the DME flame is calculated while emission of CO2 remain at acceptable levels. In other word, these can confirm the merit of using the DME as alternative fuel.
Estimation on the Potential of Dimethyl Ether (DME)
737
Despite the preliminary results presented in this paper, in future work it would be of great interest to validate these numerical results by introduce other combustion model such as PaSR and to test more detailed chemical kinetic mechanism.
References 1. Energy Security and Climate Policy Assessing Interactions, International Energy Agency (IEA), Head of Publications Service (2007) 2. Renewable Energy and Jobs e Annual Review, IRENA (2016) 3. Semelsberger, T.A., Borup, R.L., Greene, H.L.: Dimethyl ether (DME) as an alternative fuel. J. Power Sources 156(2), 497–511 (2006) 4. Fleisch, T.H., McCarthy, C., Basu, A., Udovich, C.: Society of Automotive Engineers publication SAE-950061 (1995) 5. Rouhi, A.M.: Chem and Eng News, pp. 37–39 (1995) 6. Brooks, R.: WARD’s Engine and Vehicle Tech Update, p. 3 (1995) 7. Fleisch, T.H.: In AVL Conference. Graz, Austria (1995) 8. Japar, S.M., Wallington, T.J., Richert, J.F.O.: Ball 9. Luo, Z., Plomer, M., Lu, T., Som, S., Longman, D.E.: A reduced mechanism for biodiesel surrogates with low temperature chemistry for compression igni-tion engine applications. Combust. Theor. Model. 16(2), 369–385 (2012) 10. Luo, Z., Lu, T., Maciaszek, M.J., Som, S., Longman, D.E.: A reduced mechanism for hightemperature oxidation of biodiesel surrogates. Energy Fuel. 24(12), 6283–6293 (2010) 11. Cheng, J.J., Timilsina, G.R.: Status and barriers of advanced biofuel technologies: a review. Renew. Energy 36(12), 3541–3549 (2010) 12. Arcoumanis, C., Bae, C., Crookes, R., Kinoshita, E.: The potential of di-methyl ether (DME) as an alternative fuel for compression-ignition engines: a review. Fuel 87(7), 1014–1030 (2008) 13. Song, J., Huang, Z., Qiao, X., Wang, W.: Performance of a controllable premixed combustion engine fueled with dimethyl ether. Energy Convers. Manage. 45(13–14), 2223–2232 (2004) 14. Pfahl, U., Fieweger, K., Adomeit, G.: Self-ignition of diesel-relevant hydrocarbon-air mixtures under engine conditions. Proc. Combust. Inst. 26(1), 781–789 (1996) 15. Magnussen, B.F.: The eddy dissipation concept—a bridge between science and technology. In: ECCOMAS Thematic Conference on Computational Combustion, pp. 21–24 (2005) 16. Bhagatwala, A., Luo, Z., Lu, T.F., Shen, H., Sutton, J.A., Chen, J.H.: Numerical and experimental investigation of turbulent DME jet flames. Proc. Combust. Inst. 35(2) 1157–1166 (2015) 17. Nash, J.J., Francisco, J.S.: Unimolecular decomposition pathways of dimethyl ether: an Ab Initio study. J. Phys. Chem. A 102, 236–241 (1998) 18. Pan, Y.-X., Han, Y., Liu, C.-J.: Pathways for steam reforming of dimethyl ether under cold plasma conditions: a DFT study. Fuel 86, 2300–2307 (2007) 19. Jeon, M.-K., Kim, N.Il: Fuel pyrolysis and its effects on soot formation in non-premixed laminar jet flames of methane, propane, and DME Received March 23, 2018. Accepted 18 July (2018). (Math. Model. Nat. Phenom. 13 (2018) 56 https://doi.org/10.1051/mmnp/201 8052 Mathematical Modelling of Natural Phenomena. www.mmnp-journal.org) 20. Curran, H.J., Pitz, W.J., Westbrook, C.K., Dagaut, P., Boettner, J.-C., Cathonnet, M.: A wide range modeling study of dimethyl ether oxidation. Int. J. Chem. Kinet. 30, 229–241 (1998) 21. Lee, M.C., Seo, S.B., Chung, J.H., Joo, Y.J., Ahn, D.H.: Industrial gas turbine combustion performance test of DME to use as an alternative fuel for power generation. Fuel 88, 657–662 (2009)
738
A. Bouziane et al.
22. Dagaut, P., Boettner, J.C., Cathonnet, M.: Chemical kinetic study of dimethylether oxidation in a jet stirred reactor from 1 to 10 ATM: experiments and kinetic modeling. Symp. (Int.) Combust (1996). https://doi.org/10.1016/S0082-0784(96)80269-4 23. Fischer, S.L., Dryer, F.L., Curran, H.J.: The reaction kinetics of dimethyl ether. I: hightemperature pyrolysis and oxidation in flow reactors. Int. J. Chem. Kinet. 32, 713–740 (2000) 24. Kaiser, E.W., et al.: Experimental and modeling study of premixed atmospheric–pressure dimethyl ether −air flames. J. Phys. Chem. A 104, 8194–8206 (2000) 25. Curran, H.J., Fischer, S.L., Dryer, F.L.: The reaction kinetics of dimethyl ether. II: lowtemperature oxidation in flow reactors. Int. J. Chem. Kinet. 32, 741–759 (2000) 26. Dagaut, P., Daly, C., Simmie, J.M., Cathonnet, M.: The oxidation and ignition of dimethylether from low to high temperature (50 0–160 0K): Experiments and kinetic modeling, Symp. (Int.) Combust. 27(1), 361–369 (1998) 27. Mcilroy, A., Hain, T.D., Michelsen, H.A., Cool, T.A.: A laser and molecular beam mass spectrometer study of low-pressure dimethyl ether flames. Proc. Com-bust. Inst. 28(2), 1647– 1653 (2000) 28. Pfahl, U., Fieweger, K., Adomeit, G.: Self-ignition of diesel-relevant hydro-carbon-air mixtures under engine conditions. Symp. (Int.) Combust. 26(1), 781–789 (1996) 29. Zheng, X., Lu, T., Law, C.K., Westbrook, C., Curran, H.: Experimental and compu-tational study of nonpremixed ignition of dimethyl ether in counterflow. Proc. Combust. Inst. 30, 1101–1109 (2005) 30. Daly, C.A., Simmie, J.M., Würmel, J., DjebaÏli, N., Paillard, C.: Burning velocities of dimethyl ether and air. Combust. Flame 125, 1329–1340 (2001) 31. Qin, X., Ju, Y.: Measurements of burning velocities of dimethyl ether and air premixed flames at elevated pressures. Proc. Combust. Inst. 30, 233–240 (2005) 32. Andersen, A., Carter, E.A: A hybrid density functional theory study of the low-temperature dimethyl ether combustion pathways. I: chain-propagation. Israel J. Chem. 42, 245–260 (2002) 33. Andersen, A., Carter, E.A.: Hybrid density functional theory predictions of low-temperature dimethyl ether combustion pathways. II. Chain-branching energetics and possible role of the Criegee intermediate. J. Phys. Chem. A 107, 9463–9478 (2003) 34. Zhao, Z., Chaos, M., Kazakov, A., Dryer, F.L.: Thermal decomposition reaction and a comprehensive kinetic model of dimethyl ether. Int. J. Chem. Kinet. 40, 1–18 (2008) 35. de Vries, J., Lowry, W.B., Serinyel, Z., Curran, H.J., Petersen, E.L.: Laminar flame speed measurements of dimethyl ether in air at pressures up to 10atm. Fuel 90, 331–338 (2011) 36. Amano, T., Dryer, F.L.: Effect of dimethyl ether, NOx, and ethane on CH 4 oxi-dation: High pressure, intermediate-temperature experiments and modeling. Symp. (Int.) Combust. 27(1), 397–404 (1998) 37. Chen, Z., Qin, X., Ju, Y., Zhao, Z., Chaos, M., Dryer, F.L.: High temperature ignition and combustion enhancement by dimethyl ether addition to methane–air mixtures. Proc. Combust. Inst. 31, 1215–1222 (2007) 38. Tang, C., Wei, L., Zhang, J., Man, X., Huang, Z.: Shock tube measurements and kinetic investigation on the ignition delay times of methane/dimethyl ether mixtures. Energy Fuels 26, 6720–6728 (2012) 39. Lowry, W.B., Serinyel, Z., Krejci, M.C., Curran, H.J., Bourque, G., Petersen, E.L.: Ef-fect of methane-dimethyl ether fuel blends on flame stability, laminar flame speed, and Markstein length. Proc. Combust. Inst. 33, 929–937 (2011) 40. Yoon, S.S., Anh, D.H., Chung, S.H.: Synergistic effect of mixing dimethyl ether with methane, ethane, propane, and ethylene fuels on polycyclic aromatic hy-drocarbon and soot formation. Combust. Flame 154, 368–377 (2008)
Estimation on the Potential of Dimethyl Ether (DME)
739
41. Taylor, P., Ertesvag, I.S., Magnussen, B.F.: The eddy dissipation turbulence energy cascade model the eddy dissipation turbulence energy cascade model. Combust. Sci. Technol. 159(1), 213–235 (2007) 42. Nordin, P.A.N.: Complex Chemistry Modeling of Diesel Spray Combustion, vol. 18. Chalmers University of Technology Sweden (2001) 43. Bjørn, F.M.: The eddy dissipation concept—a bridge between science and technology. In: ECCOMAS Thematic Conference on Computational Combustion, vol. 21, p. 24. Libson, Portugal (2005) 44. Xu, X., Chen, Y., Wang, H.: Detailed numerical simulation of thermal radiation influence in Sandia flame D. Int. J. Heat Mass Tran. 49 (13e14), 2347–2355 (2006) 45. Bidi, M., Hosseini, R., Nobari, M.R.H.: Numerical analysis of methaneeair combustion considering radiation effect. Energy Convers. Manag. 49(12), 3634–3647 (2008) 46. Wang, L., Haworth, D.C., Turns, S.R., Modest, M.F.: Interactions among soot, thermal radiation, and NO x emissions in oxygen-enriched turbulent nonpremixed flames: a computational fluid dynamics modeling study. Combust. Flame 141, 170–179 (2005) 47. Modest F.M.: Radiative Heat Transfer, Second edn. Academic Press, California (2003)
Symmetrical Voltages Dips Analysis in a Wind Turbine Based on DFIG for High Power Conversion H. Bouregba1(B) , M. Hachemi1 , S. Mekhilef2 , and A. Ratni1 1 Laboratoire Energétique Mécanique & Ingénierie, Faculté de Technologie,
Université M’Hamed Bougara, Boumerdes, Algérie [email protected] 2 School of Science, Computing and Engineering Technologies, Swinburne University of Technology, Hawthorn, VIC 3122, Australia
Abstract. The most common source of renewable energy generation worldwide is wind turbines (WT) based on doubly-fed induction generators (DFIGs). To keep such sources connected to the grid during outages, low voltage ride-through (LVRT) capacity must be increased. Due to its vulnerability to grid disruptions, it is essential to follow stringent methods to keep DFIG connected to the grid. As a result, this study employs the crowbar resistance protection approach on a practical 2MW to improve WT’s LVRT. Under steady-state and symmetrical grid disturbances, the effectiveness of the DFIG-based WT with crowbar resistance is investigated and compared. The dynamic performance of the proposed scheme is analyzed to that of the existing capacitor bank system using a variety of metrics in order to demonstrate its efficacity. The modeling and simulation tools MATLAB and Simulink are used in this study. The findings reveal that the suggested LVRT capability outperforms the competition in practice. Keywords: Double-fed induction generators · Low voltage ride-through · Crowbar resistance · Symmetrical grid disturbances
1 Introduction Electricity is essential to every country’s progress. Population and industrial expansion are driving rising demand for electricity. Renewable energy sources (RESs) are employed in many nations because of their benefits (Mansouri et al. 2016). Wind energy (WE) is the strongest and will stay so. Some governments intend to extend WE soon. Rapid WE penetration into the power grid previously presented new operational challenges (Eissa 2015). Growing WE adoption has affected wind farm operations (WFs). Due to WE’s extensive usage, WF’s safety systems must be enhanced in case of a grid voltage drop. WFs are also utilized to stabilize power systems during outages and ensure speedy recovery. LVRT capacity is an important part of WT that is getting a lot of attention
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 740–750, 2023. https://doi.org/10.1007/978-3-031-21216-1_75
Symmetrical Voltages Dips Analysis in a Wind Turbine
741
because to the new grid requirements (GRs). Grid-integrated WT must maintain the turbine connected during a failure. The power grid compensates for the failure by producing reactive power at the time of the breakdown (Li et al. 2010). WF failure during a grid disturbance causes disruption and voltage variation. Countries with substantial upward WE capacity are need LVRT in tasks WTs. Several LVRT strategies have been published to improve DFIG’s LVRT. The most basic method to protect back-to-back converters is using system protection. This approach converts a DFIG into a squirrel cage induction generator. The DFIG doesn’t meet grid criteria due to reactive power absorption (Erlich et al. 2008). Studies have evaluated DFIG’s functionality under grid disruptions. Jalilian et al. (2017) improved LVRT capacity using a synchronous reference frame-controlled dynamic voltage restorer (DVR). Using a DC link switchable resistive type fault current regulator, (Tohidi and Behnam 2016) increased the LVRT of a DFIG-based WF. Justo et al. (2017), Under different disturbances, the upgraded crowbar system with decreased fault ride-through was evaluated. This work presents crowbar resistance to decrease rotor transient over-currents and boost DFIG’s LVRT capacity under symmetrical grid disturbances. The suggested method uses a resistance test to evaluate crowbar protection systems. The proposed technique keeps the DFIG running even when the grid power is low. The recommended LVRT approach uses non-superconducting inductance, thus it’s cheap and easy to make. Modeling symmetrical grid faults in MATLAB Simulink. This work introduces a DC-link switchable resistive to decrease rotor transient overcurrents and increase DFIG LVRT capacity. The article is divided into four sections, which are as follows. The first part examines the introduction section; The following subsection introduces the fundamental ideas of wind energy conversion systems (WECS); The methodology of the proposed work is presented in the third section; The suggested system’s modeling is described in the fourth part; Section five gives a comprehensive discussion of the simulation findings and discussions, followed by the conclusion.
2 Description System In wind energy systems, a generator coupled to a wind turbine converts wind into electricity. Many historic sites contain cage-type induction devices. When wind speed stays constant and turbine speed is raised, torque builds, peaks, and drops. On the curves, tangential peak constant power curves are overlaid. MPPT control may adjust turbine speed to maximize power (aerodynamic efficiency) at a particular wind speed (Tohidi and Behnam 2016). Torque follows the quadratic rule with speed, whereas power follows the cube. Figure 1 shows a variable-speed wind power producing system with an induction generator and two-sided PWM converters. PWM rectifier maintains constant (or configurable) generator excitation current or flux. The vector control loop regulates excitation and active power (not illustrated). Before being sent to the grid, a DC-link converter system transforms variable-frequency variable-voltage to constant voltage and frequency. The grid-side converter maintains DC-link voltage, and machine drives make wind energy competitive with fossil fuels (Li et al. 2010). Table 1 shows WT and DFIG power characteristics.
742
H. Bouregba et al.
Fig. 1. System equipped with three-phase DC passive crowbar protection (Jalilian et al. 2017)
Table 1. Parameters of high-power generator and turbine S_NO
Parameters
Ratings
1 2 3 4 5 6 7 8 9 10 11 12 13 14
Type Radius Rated power Nominal wind speed Variable speed ratio Optimum tip speed ratio (λ opt ) Maximum power coefficient (C p_ max ) Air density ρ Generator Rated output voltage speed range Nominal torque Frequency Pole pairs
Three blades upwind 42 2MW 12.5 m/s 9–18 rpm 7.2 0.44 1.1225 kg/m3 DFIG 690 V 900–2000 m/s 12732 Nm 50 2
3 Methodolgy Figure 2 shows the work flowchart. First, the literature on improving high WT LVRT capabilities is studied. Next, gather and analyze the data. After data analysis, mathematical and MATLAB/Simulink models are presented. With the established system and the suggested crowbar protection strategy, LVRT capacity analysis is done under symmetrical fault situations.
Symmetrical Voltages Dips Analysis in a Wind Turbine
743
Fig. 2. Flowchart of the performed work
4 Dynamic Modeling System 4.1 Variable WT Based DFIG Modeling System Wind speed kinetic energy V v , surface A1 = π.R2 and ρ is the density of the air is represented as (Gholizadeh et al. 2018): Pv =
1 ρ.A1 .V 3v 2
(1)
Only a portion of that electricity may be recovered by the wind turbine: Pt =
1 ρ.π.R2 .Cp (λ, β).V3v 2
(2)
where R is the wind turbine’s radius and C p is the power coefficient. The wind turbine’s rotational speed, wind speed, and pitch angle all significantly influence this coefficient. Cp is frequently depicted as a function of the tip speed ratio (Liang et al. 2015). λ=
R.T Vv
(3)
where R is the rotor radius of the turbine and T is the rotor’s angular speed. The Betz limit specifies the potential maximum value of C p = 0.593 = 59.3%. One often used term that is easily adaptable to various turbines is, k2 k7 /λi − k3 β − k4 β k5 − k6 )(e ) λi 1 λi = k8 + λ Cp = k1 (
(4)
744
H. Bouregba et al.
The DFIG’s space vector model may represent the dq frame. The dq voltage equations will be as follows: − →a − →a − → Vds = Rs .ids + dϕdtds − ωs ϕqs a Vs = Rs . is + jωs ϕs → (5) dϕ Vqs = Rs .iqs + dtqs + ωs ϕds − →a − → − → Vdr = Rs .idr + dϕdtdr − ωr ϕqr Vr = Rr . ira + jωr ϕra → (6) dϕ Vqr = Rr .iqr + dtqr + ωr ϕdr Similarly, the fluxes yield will be: − → − → − →a ϕs = Ls isa + Lm ira →
ϕds = Ls ids + Lm idr ϕqs = Ls iqs + Lm iqr − →a − → − → ϕdr = Ls ids + Lr idr ϕr = Lm isa + Lr ira → ϕqr = Ls iqs + Lr iqr
(7) (8)
4.2 Control Solutions for Grid Disturbances Focuses on previous section’s symmetric voltage dips. A crowbar is needed to safeguard the system against overcurrents and overvoltages caused by control loss. Figure 1 shows the crowbar connected to DFIG-based WTG rotor terminals. Looking for these anomalies reveals the decline. Rotor overcurrent, DC overvoltage, grid voltage drop recorded by PLLs or synchronization systems. Resistance (Rcrow) must be appropriately chosen. A simulation-based method may be used to determine (Jiang et al. 2019): A substantial short-circuit current will be detected if a low resistance value is employed, causing the crowbar switch to be oversized and the electromagnetic torque to be high. The crowbar will not be able to draw the rotor voltage if the resistance has become too high. Using freewheeling diodes, rotor current now passes to the next stage. The voltage must meet the following criteria to support the rotor inverters. Vbus Vr < √ u 3
(9)
where Vr: Rotor peak voltage, V bus : Voltage of the DC link, and u: Turn ratio. The resistor value is determined by using the following formulae: Udc0 Rcrow = √ 6Imax
(10)
Voltage drops prevented the stator flux from being directly transmitted to the stator voltage, causing a significant current harmonic. IEEE sets the RSC crowbar circuit maximum at 70 times the rotor resistance. DFIG’s fault capacity was affected by crowbar resistance (Liang et al. 2015). Rotor resistance is 0.2 in this study.
Symmetrical Voltages Dips Analysis in a Wind Turbine
745
4.2.1 Vector Control of Rotor Side Converter RSC RSC regulates active and reactive power separately. Controlling rotor current does this. Torque and quadrature rotor current are connected (iqr ). The DFIG model relates rotor voltage to stator flux and rotor current (ϕ qs = 0). d Lm → ϕs iqr − ωr σ Lr idr + ωr − dt Ls d Lm d − → ϕs = Rr idr + σ Lr idr − ωr σ Lr iqr + dt Ls dt
Vqr = Rr iqr + σ Lr Vdr
(11)
Because it is directly connected to the grid, the voltage loss across the stator resistance is small, and as a result, the stator flux remains constant dϕ/dt = 0. The following is a simplified equation for torque in the dq frame: Tem =
3 Lm 3 Lm → ϕs iqr → Tem = KT iqr P ϕqs idr − ϕds iqr → Tem = − P − 2 Ls 2 Ls
(12)
In the dq frame, the stator reactive power expression indicates that idr is important for ϕ s as follows: − − → → ϕs ϕs 3 3 Lm − → ϕs idr − ϕs = Vqs ids − Vds iqs → ϕs = − ωs → ϕs = Kϕ idr − 2 2 Ls Lm Lm
(13) At constant stator flux amplitude provided by the grid voltage, the stator is directly regulated with the grid |φs| ∼(|Vs|/ωs) =
− → ϕs = ϕds = Ls ids + Lm idr , ϕqs = 0 = Ls iqs + Lm iqr
(14)
4.2.2 Vector Control of Grid Side Converter GSC The grid filter keeps the DC link capacitance voltage constant, based on the rotor’s direction and magnitude. GSC transfers power both ways. Stator converts DFIG active and reactive electricity to grid power. DFIG flux cannot occur when the stator voltage drops suddenly. − → − → Rs − → → d ϕss Lm − = Vss − ϕss + Rs is (15) dt Ls Ls r Each phase’s stator flow has a time constant of (Ls/Rs) and is made up of sinusoidal and exponential components. There will be a voltage drop that is the same as the DFIG: 2 − → → →r − →r
− →r d− Lm − Lm Vs − jωs ϕs + Rr + Rs irr + σ Lr irr (16) Vr = Ls Ls dt A DFIG-based WT with crowbar protection was proposed as a result of the voltage dip. Crowbar protection, as previously indicated, will be engaged to protect converters from high current flow. Figure 1 depicts the DFIG block diagram with crowbar protection.
746
H. Bouregba et al.
5 Simulation Results and Discussions This section displays and analyzes DFIG-based WT under 3 symmetrical faults. Sim Power System toolbox in MATLAB/Simulink models various controllers to simulate DFIG. Crowbar protection and control techniques increase DFIG performance in symmetrical fault circumstances. During a grid failure, the RSC draws most power from the WT and reduces reactive power. 5.1 Crowbar Protection and Filter Dips for DFIG During a three-phase short circuit, the crowbar impedance locks, increasing rotor current with DC link capacitor voltage. After 3 s, crowbar protection and filter dips activate. When crowbar protection detects a voltage drop, stator flux is instantly decreased. Voltage recovery takes 3.1 to 4.2 s. These procedures safeguarded the GSC and RSC from overvoltage and transferred energy and current through them. 5.2 DFIG’S LVRT Capability Analysis Under Symmetrical 3-Φ Fault Condition 5.2.1 Variation in the Stator Voltage and Electromagnetic Torque Under normal conditions, the crowbar resistance is disabled, but when the safety mechanism kicks in, it does, allowing fault current to pass through. At 3 s, WT output has a three-phase symmetrical fault over a period of 100 ms in the fault scenario. The stator voltage (Fig. 3a) dropped, and the high fault current was conveyed and dissipated by Table 2. Description of significant variation under steady-state and fault-condition Variations
Transitory time (0 s–1.5 s)
Permanent time (1.5 s–3 s)
Fig. [3a]
Vs (V)
Vs (V)
Vs (V)
τr (s)
610
610
50
0.5
Fig. [3b]
Fault condition (3–4.2 s)
Tem (Nm)
τr (s)
Tem (Nm)
Tem (Nm)
τr (s)
7 e4
0.1
−3.2 e3
±2 e3
0.12
τr : Response time, Vs : Stator voltage variation, Tem : Electromagnetic torque variation
Fig. 3. (a) Stator voltage, (b) Electromagnetic torque
Symmetrical Voltages Dips Analysis in a Wind Turbine
747
the crowbar resistance. Since the crowbar is deactivated at 4.2 s, the RSC is protected. During crowbar activation, torque is dumped to regulate stator current (Fig. 3b). Table 2 displayed the significant variation under steady-state and fault-condition. 5.2.2 Variation in Stator Flux and Crowbar Resistance The diminution in stator flux of 0.5 Wb (Fig. 4a), or stator voltage to 300 V around 70 V at 3 s, is generated by a three-phase symmetrical fault that is rapidly discharged by crowbar protection in a short time of 1.2 s. Once the fault current dissipated, the stator flux reverted to its normal condition after 4.2 s. Grid failure causes the crowbar current, which equals 3500 A, to overrun at 3 s (Fig. 4b). Crowbar current depends on crowbar resistance. Table 3 represented all time variations under steady-state and fault-condition.
Table 3. Stator flux significant variation in steady-state and fault-condition Variations
Transitory time (0 s–1.5 s)
Permanent time (1.5 s–3 s)
Fault condition (3–4.2 s)
Fig. [4a]
F (Wb) 2.5
τr (s)
F (Wb)
F (Wb)
τr (s)
1.5
1.98
0.5
1.2
F: Flux variation
Fig. 4. (a) Stator flux, (b) Crowbar current
5.3 DFIG’S LVRT Capability Analysis with Crowbar at RSC 5.3.1 Variation in Speed, Quadrature Current (q-axis) Figures 5a and 5b show the variations in speed and q-axis current over time. The qaxis current overshoots and rotates slowly. On the other hand, the speed range is under automatic control based on MPPT technique. After 3 s, the q-axis current drops to zero, caused by crowbar protection. When the fault happened and the crowbar protection wasn’t enabled, the rotor current dropped to zero, but it rapidly increased after 1.2 s. Table 4 shows all of the time variations under steady-state and fault-condition.
748
H. Bouregba et al. Table 4. The significant variations under steady-state and fault-condition
Variation
Transitory time (0 s–1.5 s)
Fig. [5a]
(rad/s) 100
Fig. [5b]
Permanent time (1.5 s–3 s)
Fault condition (3–4.2 s)
τr (s)
(rad/s)
(rad/s)
1.5
110
140
Iq
τr
Iq (A)
Iq (A)
−e3
1.5
600
50
: Speed variation, Iq : Quadrature current variation
Fig. 5. (a) Speed variation, (b) Quadrature current
5.4 DFIG’S LVRT Capability Analysis with Crowbar at GSC 5.4.1 Variation in Bus Voltage, Reactive Power and Grid Current The voltage throughout the DC link capacitor in (Fig. 6a) is nearly constant (600 V) for permanent time (1.5 s–3 s) and weekly variation under fault conditions (3 s–4.2 s). On the other hand, the reactive power delivered to the grid is practically constant even under dipping voltage at 3 s to 4.2 s. When a voltage dip occurs owing to a fault, it is necessary to boost the bus voltage. However, as long as the voltage dip does not occur due to a fault, it is not necessary to boost the bus voltage. Table 5 demonstrates all the time variations under steady state and fault-condition for speed range and grid current. Table 5. The significant variations under steady-state and fault-condition Variation
Transitory time (0 s–1.5 s)
Permanent time (1.5 s–3 s)
Fault condition (3–4.2 s)
Fig. [6a]
Vdc (V)
τr (s)
Vdc (V)
Vdc (V)
3 e3
1.5
1150 ± 1
1150 ± 30
Ig (A)
τr (s)
Ig (A)
Ig (A)
600
0.02
±200
±500
Fig. [6b]
Vdc : DC-link capacitor voltage variation, Ig : Grid current variation
Symmetrical Voltages Dips Analysis in a Wind Turbine
749
Fig. 6. (a) Bus voltage (b), three-phase grid current
The grid current fluctuates over time during a symmetrical fault, as seen in (Fig. 6b). During the symmetrical fault, a changing value of grid current is acquired around 250 A, which could be 500 A in permanent time or 500 A. Because the GSC is directly linked to the grid, the overcurrent created at the RSC is not transferred to the GSC, resulting in a constant reference reactive power. The crowbar protection dissipates the overcurrent generated at the RSC caused by the grid disturbance. Reactive power is sent to the grid at this moment. In most cases, the system features crowbar protection, which kicks in when the voltage drops. In order to safeguard the RSC, the crowbar must disable it when it is active. The stator will deliver current to the grid and reactive power after the crowbar protection is switched off.
6 Conclusion The LVRT capacity of DFIG based on a high WT was investigated in this study under symmetrical dip voltage. To overcome the problem, a crowbar resistance protection mechanism was utilized to dissipate the enormous current generated during the symmetrical fault. In the absence of crowbar resistance, DFIG delivered high DC link voltage and rotor current. Furthermore, reactive power has been highly variable. When the crowbar resistance is activated, the rotor current decreases while the rotor speed increases. When a voltage drop occurs at a time of 3 s, the crowbar is engaged within 100 ms, and the fault current begins to flow through the crowbar, protecting the power electronics devices. The voltage recovery takes between 3 and 4.2 s. RSC is linked to the grid when the disturbance is removed, and DFIG sends reactive power to the grid to keep the grid voltage stable. A comparison of critical parameters at RSC and GSC under steady-state and symmetrical fault circumstances. Based on the literature research, the utilization of the different mechanisms such as SCR, STATCOM, Super-capacitor, and DVR creates some operational issues such as inserted harmonics as well as economic issues in the system. Therefore, the utilization of crowbar protection has an advantage over such issues.
References Eissa, M.M.: Protection techniques with renewable resources and smart grids - a survey. Renew. Sustain. Energy Rev. 52, 1645–1667 (2015)
750
H. Bouregba et al.
Erlich, I., Kretschmann, J., Mueller-Engelhardt, S., Koch, F., Fortmann, J.: Modeling of wind turbines based on doubly-fed induction generators for power system stability studies. In: IEEE Power and Energy Society 2008 General Meeting: Conversion and Delivery of Electrical Energy in the 21st Century, PES, vol. 22, issue 3, pp. 909–919 (2008) Gholizadeh, M., Tohidi, S., Oraee, A., Oraee, H.: Appropriate crowbar protection for improvement of brushless DFIG LVRT during asymmetrical voltage dips. Int. J. Electr. Power Energy Syst. 95, 1–10 (2018) Jalilian, A., Naderi, S.B., Negnevitsky, M., Tarafdar Hagh, M., Muttaqi, K.M.: Low voltage ridethrough enhancement of DFIG-based wind turbine using DC link switchable resistive type fault current limiter. Int. J. Electr. Power Energy Syst. 86, 104–119 (2017) Jiang, H., Zhang, C., Zhou, T., Zhang, Y., Zhang, F.: An adaptive control strategy of crowbar for the low voltage ride-through capability enhancement of DFIG. Energy Procedia 158(2018), 601–606 (2019) Justo, J.J., Mwasilu, F., Jung, J.W.: Enhanced crowbarless FRT strategy for DFIG based wind turbines under three-phase voltage dip. Electric Power Syst. Res. 142, 215–226 (2017) Li, J., Li, D., Hong, L., Xie, C., Chen, G.: A novel power-flow balance LVRT control strategy for low-speed direct-drive PMSG wind generation system. In: IECON Proceedings (Industrial Electronics Conference), pp. 748–75 (2010) Liang, J., Qiu, Y., Zhao, M., Kang, S., Lu, H.: The modeling and numerical simulations of wind turbine generation system with free vortex method and simulink. Energy Convers. Manage. 103, 762–777 (2015) Mansouri, M.M., Nayeripour, M., Negnevitsky, M.: Internal electrical protection of wind turbine with doubly fed induction generator. Renew. Sustain. Energy Rev. 55, 840–855 (2016) Tohidi, S., Behnam, M.I.: A comprehensive review of low voltage ride through of doubly fed induction wind generators. Renew. Sustain. Energy Rev. 57, 412–419 (2016)
Optimal Placement Using Moth Flame Optimization in Radial Distribution Djedidi Imene1(B) , Naimi Djemai1 , Salhi Ahmed1 , and Bouhanik Anes2 1 LGEB Laboratory, Electrical Engineering Department, University of Biskra, BP 145,
07000 Biskra, Algeria [email protected] 2 LMSE Laboratory, Electrical Engineering Department, University of Biskra, BP 145, 07000 Biskra, Algeria
Abstract. This study suggests the use of swarm moth flame optimization (MFO), Salp-Swarm Algorithm (SSA), Multi-Verse Optimization (MVO) recently developed and efficient metaheuristic optimization techniques to solve the problem of finding the optimal allocation of capacitor banks (CBs) from the selected bus in power distribution system. The purpose of the work presented in this paper is to minimize the total annual cost, respecting the equality and inequality constraints and improving the voltage profile. The proposed algorithm is tested on IEEE 69bus radial distribution system (RDS). Then obtained results are compared with each other to identify the best tool in terms of convergence features and higher quality solution. The results show that (MFO) gives the best solutions. Keywords: Capacitor banks (CBs) · Power losses · Optimal allocation and sizing · MFO · Radial distribution system (RDS)
1 Introduction In distribution grids, reactive power flows cause high power losses, high voltage drops and low power factor. These effects can be reduced by optimally installing parallel capacitors [1]. Reactive power compensation plays a fundamental role in power system planning to provide compatible locations for compensation equipment to ensure the lowest compensation costs at the proper voltage configuration [2]. In practice, this is particularly reflected in suitable capacitor banks (CBs) size and placement, with the aim of reducing energy costs and improving the voltage curve. Numerous techniques and optimization algorithms have been mentioned in the literature to sizing and address the placement of capacitor banks (CBs) in power distribution systems. These methods are divided in [3, 4] into (1) analytical algorithms as those proposed in [5, 6], (2) metaheuristics, as an example Genetic Algorithm (GA) [7], Firefly Algorithm (FA) [8], Wolf Optimizer (GWO) and Water Cycle Algorithm (WCA) [9], Artificial Bee Colony (ABC) [10], Flower Pollination Algorithm (FPA) [11] and (3) hybrid methodologies which combine the above techniques as suggested in [12, 13]. © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 751–761, 2023. https://doi.org/10.1007/978-3-031-21216-1_76
752
D. Imene et al.
There are numerous publications and studies in the literature that deal with capacitor optimal allocations and sizing, but only a few of them contain actual grid operating characteristics such as load changes. This paper discusses the use of swarm moth flame optimization (MFO), Salp-Swarm Algorithm (SSA), Multi-Verse Optimization (MVO) recently developed and efficient metaheuristic optimization techniques to solve the problem of finding the optimal placement and sizing of capacitor banks (CBs) at minimum incorporates the cost of real power losses and various costs associated with capacitor banks (CBs). The efficacy of the proposed methodology has algorithm is tested on IEEE 69-bus radial distribution system (RDS), a list of sensitive bus, so the most candidate ones are initially generated based on its voltage profile, each algorithm is then applied to determine the optimal solution. Finally, obtained results are compared with each other to identify the best tool in terms of convergence features and higher quality solutions. The results show that (MFO) the effectiveness and robustness very powerful algorithm as the best tool in terms of convergence features and higher quality solution. The rest of the paper is structured as follows: Sect. 2 explains the problem formulation, Sect. 3 gives an overview of proposed algorithm, the simulation results are provided in Sect. 4 and the conclusions and some points of further work in Sect. 5.
2 Problem Formulation 2.1 Objective Function The power flow calculation adopts the backward/forward scanning method. The objective function is to minimize the total annual cost, described below minf = minT AC TP LOSS i =
nbr
Ri · |Ii |2
(1) (2)
i=1
TAC = CPLOSS × TP LOSS × T h + CQCBs × TQCBs TQCBs =
n CBs
QCBs
(3) (4)
b=1
where; T AC is total annual cost ($/year), TPLOSS i is total active power loss in branch i, nbr is the total number of branches, Ri is the resistance of i-th branch in the network, Ii is the current magnitude of i-th branch. CPLOSS is the annual cost of energy loss ($/KWh), T h is total hours of year (8760 h), CQCBs the injected reactive power by capacitor banks (Kvar), TQCBs is the cost of capacitor (Kvar), is number of the selected capacitor banks. =0.054 ($/KWh)
,
= 20 ($/Kvar)
The objective is to minimize the total annual cost while respecting the constraints of equality and inequality.
Optimal Placement Using Moth Flame Optimization
753
2.2 Equality Constrains Equality constraints are given by the power balance equations as follows Ps =
nb
PL(i) +
i=1
Qs +
QCBs(i) =
nbr
PLoss(j)
(5)
j=1
QL +
nbr
QLoss(j)
(6)
j=1
where; Ps and Qs are real and reactive substation power respectively, PL(i) and QL are real and reactive load demands at bus j respectively, Nb is the number of buses. 2.3 Inequality Constrains Inequality constrains are defined as follows. • Bus voltage limits Vmin ≤ |Vi | ≤ Vmax ; i = 1, 2, . . . , nb
(7)
where, Vmin = 0.95 (pu), and Vmax = 1.05 (pu). • Reactive power limits QCBsmin ≤ QCBs(i) ≤ QCBsmax ; i = 1, 2, . . . , nCBs
(8)
where, QCBsmin = 0(Kvar), QCBsmax = 150(Kvar). • Total reactive power compensation constraints nCBs nb QCBs ≤ QL(i) i=1
i=1
(9)
• Line capacity limits In,i ≤ Imax,i ; i = 1, . . . , nbr
(10)
where, ILine(i) is the current flow through network branches of i-th branch, Imax is the maximum permitted current of i-th branch.
754
D. Imene et al.
3 Proposed Approach This method is based on Mirjalili’s suggested Moth-Flame Optimizer (MFO), a recently introduced meta-heuristic optimization technique [14, 15]. The MFO via on the transverse direction navigation technique is used by moths at night. Moths may fly great distances in a straight line by keeping their angle with the moon constant. (Frank 2006) [16]. When moths are exposed to artificial light, they attempt to keep a similar angle to the light source, but due to the near proximity, they become trapped in a spiral path (Fig. 1) [14, 15]. The placements of moths are randomly initialized within the solution space in MFO. The moths’ fitness values, which are the best individual fitness values so far, are calculated. Each moth’s best individual position is identified by the flame. The moths’ positions are updated based on a spiral movement function toward their best individual positions marked by a flame, and the flames’ positions are updated with new best individual positions in the next iteration. The MFO algorithm keeps updating and generating new positions for the moths and flames until the termination criteria are reached [16]. Figure 2 shows the flowchart of the MFO [16].
Fig. 1. The spiral flight path of a moth around a light source
3.1 Creating the Initial Population of Moth’s MFO is a population-based method, with the following matrix representing the moths [14, 15]: ⎡
m1,1 ⎢ m2,1 ⎢ ⎢ .... M =⎢ ⎢ .. ⎢ .. ⎣ .... mn,1
m2,1 m2,2 .... .. .... .. mn,2
⎤ · · · m1,d · · · m2,d ⎥ ⎥ . ⎥ · · · .. ⎥ ⎥ .. ⎥ ··· . ⎦ · · · mn,d
(11)
Optimal Placement Using Moth Flame Optimization
755
The number of moths is n, and the number of dimensions is d. The following matrix stores the values of the associated fitness for all moths [16]: (12)
The flame matrix, which represents flames in D-dimensional space, and their related fitness function vector are two more MFO components, which can be respectively expressed as [17]: ⎤ ⎡ F1,1 F2,1 · · · F1,d ⎢ F2,1 F2,2 · · · F2,d ⎥ ⎥ ⎢ ⎢ .... .... .. ⎥ ⎢ (13) = ⎢ .. .. · · · . ⎥ ⎥ ⎥ ⎢ .. .. . . . . . . ⎣ .. .. · · · . ⎦ Fn,1 Fn,2 · · · Fn,d
(14) In MFO, the moths and flames represent the solution, the moths search the solution space in each iteration to find the optimal solution, and the flames represent the best solution found by each moth. In other words, each moth scans the space around its flame, coming up with a better solution each time. Then update the position of the flame. 3.2 Updating Moth Location The MFO uses three functions to initialize the moth’s random position (I), move the moth in the solution space (P), and end the search operation (T): MFO = (I , P, T )
(15)
Any random distribution can be used to initialize the moth’s position in the solution space. The implementation of. the I function can be written as [14, 15]: M (i, j) = (ub(i) − Ib(j)) ∗ rand () + Ib(i)
(16)
where, ub and lb = arrays defining the upper and lower bounds of the variable, respectively. Therefore, the P-function of motion is defined as [14, 15]:
S Mi , Fj = Di .ebt .cos(2π t) + Fj (17) where; b = constant defining the shape of the logarithmic spiral; t = random number between [−1, 1]; Di = distance between the ith moth and the jth flame, defined as [14,15]: (18) Di = Fj − Mi
756
D. Imene et al.
The spiral motion of the moth around the flame promises to understand the exploration and utilization of space. To avoid trapping moths in local optima, the best solutions (flames) are sorted in each iteration, and each moth flies around its corresponding flame based on the OF and OM matrices. In other words, the first moth flies around the best solution obtained. 3.3 Updating Number of Flames When the last moth circles around to save the worst solution, update the number of flames, the Eq. (19) Used to reduce the number of flames to improve the utilization of the MFO algorithm [17]:
N −1 (19) flame no = round N − I ∗ T where; l = current number of iterations; N = maximum number of flames; T = maximum number of iterations.
Fig. 2. Flowchart of moth-flame optimization algorithm [16]
Optimal Placement Using Moth Flame Optimization
757
4 Simulation and Results The MFO algorithm is implemented in a MATLAB programming language into the capacitor banks allocation problem to determine the optimal location and size of the (CBs). The robustness of the above optimization tools is verified using IEEE-69 bus test system is illustrated on Fig. 3.
Fig. 3. The 69-bus radial distributionnetwork
This standard system is most used in distribution networks. A clear and detailed description of its properties can be found in Table 1. Table 1. IEEE-69 BUS characteristics Characteristics Values
Units
nbus
69
[bus]
nbr
68
[branch]
Vmin
0.95
[P.U]
Vmax
1.05
[P.U]
Vsubstat
12.66
[KV]
QCBs-min
0
[KVAR]
QCBs-max
150
[KVAR]
Bus-QCBs
19 20 21 22 23 24 25 26 27 57 58 59 60 61 62 63 64 65
where, Bus- QCBs is the selected buses that have the lowest voltage value, they are selected from the voltage magnitude figure. To find the global optimum, the cost of capacitor installation should be minimized according to the objective function. Therefore, only candidate bus is considered. These
758
D. Imene et al.
are selected using the system voltage profile, which indicates a low voltage amplitude bus. In order to simulate the real system behavior, the load curve of the test system is considered.
Fig. 4. Convergence characteristics for fuel cost minimization using SAA, MVO, and MFO methods: for IEEE-69 bus system
Fig. 5. Voltage magnitude of IEEE-69 bus system
4.1 Discussion Results The 69-bus Test System is large and eighteen sensitive bus, highlighted in blue on the diagram in Fig. 3, are selected. This notable decrease in losses enhanced in Fig. 6. The voltage magnitude is shown in Fig. 5. A significant in the voltage profile can be seen in the compensated system when the power switch is one. Table 2 shows a comparison of different findings, with optimal capacitor banks allocation utilizing the proposed methodologies. The above table clearly shows that all proposed solutions outperform the uncompensated system, with (MFO) coming out on top not only in terms of multiple comparison criteria but also in terms of convergence capabilities, as seen in Fig. 4.
Optimal Placement Using Moth Flame Optimization
759
Fig. 6. Power Loss at every branch of IEEE-69 bus test system
Table 2. Comparison table for optimal locations and sizes of capacitor banks IEEE-69 BUS Techniques
Base case
SAA
MVO
MFO
Bus
Size
Bus
Size
Bus
Size
21 24 59 61 62 64 65
50 50 100 150 150 150 150
27 59 61 62 64 65
50 150 150 150 150 150
59 61 62 64 65
150 150 150 150 150
Optimal location and size of capacitor banks (KVAR)
_
Total size of CBs (KVAR)
_
800
800
750 166.3854
Minimum voltage (pu)
224.9755
164.9853
164.5120
Total PLoss (KW)
0.9092
0.9226
0.9231
0.9229
Minimum voltage (pu)
-
26.6652
26.8755
26.0428
%Loss reduction
106422.4105
78045.4692
77820.7355
78706.9897
Annual loss cost ($/year)
-
16000
16000
16000
Total QCBs cost ($/year)
106422.4105
94045.4692
93820.7356
93706.9897
Total Annual cost ($/year)
-
12376.9413
12601.6749
12715.4208
Net savings ($/year)
-
11.63
11.8411
11.948
5 Conclusion In this paper suggests the use of swarm moth flame optimization (MFO) recently developed and efficient metaheuristic optimization techniques to solve the problem of finding the optimal allocation of capacitor banks (CBs) from the selected bus in radial distribution system based on different objective functions such as; cost power loss and the cost of
760
D. Imene et al.
capacitor banks simultaneously. Various 69 IEEE test system have been used to validate and proof, the efficiency and superiority of the MFO technique a highest accuracy and notability to overcome the problems. Finally, the results show that the proposed (MFO) (approach as compared with (SAA) and (MVO) is more efficient than in terms of solution quality and convergence behavior and evolutionary algorithms. In the future, we plan to apply these strategies to real-world big systems with capacitors and distributed generation incorporation.
References 1. Yang, H.T., Huang, Y.C., Huang, C.L.: Solution to capacitor placement problem in radial distribution system using tabu search method. In: IEEE International Conference on Energy Management and Power Delivery, vol. 1, 21–23, pp. 388–393 (1995) 2. Swarup, K.S.: Genetic algorithm for optimal capacitor allocation in radial distribution systems. In: Proceedings of the 6th WSEAS International Conference on Evolutionary, pp. 152–159. Lisbon, Portugal (2005) 3. Ng, H.N., Salama, M.M.A., Chikhani, A.Y.: Classification of capacitor allocation techniques. IEEE Trans. Power Deliv. 15(1), 387–392 (2000). https://doi.org/10.1109/61.847278 4. Kalambe, S., Agnihotri, G.: Loss minimization techniques used in distribution network: bibliographical survey. Renew. Sustain. Energy Rev. 29, 184–200 (2014). https://doi.org/10.1016/ j.rser.2013.08.075 5. Nawaz, S., Sharma, M.P., Cupta, A.: Optimal allocation of capacitor bank in radial distribution system using analytical approach. IJECE 7, 748–753 (2017). http://doi.org/10.11591/ijece. v7i2 6. Antamil, A., Nappu, M.B.: An analytical method for optimal capacitors placement from the inversed reduced jacobian matrix. Energy Procedia 100, 307–310 (2016). https://doi.org/10. 1016/j.egypro.2016.10.182 7. Mehanna, M.A., Abdullah, M.H.: Practical capacitor bank location optimization based on genetic algorithm. Int. J. Eng. Adv. Tech.Stud. 3, 23–31 (2015). ISSN 2053–5791 8. Das, P., Banerjee, S.: Optimal sizing and placement of capacitor in a radial distribution system using loss sensitivity factor and firefly algorithm. Int. J. Eng. Comput. Sci. 3(4), 5346–5352 (2014) 9. Sampangi, S.K., Thangavelu, J.: Optimal capacitor allocation in distribution networks for minimization of power loss and overall cost using water cycle algorithm and grey wolf optimizer. Int. Trans. Electric. Energy Syst. 30(5) (2020). https://doi.org/10.1002/2050-7038.12320 10. El-Fergany, A.A., Abdelaziz, A.Y.: Artificial bee colony algorithm to allocate fixed and switched static shunt capacitors in radial distribution networks. Electric Power Component. Syst. 42(5), 427–438 (2014). https://doi.org/10.1080/15325008.2013.856965 11. Tamilselvan, V., Jayabarathi, T., Raghunathan, T., Yang, X.-S.: Optimal capacitor placement in radial distribution systems using flower pollination algorithm. Alexandria Eng. J. 57(4), 2775–2786 (2018) 12. Jafari, A., Ganjehlou, H.G., Khalili, T., Mohammadi-Ivatloo, B., Bidram, A., Siano, P.: A two-loop hybrid method for optimal placement and scheduling of switched capacitors in distribution networks. IEEE Access 8, 38892–38906 (2020). https://doi.org/10.1109/ACC ESS.2020.2975714 13. Shuaib, Y.M., Kalavathi, M.S., Rajan, C.C.A.: Optimal capacitor placement in radial distribution system using Gravitational Search Algorithm. Int. J. Electr. Power Energy Syst. 64, 384–397 (2015). https://doi.org/10.1016/j.ijepes.2014.07.041 14. Young, M.: The Technical Writer’s Handbook. University Science, Mill Valley, CA (1989)
Optimal Placement Using Moth Flame Optimization
761
15. Mirjalili, S.: Moth-flame optimization algorithm: a novel nature-inspired heuristics paradigm. Knowl Based Syst 89, 228–249 (1989). https://doi.org/10.1016/j.knosys.2015.07.006 16. Bahrami, M., Bozorg-Haddad, O., Chu, X.: Advanced Optimization by Nature-Inspired Algorithms. In: Bozorg-Haddad , O. (ed.) Studies in Computational Intelligence, vol. 720 (2018). https://doi.org/10.3390/sym12081234 17. Rivedi, I.N., Jangir, P., Parmar, S.A., Jangir, N.: Appl. Optimal power flow with voltage stability improvement and loss reduction in power system using Moth-Flame optimizer. Neural Comput. (2016). https://doi.org/10.1007/s00521-016-2794-6
Analytical Study Between Fuzzy Logic and Sliding-Mode Control Applied to PV Systems Antar Beddar(B) , Issam Abadlia, Fateh Abdoune, and Linda Hassaine Centre de Développement des Énergies Renouvelables (CDER), B.P. 62 Route de l’Observatoire, 16340 Bouzaréah, Algies, Algeria {a.beddar,i.abadlia,f.abdoune,l.hassaine}@cder.dz
Abstract. In this paper two controls strategies applied to standalone photovoltaic system to extract the maximum power available under variables work conditions. A comparative study between two MPPT controllers are considered: the Fuzzy Logic Controller (FLC) based Mamdani and the Sliding Mode Controller (SMC). The MPPT controller based on the fuzzy-logic-algorithm uses the change in the photovoltaic current and voltage to deliver the DC-DC converter duty cycle as a control variable and it provides a fast response and good performances against the climatic and load changes. On the other hand, the SMC uses directly the photovoltaic system voltage and currant and load voltage to exhibits fast response for tracking the maximum power point (MPP) under different operating conditions. Simulation and experimental results show that both algorithms can effectively perform the MPPT hence improving the efficiency of the PV systems. Keywords: Photovoltaic system · Sliding mode · Fuzzy logic controller · Boost converter
1 Introduction The energy demand has greatly increased due to the industrial revolution, is expected to increase 30% by- 2035 as a result of new consumption models, e.g. smart plug-in electric vehicles and smart homes [1]. On the other hand, emissions of the CO2 and other harmful gases resulting from fossil fuel are still increasing due to the conventional generation of energy. It is a serious challenge to reduce harmful gases emissions and ensuring secure, clean and renewable energy [2]. Renewable energy sources are still the perfect solution to generate clean and sustainable energy. Recently, photovoltaic (PV) energy has been intensively employed in power systems. Photovoltaic (PV) system has appeared to be one of the most promising renewable energy sources. Solar energy is a clean, pollution free and no noise produced due to absence of moving parts [3]. The maximum power point tracking (MPPT) control is used to extract the maximum power of the PV module to deliver it to the load, and the efficiency is increased [4]. In literatures, different MPPT techniques have been developed to maximize the power extracted from the photovoltaic modules. The MPPT techniques are divided in two class: © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 762–769, 2023. https://doi.org/10.1007/978-3-031-21216-1_77
Analytical Study Between Fuzzy Logic and Sliding-Mode Control
763
conventional and advanced methods. The most widespread conventional MPPT methods are the incremental conductance (INC), the perturb-and observe, the fractional shortcircuit current, the fractional open circuit voltage and the hill climbing [5–9]. The fuzzy logic control (FLC), Sliding Mode Control (SMC) and the Artificial Neural Networks (ANN) are widely used as advanced MPPT methods, which are robust, accurate and fast methods [10–14]. Different techniques have been developed to maximize the output power of the photovoltaics modules. This paper presents a comparative study between tow MPPT methods, the first one is based on the fuzzy logic control and the second one based on the sliding mode theory. The two methods are tested in simulation under different atmospheric conditions of temperature and radiation, the obtained simulation results demonstrate the superiority of the sliding mode control over the fuzzy logic control. An experimental banc consist of a real panel was realized to validate the two control methods.
2 Description of The PV System The PV power system studied is composed of PV panels, which are often connected in series and/or parallel in order to increase its output power, a DC–DC boost converter and MPPT controller as depicted in Fig. 1.
ipv vpv vout
FLC SMC
PWM
Fig. 1. PV power system
The PV arrays are composed of PV panels, which comprises PV cells connected in series and or parallel. To present the mathematical model of a PV array, one diode model is adopted for modeling a PV cell as shown in Fig. 2 [12–14].
Fig. 2. PV one diode model.
The mathematical equations for representing PV cell, which has Ns of series cells is presented as follows. q(v − Rs I ) V − Rs I −1 − (1) I = Iph − Is exp AKT Rsh
764
A. Beddar et al.
q(v − Rs I ) V − Rs I −1 − I = Iph − Is exp AKT Rsh V − Rs I q(v − Rs I ) −1 − I = Iph − Is exp AKT Rsh
(2) (3)
where, I: Current cell, V: voltage cell, Iph: Current photo, Is: Diode saturation current, Rs: Series resistance, Rsh: Shunt resistance, q: Charge of the electron, K: Boltzmann constant, A: Quality factor of the diode, T: Effective temperature of the cell, E and Eref: Effective and reference irradiance, T and Tref: Effective and reference temperature, µ: temperature coefficient of the photocurrent.
3 Fuzzy Logic Controller In this paper, two controllers’ sliding mode and fuzzy logic are studied and implemented in real standalone photovoltaic system. The fuzzy logic controller is employed in several paper in literature due to its simplicity, no mathematical model of the system is needed, and can address the nonlinearity of systems. FLC has two inputs which are: error E and the change in error CE, and one output feeding to the pulse width modulation to control the DC–DC converter, if the relative voltage dp/dv value is greater than zero the controller FLC output changes the duty cycle to increase the voltage until the power is maximum or the value (dp/dv) = 0, if this value less than zero the FLC output changes the duty cycle to decrease the voltage until the power is maximum [1]. p(k) − p(k − 1) V (k) − V (k − 1)
(4)
(k) = E(k) − E(k − 1)
(5)
E(k) =
where: P(k) is the instant power of the photovoltaic generator. The input error E(k) shows if the load operation point at the instant k is located on the left or on the right of the maximum power point on the PV characteristic, while the input dE expresses the moving direction of this point. The fuzzy inference rules are carried out by using Mamdani method. FLC contains three basic parts: Fuzzification, Base rule, and Defuzzification. 3.1 Fuzzification The fuzzification step involves converting the numerical input to linguistic value. To transform the inputs into fuzzy inputs, membership function must be first assigned for each input [5]. As show Fig. 3. 3.2 Base Rule The second step of fuzzy logic processing is the rule evaluation in which the fuzzy processor uses linguistic rules to determine what control action should occur in response to a give set of input values. As depicted in Table 1.
Analytical Study Between Fuzzy Logic and Sliding-Mode Control
b
765
c
a
Fig. 3. Membership function: a: E(k), b: dE(k), c: Output Membership function Table 1. Membership function: a: E(k), b: dE(k). GN
PN
Z
PP
GP
GN
GP
PP
GN
PN
PN
PN
PP
PP
GN
PN
PN
Z
PN
PN
PN
GP
GP
PP
PN
GP
PN
GN
GP
GP
GN
GN
GP
PS
GP
3.3 Defuzzification The last step in fuzzy logic processing is converting the linguistic value into numerical value. One of the most commonly used defuzzification techniques is called the Center Of Gravity (COG) or centroid method. As shown in Fig. 4.
4 Sliding Mode Control The Sliding Mode Control (SMC) has several advantages such as robustness, high precision, stability, simplicity and low response time. It is a question of first defining a so-called sliding surface which represents the desired dynamics, then synthesizing a control law which must act on the system in two phases. In the first, force the system to join this surface, and in the second phase ensure the maintains and the sliding along this surface to reach the origin of the phase plane [15]. a) Sliding mode controller design To build an MPPT controller based on sliding mode control, the model of the system (Fig. 2) to be controlled is elaborated and then choose a surface in such a way that if this surface is zero, the MPP is reached after calculating the command to control the converter. Consider R_snegligible and R_shvery large to simplify the calculations [16]. So, Eq. (1) becomes: q(v − Rs I ) −1 (6) I = Iph − Is exp AKT The system can be written in a single state equation depending on the position of the switch closed or open. X = (1 − D)X1 + DX2
(7)
766
A. Beddar et al.
where the state variables and X 2 are , and D ∈ [0 1] the duty cycle, Therefore the Eq. (7) can be written as a time invariant system. X = (X ) + g(X )D
(8)
The equivalent load R_pv related to the output of the GPV is Rpv = Vpv /Ipv
(9)
The condition for reaching the MPP becomes ∂Rpv ∂I 2 Rpv ∂Ppv =0 = = ∂Ipv 2Rpv + Ipv ∂Ipv ∂Ipv ∂Ipv
(10)
2Rpv + Ipv .∂Rpv /∂Ipv = 0
(11)
Which implies:
So, the surface is defined by: S = 2Rpv + iL .
∂Rpv ∂iL
The choice of the command is made as follows D + D, S > 0 D= D − D, S < 0 If S > 0, so
∂Ppv ∂Ipv ∂Ppv ∂Ipv
(12)
(13)
> 0, that means that the operating point is to the right of the MPP.
If S < 0, so < 0, that means that the operating point is to the left of the MPP [16]. b) Control design The sliding mode command comprises two terms, the equivalent command, and the discontinuous command. The first puts the system on the sliding surface and the second ensures that it stays on that surface [16]. The equivalent command is calculated from the derivative of the surface T T ∂S ˙S = ∂S ˙ f (x) + g(x)Dequ = 0 X = ∂x ∂x
(14)
So, the command Dequ becomes: (15) Discontinuons command is can be defined as follows: Ddisc = ksing(S)
(16)
Analytical Study Between Fuzzy Logic and Sliding-Mode Control
767
where k is a positive constant. Since the duty cycle D must be located in0 ≤ Dequ ≤ 1, the true control signal D become: ⎧ Dequ + Ddisc > 1 ⎨1 D = Dequ + kS, 0 ≤ Dequ + Ddisc ≤ 1 (17) ⎩ 0 Dequ + Ddisc < 0
5 Simulation In order to test the efficiency of the proposed controllers based on fuzzy logic and sliding mode, a fast and slow variation in the sunshine and temperature signals have been used to simulate several different maximum power points that the MPPT controller must follow. The results of the simulations are shown in the Figs. 4, 5, 6 and 7.
Fig. 4. Sunshine variation
Fig. 6. Panels temperature
Fig. 5. PV power under sunshine variation
Fig. 7. PV power under panels temperature variation
Figures 5 and 7 represents the power obtained under sunshine and panel temperature variation respectively. Using the different methods presented in this paper. If we are interested in the response time, it is clear that the speed of convergence of the power towards the MPP obtained by the controller based on sliding mode is faster than that of the fuzzy controller. However, the maximum power obtained with the sliding mode controller is relatively greater than that obtained by the fuzzy controller.
6 Exprimental Realisation Experimental prototype was developed in laboratory to validate the tracking performance for the Fuzzy logic and sliding mode methods, as illustrated in Fig. 9. The fuzzy logic and sliding mode controllers’ algorithms have been implemented by using dSPACE 1202
768
A. Beddar et al.
Fig. 8. Photovoltaic generator at CDER
Fig. 9. Experimental setup of PV system with new AFL MPPT and controller. 1: PV input; 2: Cpv; 3: inductance L; 4: DC-DC; 5: signal amplifier; 6: current and voltage sensors; 7: ±15v; 8: Load; 9: dSPACE1202; 10: host PC.
Fig. 10. Experimental result using FLC, 1: power; 2: current; 3: voltage.
Fig. 11. Experimental result using SMC, 1: power; 2: current; 3: voltage.
unit. The PV generator consisted of three series RISIN-185 PV panels Fig. 8. The output voltage and current quantities of the PV system are measured using LEM sensors. Figures 10, 11 displays the obtained experimental results for fuzzy logic and sliding mode controllers at transient starting point. It is clear that the proposed sliding mode controller can achieves fast response time and offer accurate tracking of the MPP compared to the fuzzy logic controller. The MPP has been gotten after 0.13s in the case of sliding mode controller where it’s the double (0.25 s) using fuzzy logic controller. In general, the two controllers used in simulation and experimental validation ensure small power oscillations fast response to climatic change and stable system operation. The obtained experimental results match with those attained in the simulated tests of the PV system (Table 2). Table 2. Circuit and PV parameters for the selected case study. Rated power of pv panel
185 w, Vco = 45 V, Icc = 5.81 A
Boost capacitor
22e−3F
PV panel
RISIN 185
Switching frequency
20 kHz
Experimental implementation
dSPACE 1202
Load resistance
200 Om
Boost inductor
6 mL
PV capacitor
12e−3 F
Analytical Study Between Fuzzy Logic and Sliding-Mode Control
769
7 Conclusions In this paper, we have presented two controllers, to extract the maximum power available under different work conditions, which are the Fuzzy Logic Controller and the Sliding Mode Controller. The simulation results show that the SMC can quickly find the maximum power point with minimum power ripple, and has a high-power output efficiency. The FLC has also performing results. The experimental validation of the two algorithms confirms the superiority of the SMC over the FLC controller in steady and transit state.
References 1. Rahul, R., Chandel, S.S.: Review of maximum-power-point tracking techniques for solarphotovoltaic systems. Energy Technol. 1(8), 438–448 (2013) 2. Jancarle, L.S., Fernando, A., Anis, C., et al.: A MPPT for PV systems using a high performance boost converter. Solar Energy 80(7), 772–778 (2006) 3. Yu, T.-C., Chien, T.-S.: Analysis and simulation of characteristics and maximum power point tracking for photovoltaic systems. In: 2009 International Conference on Power Electronics and Drive Systems (PEDS), pp. 1339–1344. IEEE (2009) 4. Hohm, D.P., Ropp, M.E.: Comparative study of maximum power point tracking algorithms using an experimental, programmable, maximum power point tracking test bed. In: Conference Record of the Twenty-Eighth IEEE Photovoltaic Specialists Conference-2000 (Cat. No. 00CH37036), pp. 1699–1702. IEEE (2000) 5. Bidyadhar, S., Raseswari, P.: A comparative study on maximum power point tracking techniques for photovoltaic power systems. IEEE Sustain. Energy 4(1), 89–98 (2012) 6. Dezso, S., Laszlo, M., Tamas, K., et al.: On the perturb-and-observe and incremental conductance MPPT methods for PV systems. IEEE J. Photovolt. 3(3), 1070–1078 (2013) 7. Liu, Y.-H., Chen, J.-H., Huang, J.-W.: A review of maximum power point tracking techniques for use in partially shaded conditions. Renew. Sustain. Energy Rev. 41, 436–453 (2015) 8. Amit Kumer, P., Naruttam Kumar, R., Hemanshu Roy, P.: MPPT methods for solar PV systems: a critical review based on tracking nature. IET Renew. Power Gener. 13(10), 1615–1632 (2019) 9. Yang, B., Zhu, T., Wang, J., et al.: Comprehensive overview of maximum power point tracking algorithms of PV systems under partial shading condition. J. Clean. Product. 268, 121983 (2020) 10. Bahgat, A.B.G., Helwa, N.H., Ahmad, G.E., et al.: Maximum power point traking controller for PV systems using neural networks. Renew. Energy 30(8), 1257–1268 (2005) 11. Ali, M.N.: Improved design of artificial neural network for MPPT of grid-connected PV systems. In : 2018 Twentieth International, MEPCON, pp. 97–102. IEEE (2018) 12. Gradella, V.M., Rafael, G.J., Ernesto, R.F.: Comprehensive approach to modeling and simulation of photovoltaic arrays. IEEE Trans. Power Electron. 24(5), 1198–1208 (2009) 13. Nicola, F., Giovanni, P., Giovanni, S., et al.: Power Electronics and Control Techniques for Maximum Energy Harvesting in Photovoltaic Systems. CRC Press (2017) 14. Djamila, R., Ernest, M.: Optimization of Photovoltaic Power Systems: Modelization, Simulation and Control. Springer Science & Business Media (2012) 15. Neeraj, P., Sanjeevikumar, P., Pandav Kiran, M., et al.: An extensive practical investigation of FPSO-based MPPT for grid integrated PV system under variable operating conditions with anti-islanding protection. IEEE Syst. J. 13(2), 1861–1871 (2018) 16. Chu, C.-C., Chen, C.L.: Robust maximum power point tracking method for photovoltaic cells: A sliding mode control approach. Solar Energy 83(8), 1370–1378 (2009)
Experimental Analysis on Performance of a Solar Photovoltaic/Thermal (PV/T) Air Collector with a Single Pass Saadi Zine1(B) , Kouki Nadjat2 , Boukhlef Djedjiga1 , Allali Malika1 , Amina Bekraoui1 , and Abdelkrim Rouabhia1 1 Unité de Recherche en Energies Renouvelables en Milieu Saharien (URERMS), Centre de
Développement des Energies Renouvelables (CDER), 01000 Adrar, Algeria [email protected] 2 Department of Material Sciences, Ahmed Draia University, Adrar, Algeria
Abstract. Hybrid photovoltaic (PV/T) thermal collectors convert solar energy into electrical and thermal energy. This conversion allows on the one hand the cooling of the solar cells and on the other hand the exploitation of the resulting thermal energy to heat the water or space. In this study, an experimental estimation of thermal and electrical efficiencies of solar hybrid photovoltaic thermal (PV/T) collector can be integrated with drying chamber has been carried out. The system consists of two main parts as thermal solar collector and mono-crystalline PV module. The system was installed in the Research Unit in Renewable Energies in Saharan Medium, Adrar-Algeria. The absorbers were made is made of galvanized sheet metal integrated fins in horizontal direction. The test results showed that the thermal and electrical efficiencies of the PVT collector were, on average, 74.19% and about 9%, respectively. Keywords: Hybrid solar PVT collector · Baffles · Absorber · Cooling · Performance · Efficiency · Photovoltaic module
1 Introduction The combination of photovoltaic systems and solar thermal systems aims to produce electricity and heat at the same time. PV/T systems make it possible to have high yields and therefore a more efficient use. This combination has for the preservation of the environment through the reduction of the use of fossil fuels and maintenance simplification of costs related to energy production systems. The design of PV/T hybrid solar collectors has developed from the fact that more than half of the radiation incident on the photovoltaic cell is converted into heat. This heat can damage the structure of the photovoltaic cell. The heat recovered in a module can be used in many applications such as vegetable drying and heating etc. Several modifications have been introduced in the design and air movement in air collectors, including the use of absorber fins, and a multi-pass air flow 1–6]. Halewadimath et al. [7] studied and fabricated an indirect forced-natural convection solar air dryer to estimate © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 770–776, 2023. https://doi.org/10.1007/978-3-031-21216-1_78
Experimental Analysis on Performance of a Solar Photovoltaic/Thermal
771
its performance under dry and hot weather conditions of Hubli, Karnataka, India. The system has been tested experimentally for both natural and forced convections. The performances of two different convection systems were compared. The system was constructed of a solar flat plate collector, drying chamber, and a chimney. There were six baffles (fins) attached to the absorber plate to increase the turbulence of flow and increase the heat transfer area for heated air in the collector (Fig. 1).
Fig. 1. Single-channel glazed photovoltaic thermal (SCGPVT) module [8].
Several modifications have been introduced in the design and air movement in solar air collectors, including the use of fin absorbers, and multi-pass airflows. Sopian et al. [9] examined the effects of a bifacial air-based hybrid photovoltaic thermal PV/T solar collector using the first law of thermodynamics. They designed four bifacial modules composed of two path types which are single path and double path parallel flow. They found that the two parallel paths give a better efficiency of about 45 to 64%. Hajji et al. [10] performed a comparison between two PV/T models: The first model (A) uses a tube and sheet collector. The second model (B) was a hybrid air/water collector. Both models use a monocrystalline silicon photovoltaic. Authors designed a numerical model via MATLAB to find the different temperature layers of PV/T collectors. Model A presented higher electrical efficiency with 13.8% at a 0.06 kg/s flow rate, while model B’s efficiency was 11% at the same flow rate. Amori et al. [11] worked up on a flatplate PV/T collector. At a constant air velocity of 0.0991 kg/s, an average reduction of 15.52 °C in cell temperature was observed with a single-pass air channel compared to the PV system without cooling. The ηth, ηele, and ηT of the system were observed as 46%, 9.4%, and 55%, respectively. Aymen et al. [12] studied the working of a solar drying system connected to a solar collector under forced convection mode. The experiments were conducted to extract moisture from red paper and sultanas. They observed from the analyzes that 7 h to dry the red paper, while 17 h are needed to dry sultana grapes. The payback period of the solar drying system was found 1.6 years. In this study we present an experimental study of a solar hybrid photovoltaic thermal PVT collector under the desert climatic conditions of Adrar in winter, by introducing into the air gap absorber thin wooden obstacles called baffles to improve the PVT solar collector performance, The results obtained from the experimental study will be analyzed and interpreted.
772
S. Zine et al.
2 Description of Solar Hybrid PVT Hybrid Air Collector The system consists of a PV photovoltaic module (Fig. 2) with an inclined surface of 0.427 m2 , placed at the same angle of inclination as the PVT solar collector (at 27° to the horizon), the upper part of the solar collector is enclosed by a transparent glass of 0.52 m2 and 4.0 mm thickness, inclined at an angle of 27°, of a rectangular wooden box (Fig. 2) covered by a transparent glass, glued by a silicone, it allows to visualize the solar collector inside. The wooden box or box contains the elements of a solar collector, the photovoltaic panel PV type UDTS-50 monocrystalline to power two fans (DC); one to evacuate the air between the absorber and the glass and the second to evacuate the air below the photovoltaic panel.
Fig. 2. Component of the hybrid solar air-based PVT collector
The absorber is built-in the galvanized rectangular sheet of 0.424 m2 (1.06 cm * 0.4 cm) of surface and 0.5 mm thickness, painted in matt black to increase the absorption of solar rays (Fig. 2), this constitutes the essential element of the thermal solar collector, a glass wool layer of 20 mm thickness is placed behind absorber. In order to reduce heat losses through the back wall of the solar collector. Two fans to provide forced air convection above the absorber plate (Fig. 2). The baffled is shown in Fig. 2. It consists of 08 baffles of (15 cm * 1.5 cm * 1 cm) of rectangular shape placed with a space of 10 cm between each one; glued to a rectangular galvanized sheet (Fig. 3).
Experimental Analysis on Performance of a Solar Photovoltaic/Thermal
773
Fig. 3. Photography of the experimental setup.
3 Results and Discussion The main meteorological parameters characterizing the test days, namely: solar irradiation, and ambient temperature, are shown in Figs. 4 and 5, respectively. The meteorological parameters variation between test days is not significant as long as the days are successive according to the solar irradiation and ambient temperature profiles. The first test is represented by the day of 25/03/2022 which is characterized by an ambient temperature between 15.05 °C and 32.34 °C and by an intensity of solar irradiation (from 0 to 1042.46 W/m2 ), relative to the other test days. For the test (26/03/2022), the maximum solar irradiation reached 1048.79 W/m2 and the ambient temperature was moderate and between 12.93 and 34.91 °C. 1200
40
03/25/2022 03/26/2022
03/25/2022 03/25/2022
Ambient temerature (∞C)
Solar radiation (W/m2)
1000
800
600
400
200
30
20
0 10
07
08
09
10
11
12
13
14
15
16
17
18
19
20
Time (hr)
Fig. 4. Variation of the global solar radiation during the test days.
07
08
09
10
11
12
13
14
15
16
17
18
19
20
Time (hr)
Fig. 5. Ambient temperature variation during the test days
The main temperatures describing the thermal behavior of different parts of the solar collector inlet air (Tin-air ), the absorber (Tabs ), air outlet temperature (Tout-air ) and the ambient (Tamb ) temperature for the two days of testing for different mass flow rates are shown in Fig. 6. According to the figures the temperature profiles clearly show the climatic conditions effect on the solar collector thermal behavior during the test days. It is also clear that the temperature profile for the solar collector is generally proportional to the solar irradiation profile. During the tests, the electrical outputs (Im current and Vm voltage) of PV panel connected to the fan were measured. Electrical efficiency depends mainly on the incoming
774
S. Zine et al. 90
Tint_air Tabs Tout_air Tamb
80
Tint_air Tabs Tout_air Tamb
60
Temperature (∞C)
Temperature (∞C)
70
70
60 50 40 30 20
03/25/2022 Vair=3.6 m/s
10
50 40 30 20
26/03/2022 Vair=1.3 m/s
10
0
0 07
08
09
10
11
12
13
14
15
16
17
18
19
20
07
08
09
10
11
12
13
14
15
16
17
18
19
20
Time (hr)
Time (hr)
Fig. 6. Temperature variation at different locations in the solar PVT
solar radiation (G) and the temperature of PV panel. It is calculated with the following equation [13]: ηele = Im .Vm /Spv .G
(1)
where: Spv is the modul area. Figure 7 shows the electrical efficiency variation over the test day. According to the graphs, the efficiency at the beginning of the day increases slightly and decreases with the increase of solar radiation for an air velocity equal to 1.3 m/s. The electrical power increases at the beginning of the morning until reaching a maximum value, and subsequently with the increase of solar radiation; a slight decrease until it stabilizes at constant values, at the end of the day the electrical efficiency decreases.
14
800
12 10
600
8 6
400
4 200
0.8 Solar radiation Thermal efficiency
1000
Solar raidation (W/m2)
26/03/2022 Vair=1.3 m/s
Electrical efficiency %
1000
Solar radiation (W/m2)
1200
16 Solar radiation Electrical efficiency
0.7
26/03/2022 Vair=1.3 m/s
800
0.6 0.5 0.4
600
0.3 400 0.2 200
Thermal efficiency
1200
0.1
2 0
0
0.0
0
-0.1 07
08
09
10
11
12
13
14
15
16
17
18
19
20
Time (hr)
Fig. 7. Solar radiation effect on electrical efficiency
07
08
09
10
11
12
13
14
15
16
17
18
19
20
Time (hr)
Fig. 8. Solar irradiance effect on thermal efficiency
Thermal efficiency variation of hybrid solar collector PVT, for the test of 26 March 2022, is illustrated in Fig. 8. The thermal efficiency of the solar collector is important when the intensity of the solar radiation is high. The calculation shows that the maximum thermal efficiency of the hybrid solar PV/T collector is 74.19% for a mass flowrate of 0.01013 kg/s.
4 Conclusion In this study we presented an experimental study of solar hybrid thermal photovoltaic collector (PVT) with baffles, this solar collector was carried out at the Research Unit
Experimental Analysis on Performance of a Solar Photovoltaic/Thermal
775
in Renewable Energies in Saharan Medium, Adrar-Algeria., From these experimental results we found: • The modification of absorber plate designs can be opted to achieve higher thermal performance. • The addition of baffles causes obstruction and disturbance of the coolant flow (air) and consequently an increase in the temperature of solar collector elements. • The development of hybrid PV/T air collectors is a very promising area of research. • Today, PV/T air collectors using in greenhouse, solar drying, The building and solar air heater.
References 1. Fudholi, A., et al.: Review of solar photovoltaic/thermal (PV/T) air collector. Int. J. Electr. Comput. Eng. 9(1), 126 (2019). https://doi.org/10.11591/ijece.v9i1.pp126-133 2. Suman, S., Khan, M.K., Pathak, M.: Performance enhancement of solar collectors - A review. Renew. Sustain. Energy Rev. 49, 192–210 (2015). https://doi.org/10.1016/j.rser.2015.04.087 3. Vengadesan, E., Senthil, R.: A review on recent developments in thermal performance enhancement methods of flat plate solar air collector. Renew. Sustain. Energy Rev. 134 (2020). https://doi.org/10.1016/j.rser.2020.110315 4. Irshad, M., Yadav, A., Singh, R., Kumar, A.: Mathematical modelling and performance analysis of single pass flat plate solar collector. In: IOP Conference Series: Materials Science and Engineering, vol. 404, no. 1 (2018). https://doi.org/10.1088/1757-899X/404/1/012051 5. Yang, M., Wang, P., Yang, X., Shan, M.: Experimental analysis on thermal performance of a solar air collector with a single pass. Build. Environ. 56, 361–369 (2012). https://doi.org/10. 1016/j.buildenv.2012.04.009 6. González, S.M., Larsen, S.F., Hernández, A., Lesino, G.: Thermal evaluation and modeling of a double-pass solar collector for air heating. Energy Procedia 57, 2275–2284 (2014). https:// doi.org/10.1016/j.egypro.2014.10.235 7. Halewadimath, S.S., Subbhapurmath, P., Havaldar, N., Hunashikatti, K., Gokhale, S.: Experimental analysis of solar air dryer for agricultural products. Int. Res. J. Eng. Technol. 2(3/jun/2015), 1517–1523 (2015). https://www.irjet.net/archives/V2/i3/Irjet-v2i3234.pdf 8. Singh, S., Agrawal, S.: Parameter identification of the glazed photovoltaic thermal system using Genetic Algorithm-Fuzzy System (GA-FS) approach and its comparative study. Energy Convers. Manag. 105, 763–771 (2015). https://doi.org/10.1016/j.enconman.2015.08.027 9. Sopian, K., Ooshaksaraei, P., Fudholi, A., Zulkifli, R., Zaidi, S.H., Kazeem, H.A.: Performance characterization of single-path and double-path air-based bifacial photovoltaic thermal solar collector. Recent Advances in Energy, Environment and Geology (2013) 10. Hajji, M., Naimi, S.E., Hajji, B., El Hafyani, M. L.: A comparative study between two structures of hybrid photovoltaic/thermal (PV/T) collectors for water pumping systems. In: Proceedings of 2014 International Renewable and Sustainable Energy Conference, IRSEC 2014, pp. 235–240 (2014). https://doi.org/10.1109/IRSEC.2014.7059745 11. Amori, K.E., Abd-AlRaheem, M.A.: Field study of various air based photovoltaic/thermal hybrid solar collectors. Renew. Energy 63, 402–414 (2014). https://doi.org/10.1016/j.renene. 2013.09.047
776
S. Zine et al.
12. Elkhadraoui, A., Kooli, S., Hamdi, I., Farhat, A.: Experimental investigation and economic evaluation of a new mixed-mode solar greenhouse dryer for drying of red pepper and grape. Renew. Energy 77, 1–8 (2015). https://doi.org/10.1016/j.renene.2014.11.090 13. Zondag, H.A., de Vries, D.W., van Helden, W.G.J., van Zolingen, R.J.C., van Steenhoven, A.A.: The yield of different combined PV-thermal collector designs. Sol. Energy 74(3), 253– 269 (2003). https://doi.org/10.1016/S0038-092X(03)00121-X
Simulation of a Cavity Ventilated by Air Displacement Using the Lattice Boltzmann Method A. Arab1(B) , N. Himrane1 , Z. Hireche2 , Y. Halouane1 , and D. E. Ameziani2 1 Laboratory of Energy and Mechanical Engineering, Faculty of Technology, University
M’hamed Bougara of Boumerdes, Boumerdes, Algeria [email protected] 2 Laboratory of Multiphase Transport and Porous Media, Faculty of Mechanical and Proceeding Engineering, University of Sciences and Technology Houari Boumediene, Algiers, Algeria
Abstract. This work consists in numerically simulating a double diffusive mixed convection in a cavity ventilated by two diagonally opposed openings in the presence of a porous partition, fixed in the middle of its base and modeled by the Darcy-Brinkman-Forchheimer model. The right-side wall is brought to a constant warm temperature while the other walls are kept adiabatic. The Lattice Boltzmann method with a multiple relaxation time (MRT) is used for the mathematical resolution. The results are illustrated in terms of streamlines, isotherms and isoconcentrations as a function of different control parameters (Reynolds number, Rayleigh number) for a Darcy value Da = 10−6 and a height of the porous partition Hp = 0.6. The influence of these parameters on the depollution efficiency was also studied. It has been concluded that the optimal pollution efficiency is obtained at maximum Reynolds values related to the incoming air flow. Also, it was possible to approve the efficiency of the model proposed in this study to evacuate the maximum of heat and pollutants to decrease the ambient temperature as well as the concentration of pollutants. This study aims to provide guidelines to the building constructors in order to obtain a better air quality and ensure a good thermal comfort to the occupants. Keywords: Lattice Boltzmann method · Ventilation by displacement · Thermal comfort · Depollution
1 Introduction Human beings spend most of their time in enclosed spaces. If outdoor air is polluted, indoor air is often of poorer quality. Poor indoor air quality has been ranked among the top environmental risks to public health. This requires ventilation systems that reduce the contaminants that could be found inside the premises, ensure hygiene, thermal comfort and the preservation of air quality. Indeed, the thermal comfort and the good quality of the air in the habitat, are linked to the temperature of the ambient air and to the concentrations of pollutants, thus to the thermal and mass transfer. These transfer phenomena, often © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 777–784, 2023. https://doi.org/10.1007/978-3-031-21216-1_79
778
A. Arab et al.
assimilated to closed or ventilated cavities, are controlled by natural, mixed or forced convection induced by the different boundary conditions related to the various types of applications. To this end, many studies have been undertaken by many researchers concerning ventilation [1, 2], pollution control [3, 4] or both phenomena simultaneously in the case of our study. However, the use of a porous wall seems to be better if it is highly permeable, which is already validated by [5]. This study deals with the mixed double diffusive convection in a cavity ventilated by air displacement in the presence of a porous obstacle in its middle while imposing a thermal heating on the right side as shown in Fig. 1 (Physical model). The resolution method used is the Lattice Boltzmann method with a multiple relaxation time LB-MRT. Unlike traditional methods, LBM is characterized by its numerical stability, accuracy, simplicity of implementation, high parallelism and adaptability to complicated configurations. It characterizes a very large domain of physical phenomena such as double diffusive convection [6], flows in porous media [7, 8] and can be used to study unsteady and incompressible flows. It is therefore legitimate for us to choose this method.
2 Materials and Methods In this study, the lattice Boltzmann method was used to simulate fluid flow and transport phenomena. Due to its nonlinearity, this method is advantageous, it does not present any nonlinear terms in its mathematical formulation. Moreover, it is explicitly unsteady and can therefore approximate the temporal variation of the temperature as well as the concentration of pollutants within the cavity. It is based on an algorithm that simulates the Boltzmann transport equation, derived from gas kinetics. In general, it presents a meso-scopic approach of fluids in a domain discretized by a Cartesian mesh. Fluid flow is described in terms of distribution functions that evolve in space and time with the DnQm model, where “n” denotes the dimensions and “m” the discrete velocities. This model follows two steps: propagation and collision. The Boltzmann equation with a multi relaxation time (MRT) is expressed [9]: eq (1) fj (xi , +vi t; t + t) = fj (xi , t) − M −1 S mj (xi , t) − mj (xi , t) The collision process is performed in moment space with a square matrix M. The discrete distribution function fj is associated with the moment vector m with the D2Q9 model as follows: Mfj = mj m0 , m1 , . . . ., mj (2) fj = M −1 mj m0 , m1 , . . . ., mj
(3)
Simulation of a Cavity Ventilated by Air Displacement
M −1 is the inverse of M ⎤ ⎛ ⎞ ⎛ ⎞ ⎡ f0 ρ 1 1 1 1 1 11 1 1 ⎢ 0 λ 0 −λ 0 λ −λ −λ λ ⎥ ⎜ f1 ⎟ ⎜ jx ⎟ ⎥ ⎜ ⎟ ⎜ ⎟ ⎢ ⎢ 0 0 1 0 −λ λ λ −λ −λ ⎥ ⎜ f ⎟ ⎜ j ⎟ ⎥ ⎜ 2⎟ ⎜ y ⎟ ⎢ ⎢ −4 −1 −1 −1 −1 2 2 2 2 ⎥ ⎜ f ⎟ ⎜ E ⎟ ⎥ ⎜ 3⎟ ⎜ ⎟ ⎢ ⎥ ⎜ ⎟ ⎜ ⎟ ⎢ ⎢ 4 −2 −2 −2 −2 1 1 1 1 ⎥ ∗ ⎜ f4 ⎟ = ⎜ ε ⎟ ⎥ ⎜ ⎟ ⎜ ⎟ ⎢ ⎢ 0 −2 0 2 0 1 1 −1 −1 ⎥ ⎜ f5 ⎟ ⎜ ϕx ⎟ ⎥ ⎜ ⎟ ⎜ ⎟ ⎢ ⎢ 0 0 −2 0 2 1 1 −1 −1 ⎥ ⎜ f6 ⎟ ⎜ ϕy ⎟ ⎥ ⎜ ⎟ ⎜ ⎟ ⎢ ⎣ 0 −1 1 1 −1 0 0 0 0 ⎦ ⎝ f7 ⎠ ⎝ pxx ⎠ 0 0 0 0 0 1 −1 1 −1 f8 pyy
779
(4)
ρ is the density, jx and jy are the momentum components of momentum flux, E is the kinetic energy, ε is the second-order energy, ϕx and ϕy are the energy flux components, pxx and pyy are two diagonal components of the shear tensor. S is a diagonal matrix containing the different relaxation times. S = diag(s0 , s1 , s2 , s3 , s4 , s5 , s6 , s7 , s8 )
(5)
Note that the first three moments are conserved (density and momentum in both directions). While the other six moments are non-conserved and are linearly relaxed in time. The collision is performed in the space of moments through an operator that has this indexical form: m∗j (x, t) = mj (x, t) + Sj (mj (x, t) − mj (x, t)), j = 3 . . . .8 eq
(6)
m∗j and mj Represent the non-conserved moments after and before the collision, respeceq tively, Sj are the relaxation rate elements of S and mj are the corresponding equilibrium moments evaluated by: eq eq eq eq eq eq eq eq mj = Mfj = ρ eq , jx , jy , E eq , εeq , ϕx , ϕy , pxx , pyy (7) fj
eq
represents the vector of the equilibrium function. 3 2 9 eq fj = ρwj 1 + cj u + cj .u − u , j = 0, 8 2 2
(8)
The weight factors wj are given: w0 = 4/9; w1,4 = 1/9; w5,8 = 1/36. Also, the discrete velocities for the D2 Q9 model are defined: ⎫ ⎧ 0 (0, 0) → j = ⎪ ⎪ ⎬ ⎨ π π cos(j − 1) , sin(j − 1) → j = . . . 4) (1, (9) cj = 2 2√ ⎪ ⎭ ⎩ cos(2j − 9) π , sin(2j − 9) π 2 → j = (5, . . . 8) ⎪ 4 4 The D2Q5 model is proposed to simulate the evolution of temperature and concentration. This simplification does not decrease the accuracy of the model because it is validated by several works [9–10]. In this case the Lattice Boltzmann equation becomes: eq gj (xi , +vi t; t + t) = gj (xi , t) − N −1 E nj (xi , t) − nj (xi , t) (10)
780
A. Arab et al.
gj (xi , t) is the distribution function of temperature and concentration. The same procedure as the previous model, N is the projection matrix in the moment space such that: (11) Ngj = nj n0 , n1 , . . . ., nj ; n = 0, 4 ⎤ ⎛ ⎞ ⎡ ⎤ g0 n0 1 11 1 1 ⎢ 0 1 1 −1 0 ⎥ ⎜ g ⎟ ⎢ n ⎥ ⎢ ⎥ ⎜ 1⎟ ⎢ 1⎥ ⎢ ⎥ ⎜ ⎟ ⎢ ⎥ ⎢ 0 0 1 0 −1 ⎥ ∗ ⎜ g2 ⎟ = ⎢ n2 ⎥ ⎢ ⎥ ⎜ ⎟ ⎢ ⎥ ⎣ −4 1 1 1 1 ⎦ ⎝ g3 ⎠ ⎣ n3 ⎦ 0 1 −1 1 −1 g4 n4 ⎡
(12)
Fig. 1. Physical model adopted with associated boundary conditions
The boundary conditions applied to this problem are defined as follows: • The left vertical wall: U (0, Y ) = V (0, Y ) = 0,
∂θ = 0, ∂X X =0
0≤Y ≤1
• The right vertical all: U (2, Y ) = V (2, Y ) = 0,
θ = 1,
0 ≤ Y ≤ 0, 9
• Horizontal surfaces: U (X , 0) = V (X , 0) = 0 U (X , 1) = V (X , 1) = 0,
∂θ ∂θ = = 0, ∂y y=0 ∂y y=1
0≤X ≤2
Simulation of a Cavity Ventilated by Air Displacement
• The inlet:
U = 1 et V = 0,
θ = 0,
X =0 0 ≤ Y ≤ 0, 1
• The outlet: ∂U = 0 et V = 0, ∂X
781
∂θ = 0, ∂X
X =2 0, 9 ≤ Y ≤ 1
3 Results and Discussion Figures 2, 3 and 4 represent the evolution of streamlines, isotherms and isoconcentrations respectively from top to bottom as a function of Reynolds number (100, 250, 500) and Rayleigh (102 ≤ Ra ≤ 106 ) for the case Hp = 0.6 and Da = 10−6 . The evolution of the streamlines shows that the incoming fluid jet bypasses the porous obstacle whatever the Reynolds value, in this case the porous separation behaves like a solid with very low permeability. The increase of Re or Ra generates counter-rotating cells and dead zones. Whatever the Reynolds value, we notice a stratification of the isotherms for low values of Rayleigh (Ra < 104 ) followed by a stratification of the iso concentrations of the same form so that there is always a zone on the right side of the wall at high temperature and concentration. The increase of Rayleigh narrows this stratification until the thermal boundary layer at the heated wall is flattened. For maximum Rayleigh values (Ra = 106 ) the effect of thermal forcing on the concentration iso is no longer remarkable. In this case the concentration field is controlled directly by the streamlines such that the incoming jet crosses the porous obstacle and follows its path to the outlet while creating two vortices at its two sides where the pollutant concentrations are high. This is most visible in the case Re = 250, 500. = 102
= 104
= 105
= 106
1 0,9 0,8 0,7 0,6 0,5 0,4 0,3 0,2 0,1 0
0,04
0,034
0,028
0,022
0,016
0,01
0,004
Fig. 2. Streamlines, isotherms and iso concentrations Re = 100, Hp = 0.6, Da = 10−6 .
782
A. Arab et al. = 102
= 104
= 105
= 106
1 0,95 0,9 0,85 0,8 0,75 0,7 0,65 0,6 0,55 0,5 0,45 0,4 0,35 0,3 0,25 0,2 0,15 0,1 0,05 0
0,13 0,12 0,11 0,1 0,09 0,08 0,07 0,06 0,05 0,04 0,03 0,02 0,01 0
Fig. 3. Streamlines, isotherms and iso concentrations Re = 250, Hp = 0.6, Da = 10−6 . = 102
= 104
= 105
= 106
1 0,9 0,8 0,7 0,6 0,5 0,4 0,3 0,2 0,1 0
0,007 0,006 0,005 0,004 0,003 0,002 0,001 0
Fig. 4. Streamlines, isotherms and iso concentrations Re = 500, Hp = 0.6, Da = 10−6 .
Figure 5 and Fig. 6 show the evolution of the average temperature and concentration in the cavity as a function of dimensionless time t* for different Reynolds values for the case (Hp = 0.6; Da = 10−6 and Ra = 106 ). At the beginning as initial condition t = 0 ; C = C max = 1 and T = T max = 0.5, then we observe a decrease of the average temperature and concentration during the time until the culmination of an established regime t → ∞ where they reach minimum values (practically zero). We can say that the cavity is completely ventilated and depolluted which guarantees the reliability of this ventilation system. The influence of number of Re is clearly visible on the curves; it serves to accelerate the process of ventilation and depollution.
Simulation of a Cavity Ventilated by Air Displacement
783
Figure 7 shows the evolution of the depollution efficiency as a function of time for different Reynolds values. It can be seen that ηd depends strongly on the Reynolds number, increasing the Reynolds number reduces the time needed to completely depollute the cavity, which is called residence time. This result is already validated by (2–3). 1,0 Re=100 Re=250 Re=500
0,5
Re=100 Re=250 Re=500
1,0
0,8
0,4
_
0,8
_ C
0,3
T
0,6
ηd
0,4
0,2
Re=100 Re=250 Re=500
0,4
0,2
0,1
0,6
0,2
0,0
0,0 0
10
20
30
40
t*
50
60
70
0
10
20
30
40
50
60
70
t*
Fig. 5. Average temperature Fig. 6. Average Concentration for Hp = 0.6, Da = for Hp = 0.6, Da = 10−6 and Ra = 106 . 10−6 and Ra = 106 .
0
10
20
30
40
50
60
70
t*
Fig. 7. Depollution efficiency for Hp = 0.6, Da = 10−6 and Ra = 106 .
4 Conclusion In this paper, we have numerically studied the double diffusive mixed convection within a rectangular cavity ventilated by cold and fresh air displacement, in the presence of a porous partition fixed in the middle of its base. The right-side wall is maintained at a warm temperature while the other walls are kept adiabatic. The Lattice Boltzmann method with a multiple relaxation time (MRT) was used for the mathematical resolution. The effect of the different control parameters on the two phenomena of ventilation and depollution of the cavity was analyzed. The analysis of the results obtained allows us to conclude that: – The occurrence of discomfort zones and uncleaned zones depends strongly on the control parameters Re and/or Ra . – For 102 ≤ Ra ≤ 104 the forced convection is dominate, the pollutant concentration field is controlled directly by the thermal field. While for Ra = 106 , follows the dynamic field (stream functions). – The increase of Reynolds number linked to the incoming air flow, accelerates the process of ventilation and depollution in the cavity. – The ability of a system to clean indoor air is measured in terms of its depollution efficiency and residence time, influenced by various control parameters.
References 1. Gan, G.: Impact of computational domain on the prediction of buoyancy-driven ventilation cooling. Build. Environ. 45(5), 1173–1183 (2010) 2. Hireche, Z., Himrane, N., Nasseri, L., Hamrioui, Y., Ameziani, D.E.: Analysis of thermal performances in a ventilated room using LBM-MRT: effect of a porous separation. Computation 10(1) (2022)
784
A. Arab et al.
3. Lage, J.L., Bejan, A., Anderson, R.: Efficiency of transient contaminant removal from a slot ventilated enclosure. Int. J. Heat Mass Transf. 34(10), 2603–2615 (1991) 4. Lage, J.L., Bejan, A., Anderson, R.: Removal of contaminant generated by a discrete source in a slot ventilated enclosure. Int. J. Heat Mass Transf. 35(5), 1169–1180 (1992) 5. Zhao, F.Y., Rank, E., Liu, D., Wang, H.Q., Ding, Y.L.: Dual steady transports of heat and moisture in a vent enclosure with all round states of ambient air. Int. J. Heat Mass Transf. 55(23–24), 6979–6993 (2012) 6. Huang, R., Wu, H.: A modified multiple-relaxation-time lattice Boltzmann model for convection–diffusion equation. J. Comput. Phys. 274, 50–63 (2014) 7. Mehrizi, A.A., Sedighi, K., Afrouzi, H.H., Aghili, A.L.: Lattice Boltzmann simulation of forced convection in vented cavity filled by porous medium with obstruction. World Appl. Sci. J. 16, 31–36 (2012) 8. Liu, Q., He, Y.L.: Multiple-relaxation-time lattice Boltzmann model for simulating doublediffusive convection in fluid-saturated porous media. Int. J. Heat Mass Transf. 127, 497–502 (2018) 9. Mohamad, A.A.: Lattice Boltzmann Method: Fundamentals and Engineering Applications with Computer Code. Springer, Berlin (2011)
Application of New Optimization Algorithm for Parameters Estimation in Photovoltaic Modules Lakhdar Chaib1(B) , Abdelghani Choucha1 , Mohammed Tadj1 , and Fatima Zahra Khemili2 1 University of Tamanrasset, Tamanrasset, Algeria
[email protected] 2 University of Jijel, Jijel, Algeria
Abstract. An efficient parameter estimation technique is presented in this paper for photovoltaic (PV) module/cell. The necessary parameters of PV module/cell are missed from manufacturer data sheet for achieving the system modelling. Therefore, parameter extraction of PV solar cell/module is of paramount significance for accurate modelling. A robust parameter estimation technique is required to estimate the unknown parameters of the system PV module/cell. A new Harris hawk optimizer (HHO) algorithm is considered to obtain the model parameters of PV systems. The employed HHO algorithm has the advantages of global search capability, high convergence speed and high efficiency over the classical method. In order to prove the effectiveness of HHO algorithm, it is applied to optimize the various models parameters of PV module/cell. The model considering optimized parameters is tested through experimental data under various weather situations and found matching precisely with measured values. The calculated results prove the superiority of the proposed scheme in solving the studied optimization problem. Lowest amount of error is achieved for both current-voltage (I-V) and power-voltage (P-V) characteristics. Keywords: Solar energy · Parameter extraction · Harris hawk optimizer · Experimental data
1 Introduction The high augment in solar energy generation is allowed to advanced field research and to the free availability of solar energy in the day time in several countries around world [1]. Therefore, field development is important for an accurate design of cells and PV modules that can predict the power delivery from the PV system [2]. Through elementary functions, analytical methods afford fast results and are easy to implement, but because of imprecision, they undergo under changing climate operating conditions. This problem is regarding to the parameter extraction based on several main points in the current-voltage curve. To overcome the mentioned issue, optimization © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 785–793, 2023. https://doi.org/10.1007/978-3-031-21216-1_80
786
L. Chaib et al.
algorithms are recently involved to treat accurately nonlinear implicit equations. Bioinspired optimization techniques are developed as robust manner to solve nonlinear transcendental equations without considering complex mathematical computations [3]. For that reason, several optimization techniques were applied in the literature for extracting PV cell/module parameters. Some of these techniques are particle swarm optimization (PSO) [4], genetic algorithm (GA) [5], grasshopper optimization algorithm (GOA) [6], cat swarm algorithm (CSO) [7], algorithm optimization of the improved JAYA (IJAYA) [8]. The efficacious and precise modeling of solar PV system cells/modules is one of the challenging issues and most essential with solar PV systems. These difficult troubles are commonly appeared by the nonlinear characteristics of solar PV system considering the unavailability of all their parameters [9]. It is extremely necessary to set a precise model to be utilized in the numerical simulation research, to accurately assess the actual behavior of solar PV system, it. The estimation models can be employed to optimize the solar PV system operation and concept a high-effectiveness regulator. In the literature, various mathematical models have been suggested to describe the PV characteristics under wide range of operating conditions, the most ordinary of which is the diode based model. Firstly, the single-diode model (SDM) considers the simplest configuration with 5 unknown parameters [10]. Secondly, the double-diode model (DDM) has the structure more details than the SDM including 7 unknown parameters. Lastly, the three-diode model (TDM) considers even a more accurate model since it represents the effects of the leakage current coefficients, carrier recombination and grain boundaries; the TDM includes 9 unknown parameters. The methodology in this work to exhibit the performance of the suggested method is, first, the PV cell/module parameters are achieved for several module models based on SDM as well, and the calculated results are tabulated. Consequently, in order to check the practical employment of the Harris hawk optimizer (HHO) algorithm, it was tested to extract the parameters of well-known types of commercial modules considering the effect of irradiance and temperature changes. Then, the impact of the temperature and irradiance operating conditions are considered on the model parameters value, as a result of which it was noted that the irradiance and temperature changing touched directly the photo-current and the diode saturation current values, respectively, while the other parameters of PV system model remained constant. The obtained results substantiate the high performance and rapid convergence of the HHO algorithm. In the present work, we suggest a recent numerical method to obtain the parameters of the mathematical models of PV cells/modules, based on HHO algorithm, via experimental data under several operating conditions. The mentioned scheme avoids premature convergence and always guarantees rapid convergence and global exploration. This distinct capability of the algorithm is due to fact that the search region surrounds the global best position. The SDM model is selected for estimating the model parameters of PV cell/module, we noted that the achieved results were very similar to the experimental data values of PV cell/module, which confirm the high performance accuracy of the suggested scheme. The paper is organized in the following form: Sect. 2 formulates the main mathematical models for PV cells/modules based on single-diode model; Sect. 3; reviews the
Application of New Optimization Algorithm for Parameters Estimation
787
HHO algorithm; Sect. 4 analyses the efficiency of the suggested methodology. Finally, Sect. 5 concludes the study.
2 Mathematical Model The single diode model (SDM) is broadly applied as described before to represent the PV module due its simple and accurate model. Therefore single diode model as shown in Fig. 1 is considered here for parameter estimation and analysis. Applying KCL the output current of the PV module can be written as: Ipv = Iph − Id − Ish Id denoted the current through the diode and exposed as: Vpv + Rs Ipv −1 Id = I0 exp Ns Vt a
(1)
(2)
where, Vt represents the thermal voltage, it can be mathematically formulated as Vt = kT /Q in which k is the Boltzmann constant (1.38 × 10−23 J/K), q represents the electric charge (1.602 × 10−19 C). T in Kelvin is the cell temperature. n is the ideality factor of the diode. Ns is the number of series cells included in the PV module. The current of shunt resistance of the PV module is formulated using the following equation: Vpv + Rs Ipv (3) Rsh PV output current can be obtained by substituting Id and Ish from Eq. (2) and Eq. (1) respectively which gives as; Vpv + Rs Ipv Vpv + Rs Ipv −1 − Ipv = Iph − I0 exp (4) Ns Vt a Rsh Ish =
The PV cell/module characteristics are directly affected upon the environmental condition. Several parameters of PV module as short circuit current (Isc ) open such , maximum power point current Impp light-generated current I circuit voltage ), (V oc pg and voltage Vmpp of the PV module are change with weather conditions and are given by Eqs. (5)–(9) respectively [11]: G GSTC G Isc = [Isc,STC + KI dt] GSTC G + Kv dt Voc = Voc,STC + Vt ln GSTC G + Kv dt Vmpp = Vmpp,STC + Vt ln GSTC G (1 + KI dt) Impp = Impp,STC ln GSTC Ipg = [Ipg,STC + KI dt]
(5) (6) (7) (8) (9)
Therefore, the SDM included 5 unknown parameters θ = [Iph I0 Rs Rsh n] that can be extracted.
788
L. Chaib et al.
Fig. 1. Single diode model of PV module.
3 Simulation Results In this section, the implementation and performance of HHO algorithm was evaluated for the determination of the PV model parameters. In order to confirm the mentioned technique, experimental data were investigated from two case studies, attained under different irradiance and temperature conditions. Case study 1 deals with the PhotowattPWP201 PV module with 36 polycrystalline silicon cells associated in series, employing under a 30 °C temperature and 1000 W/m2 irradiance. Case study 2 deals with a RTC France commercial silicon PV cell, employing under a 33 °C temperature and 1000 W/m2 irradiance [12]. All cases of study have been implemented in Matlab. To validate the performance of the algorithm, a SDM has been implemented in different irradiance conditions. The well-known compatible model of SDM has been investigated to extract the characteristic curves. The proposed HHO is utilized here to estimate the parameters of PV model/cell. It should be denoted that the proposed algorithm of HHO has been employed under 500 iterations and 30 numbers of population. We can define the root mean square error (RMSE) as; N 1 (Ii,mes −Ii,est (x))2 (10) RMSE =
N i=1
ˆ is the where N represents a set of empirical points (I,V) measured with i ∈ N, and I(V) estimated value of the current. Case study 1: Parameter estimation of Photowatt-PWP201 PV Module. In order to examine the performance of the suggested method at specified irradiance and temperature level ((1000 W/m2 at 30 °C). The formulated problem were done by adopting a robust cost function RMSE. RMSE were employed according to the objective function for each evaluation function. The selected trial is the minimum amount of cost function after several functions.
Application of New Optimization Algorithm for Parameters Estimation
789
Table 1. Estimated Model Parameters for SDM of Photowatt module.
Photowatt-PWP201
Iph (A)
I0 (μA)
Rsh ()
Rs ()
A
RMSE
1.0432
4.0244
368.1771
1.2908
1.4377
0.0120
Fig. 2. Convergence trends WSO based model of Photowatt-PWP201 solar module.
Figure 2 presents the RMSE values obtained for each iteration. To test the values of estimated parameters as mentioned in Tables 1, the system model were performed under the optimized parameters to acquire the I-V and P-V curves as shown in Figs. 3. Table 2 exposes the experimental data compared to the attained data for the I-V curve according to the minimum cost function. It can be seen from the obtained results that there was a best correspondence between the estimated curves and experimental data, under operating condition, for the single-diode model, which prove the high performance of HHO algorithm.
Fig. 3. Power-Voltage (P-V) and Current-Voltage (I-V) characteristics curve for extracted and experimental data values for SDM of Photowatt-PWP201 PV Module.
Case study 2: Parameter estimation of R.T.C. France solar cell.
790
L. Chaib et al. Table 2. Voltages and currents for Photowatt-PWP201 module
V measured I measured I estimated Error
V measured I measured I estimated Error
−1.9426
1.0345
1.0448
0.0103 12.649
0.912
0.8963
0.0157
0.1248
1.0315
1.0392
0.0077 13.1231
0.8725
0.8552
0.0173
1.8093
1.03
1.0346
0.0046 14.2221
0.7265
0.7092
0.0173
3.3511
1.026
1.0303
0.0043 14.6995
0.6345
0.6187
0.0158
4.7622
1.022
1.0263
0.0043 15.1346
0.5345
0.5201
0.0144
6.0538
1.018
1.0222
0.0042 15.5311
0.4275
0.4164
0.0111
7.2364
1.0155
1.0177
0.0022 15.8929
0.3185
0.3102
0.0083
8.3189
1.014
1.0121
0.0019 16.2229
0.2085
0.2041
0.0044
9.3097
1.01
1.0041
0.0059 16.5241
0.101
0.0996
0.0014
10.2163
1.0035
0.9921
0.0114 16.7987
−0.008
−0.0017
0.0063
11.0449
0.988
0.9738
0.0142 17.0499
−0.111
−0.0991
0.0119
11.8018
0.963
0.9465
0.0165 17.2793
−0.209
−0.192
0.017
12.4929
0.9255
0.9076
0.0179 17.4885
−0.303
−0.2797
0.0233
The 5 parameters for the singe-diode model were estimated based on experimental data from the R.T.C. France SDM solar cell (1000 W/m2 at 33 °C). The experimental curve had 26 point of I-V data. Equation (10) represent the RMSE which is investigated to assess the cost function. A way of setting estimated parameters is the evaluation of their cost function, when the new cost function is evaluated. The actual RMSE has been obtained in coordination with the extracted parameters after achieving the best solution. After the numerous trials, the success run had the minimum cost function for each case. The achieved parameters according to the minimum cost function are shown in Table 3. Otherwise, the cost function for the R.T.C. France SDM solar cell at 33 °C. is presented in Fig. 4. Table 3. Estimated Model Parameters for SDM of R.T.C. France Solar Cell.
RTC France cell
Iph (A)
I0 (μA)
Rsh ()
Rs ()
A
RMSE
0,7634
0,7856
30,8762
0,0286
1,5779
0,0046
Application of New Optimization Algorithm for Parameters Estimation
791
Fig. 4. Convergence trends WSO based model of R.T.C. France solar cell.
Table 4. Voltages and currents for Photowatt-PWP201 module V measured I measured I estimated Error
V measured I measured I estimated Error
−0.2057
0.764
0.7694
0.0054 0.4137
0.728
0.7226
0.0054
−0.1291
0.762
0.7669
0.0049 0.4373
0.7065
0.7022
0.0043
−0.0588
0.7605
0.7646
0.0041 0.459
0.6755
0.6713
0.0042
0.0057
0.7605
0.7625
0.002
0.632
0.6288
0.0032
0.0646
0.76
0.7606
0.0006 0.496
0.573
0.5727
0.0003
0.1185
0.759
0.7589
0.0001 0.5119
0.499
0.5032
0.0042
0.1678
0.757
0.7572
0.0002 0.5265
0.413
0.4199
0.0069
0.2132
0.757
0.7556
0.0014 0.5398
0.3165
0.3251
0.0086
0.2545
0.7555
0.7539
0.0016 0.5521
0.212
0.2197
0.0077
0.2924
0.754
0.7518
0.0022 0.5633
0.1035
0.1081
0.0046
0.3269
0.7505
0.7488
0.0017 0.5736
−0.01
−0.0083
0.0017
0.3585
0.7465
0.7439
0.0026 0.5833
−0.123
−0.1299
0.0069
0.3873
0.7385
0.7359
0.0026 0.59
−0.21
−0.2206
0.0106
0.4784
The obtained parameters are substituted in system modeling to draw the I-V and P-V curves, and to confirm the performance of the estimated parameters values as shown in Tables 3 and 4. Figure 5 shows the I-V and P-V curves. The calculated results reveal the performance of the proposed HHO algorithm to suit well with the experimental data. Tables 4 presents the experimental data with the attained data for the I-V curve.
792
L. Chaib et al.
Fig. 5. P-V and I-V characteristics for extracted and experimental data values for R.T.C. France solar cell.
4 Conclusion In this paper, the implementation of a new algorithm, named HHO was done to get the best parameters values of suggested photovoltaic module/cell. The HHO algorithm validation was evaluated by means of two different case studies based on the SDM. The two cases are widely applied in the literature and in an experimental environment, in order to test the proposed method in a real implementation. The P-V and I-V curves of measured and identified data reveal evidently the superior accuracy of HHO. Otherwise, the numerical results are clearly demonstrated the best precision and applicability of the proposed scheme to estimate the photovoltaic cell/ module parameters.
References 1. Hajjaj, C., et al.: Degradation and performance analysis of a monocrystalline PV system without EVA encapsulating in semi-arid climate. Heliyon 6(6) (2020) 2. Gnetchejo, P.J., Essiane, S.N., Ele, P., Wamkeue, R., Wapet, D.M., Ngoffe, S.P.: Important notes on parameter estimation of solar photovoltaic cell. Energy Convers. Manag. 197, 111870 (2019) 3. Hachana, O., Hemsas, K.E., Tina, G.M., Ventura, C.: J. Renew. Sustain. Energy 5, 053122 (2013) 4. Ismail, M.S., Moghavvemi, M., Mahlia, T.M.I.: Characterization of PV panel and global optimization of its model parameters using genetic algorithm. Energy Convers. Manag. 73, 10–25 (2013) 5. Ishaque, K., Salam, Z.: An improved modeling method to determine the model parameters of photovoltaic (PV) modules using differential evolution (DE). Sol. Energy 85, 2349–2359 (2011) 6. Montano, J., Tobón, A.F., Villegas, J.P., Durango, M.: Grasshopper optimization algorithm for parameter estimation of photovoltaic modules based on the single diode model. Int. J. Energy Environ. Eng. 11(3), 367–375 (2020). https://doi.org/10.1007/s40095-020-00342-4 7. Guo, L., Meng, Z., Sun, Y., Wang, L.: Parameter identification and sensitivity analysis of solar cell models with cat swarm optimization algorithm. Energy Convers. Manag. 108, 520–528 (2016)
Application of New Optimization Algorithm for Parameters Estimation
793
8. Yu, K., Liang, J.J., Qu, B.Y., Chen, X., Wang, H.: Parameters identification of photovoltaic models using an improved JAYA optimization algorithm. Energy Convers. Manag. 150, 742– 753 (2017) 9. Yousri, D., Thanikanti, S.B., Allam, D., Ramachandaramurthy, V.K., Eteiba, M.B.: Fractional chaotic ensemble particle swarm optimizer for identifying the single, double, and three diode photovoltaic models’ parameters. Energy 195, 116979 (2020) 10. Chegaar, M., Ouennoughi, Z., Hoffmann, A.: A new method for evaluating illuminated solar cell parameters. Solid-State Electron. 45(2), 293–296 (2001) 11. Rajasekar, N., Kumar, N.K., Venugopalan, R.: Bacterial foraging algorithm based solar PV parameter estimation. Sol. Energy 97, 255–265 (2013) 12. Yu, K., Liang, J.J., Qu, B.Y., Cheng, Z., Wang, H.: Multiple learning backtracking search algorithm for estimating parameters of photovoltaic models. Appl. Energy 226, 408–422 (2018)
Techno-Economical Optimization of Electrical Production from Wind Power Plant Connected to the Electrical Grid in LAGHOUAT Region O. Bouchiba(B) , M. Hamidat, S. Chettih, and K. Kouzi Laboratoire Matériaux, Systèmes Énergétiques, Energies Renouvelables et Gestion de l’Énergie (LMSEERGE), University of LAGHOUAT, Laghouat, Algeria {o.bouchiba,mohamed.hamidat,s.chettih,k.kouzi}@lagh-univ.dz
Abstract. This work is a contribution to the study of the feasibility of setting up a wind farm for the production of electricity in the LAGHOUAT region. This study is based on the site of El KHNEG EL HOUAITA corridor from daily data taken every 10 min and at an altitude of 10m during the period between 2012 and 2014 obtained by the auxiliary metrological station of LAGHOUAT located in the same corridor studied. Using statistical laws for the temporal characterization of wind parameters and extrapolation laws for the spatial characterization of wind speed to express the statistical estimate of the wind energy potential at different altitudes. Then the wind direction is established for the orientation of the turbo-generators in the implementation phase. Finally, the prediction of the electrical energy produced is evaluated while taking into account the choice of wind turbines and their load factors. Keywords: Wind resource · Wind turbine · Wind energy production · Weibull distribution · Wind parameters · Wind energy potential · Wind speed analysis · Wind power system · Electrical energy system
1 Introduction Since 2011, Algeria has launched a program for the exploitation of renewable energies where the wind energy constitutes the second axis of development with a production, which will reach 5 GW in 2030 [1]. Given, the region of LAGHOUAT is underestimated as a potential wind region in the Algerian wind mapping carried out in 2006, [2] the planning of the Algerian power grids did not plan the implementation of the wind power plants in the region until ‘nowadays’. Several studies [3] gave a new truth about the wind mapping in this region, based on the study of the HASSI R’MEL site, which being a windy site where it reaches 6.1 m/s as average speed. The other sites in the region are always underestimated hence the region is characterized by a wind speed which varies from 4.1 m/s to 4.9 m/s.
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 794–804, 2023. https://doi.org/10.1007/978-3-031-21216-1_81
Techno-Economical Optimization of Electrical Production
795
This work focuses on the finding of the windy corridors in the studied region, since ecological indicators show signs of the presence of enormous wind potential in a few sites in the targeted region. Several studies of the wind field of a number of sites surrounding the city of LAGHOUAT give us an annual average wind speed as follows: the southern region [4] = 6.12 m/s, the western region = 4.46 the southeast region = 3.85, and the southwest region = 6.49 m/s. We are interested in this study by the southwest region of LAGHOUAT, which is the region of EL KHNEG. To define better the speed distribution density function in this site, it is essential to estimate the wind density the form the meteorological measurements, acquired thanks to the auxiliary metrological station of LAGHOUAT, the power density and the density of wind energy available during each month. On the other hand, depending on the altitude and to propose a study of a wind farm to be installed as part of signaling to the planning of the Algerian network to favor or to at least consider this region in the national program for the exploitation of renewable energies. It should be mentioned that the region has been work since 2013 by developing a photovoltaic power station with a capacity of 20 MW.
2 Presentation of the Study Area El KHNEG site is located in the 13 km southwest part of the city of LAGHOUAT at an altitude of 750 m above the sea level. Table 1 shows the geographic coordinates of the site. Table 1. Geographic coordinates of the El KHNEG site Geographic data Latitude
33.75°
Longitude
2.82°
Altitude
750 m
The site is located in a strategic position in terms of the noise caused by the planned park. In addition, it benefits from a flat and very large surface and a soil made up of sandstone rocks for the installation of the wind turbines. Note that this area is equipped with a 60 MW photovoltaic plant, which would certainly be beneficial in a hybrid plant PV/wind project (Fig. 1).
796
O. Bouchiba et al.
Fig. 1. The studied site - EL KHENEG region [5]
3 Mathematical Formulation The frequency analysis of the wind speed highlights the predominant speed classes. Therefore, the task of choosing the wind turbines that provide the best performance is easier. 3.1 Temporal Characterization of the Wind Speed The temporal variability of wind speeds will be analyzed using the parameters of the modified Weibull and Weibull law. Modified Weibull Distribution The modified Weibull law is used to characterize the stations for which the proportion of zero winds is very important. Indeed, the classical Weibull law does not allow to consider zero winds the modified law [6] is now such that: pour; V = 0 ff0 , (1) f (V ) = K−1 K pour; V = 0 × exp − VC (1 − ff0 ) × KC × VC where: f (v) is the frequency of the occurrence of a wind speed; k and C are the Weibull parameters; ff0 is the percentage of zero wind speeds. The parameter k is dimensionless and characterizes the shape of the frequency distribution, while C, is the scale factor measured in m/s which determines the quality of a wind speed. The distribution is used to determine the characteristic velocities of the site. [6] Note that the speeds to calculate are: The Average Wind Speed
1 If the calm ff0 is less than or equal to 15% :< V > = C · 1 + k 1 Ifthecalm ff0 isbetterthan15% :< V > = (1 − ff0 ) · C · 1 + k
(2) (3)
Techno-Economical Optimization of Electrical Production
797
The Wind Speed Carrying the Maximum Amount of Energy VEm = C ·
k+2 k
1 k
(4)
The Most Frequent Speed 1 k−1 k VF = C. k
(5)
3.2 Spatial Characterization of the Wind Using the laws of wind shear, allowing us to calculate from a known wind speed data, the wind speed at a different altitude. There are several shear laws, each having characteristic parameters related to the studied site. Wind Shear Law This law is used in certain studies [3] and has the main advantage of taking into account a physical parameter zo , defining the roughness of the ground. This law is defined by: Z Ln Z0 V(Z) = (6) V(ZR ) Ln ZR Z0
where: zo is the roughness [m] 3.3 Wind Potential Available Power Density The available wind power density WPD is a useful way to assess the wind potential in a site without considering a particular wind turbine. Wind energy density is measured by watts over square meter, indicates how much the wind power is available for wind conversion at a site and is expressed by Eq. (7). 1 3 Pdis 3 = ρ·C · 1+ (7) A 2 k where: ρ is the density of the air. Wind Power Plant WPP The WPP (wind power plant) is a very important parameter; it makes it possible to quantify the energy produced during a time T by the wind turbines or the wind farm. [7] ∞
∞
0
0
Eout = T · ∫ P(V ) · f (V ) · dV = T ·
P(Vi ) · f (Vi )
(8)
798
O. Bouchiba et al.
where: f (v) is the probability density function of the wind speed; P (V) is the power curve of the turbine; T is the period. Capacity Factor The capacity factor (CF ), is one element that enables to measure the productivity of a wind turbine or any other power generation plant. It can be calculated by the following equation being expressed in percentage [7] CF =
Eout 8760.Pr
(9)
where: Pr is the nominal power of Wind turbine. Operating Rate The operating rate Eh is a parameter used to express the operation of wind farms. Eh = 8760 · CF
(10)
3.4 Sizing of a Wind Farm The Wind Rose The wind rose is designed to get an idea of the distribution and direction of wind speeds; it is built from meteorological observations made in a region over one or more years. When locating a wind site, the compass rose gives us an idea of the direction of the prevailing winds [3]. Installation Condition In order to optimize the operation of wind farms, certain measures must be taken into account. Indeed, a bad choice of certain parameters could be detrimental to a wind turbine installation. In order to avoid the phenomenon of wake, the spacing of the wind turbines for. The installation of wind turbines on a site must take into account the dimensions of the land perpendicular and parallel to the predominant direction of the wind. The conditions to be met are as follows [8]. (N1 + 1) × 10H < I N
(11)
(N2 + 1) × 3D < L
(12)
N = N1 × N2
(13)
where: I is the dimension of the land perpendicular to the predominant direction of the wind; L is the dimension of the land parallel to the predominant direction of the wind. D is the diameter of the machine rotor. H is the Height of the pylon. N1 represents the number of wind turbines in each row. N2 represents the number of rows of aerogenerators. N is the whole number of aerogenerators to place on the site [8].
Techno-Economical Optimization of Electrical Production
799
3.5 Economic Analysis The economic viability of wind projects depends on their ability to generate electricity at a low operating unit cost of energy, an accurate estimate of all costs involved in generating electricity over the lifetime of the system, is essential. Different methods are generally used to estimate operating cost of one unit of energy produced by wind conversion system [9]. Cost of a Wind Turbine The turbine cost (Cwt) is given as follow [9]: Cwt = Cspe × Pr
(14)
where: C spe is a turbines specific cost. Table 2 gives Cspe for several size ranges related on Pr. Table 2. Range of specific cost of wind turbines based on the rated power [9] Wind turbine size P (kW)
Specific cost ($/kW)
Average value ($/kW)
700–1600
1150
Present Value of Costs The present value of costs (PVC) of electricity includes both initial costs (IC), and operation, maintenance, and repair cost (Com (p) ), is given as follows [10]: PVC = Ic + Com(p) Com(p) = Comr
(1 + i) (d − i)
× 1−
(15) (1 + i) (1 + d )
t d = i
(16)
The IC ($) introduces the turbine cost ($), civil work ($), and installation price ($), the civil works are supposed to be 20% of the turbine cost. The annual maintenance prices (Comr ) was assume 15% of the annual price of turbine [9]. Energy Unit Cost The unit cost energy (UCE) in ($/kWh) is expressed by [11]: UCE = PVC/AEP
(17)
800
O. Bouchiba et al.
4 Application and Calculation 4.1 Wind Parameters The results of the annual and monthly statistical study of the wind speed readings of each 10min and at 10m height, including the annual and monthly Weibull distribution and the wind parameters are presented, respectively, by Fig. 2 and 3, and Table 3. These calculations are clearly show that the annual calm ff0 is 0,193 > 0,15 moreover the monthly calm varies between 0,128 for the month of June and 0,30 for the month of October. Table 3. Parameters wind source, available wind potential and maximum annual recoverable wind energy Parameters
k
c [m/s]
V[m/s]
VEm [m/s]
VF [m/s]
Pdis /A [W/m2 ]
Edis /A [kWh/m2 /year]
1,514
8,923
6,496
12,47
4,37
691,145
5432,4
The distribution of wind speeds is an indicator for the potential wind, which allows us to estimate the wind energy available on the site. A reading in the wind parameters for this site indicates that: This region has a potential wind of = 6,496 m/s calculated by Eq. (3), and an average available power density of = 691 W/m2 calculated by Eq. (7).
Fig. 2. Yearly Weibull distribution
Fig. 3. Monthly Weibull distribution.
The wind speeds distribution curve is then more flattened and with a maximum which shifts to the right illustrated in Fig. 3. We notice at 10 m height that 85,88% of the speeds are in the range of 3 m/s at 25 m/s. The extrapolation of the Weibull parameters allows us to estimate the wind potential for different heights, the Weibull distributions and the wind parameters at different height of the studied site are presented in Fig. 4 and Table 4 (Fig. 5).
10 m
1,513
8,922
6,49
14,91
4,37
691,14
3667,30
5432,39
Wind parameters
k
C [m/s]
< v > [m/s]
V EM [m/s]
V F [m/s]
Pdis/A [W/m2 ]
Em/A[kWh/m2 ]
Edis/A[kWh/m2 /y]
7847,37
3244,78
998,39
4,94
16,86
7,34
10,08
1,513
20 m
9550,68
3949,07
1215,10
5,27
18,00
7,84
10,77
1,513
30 m
10897,89
4506,12
1386,50
5,51
18,81
8,19
11,257
1,51346
40 m
12026,58
4972,82
1530,10
5,70
19,43
8,46
11,6328
1,51346
50 m
13004,37
5377,12
1654,50
5,85
19,95
8,69
11,9399
1,51346
60 m
15468,00
5738,85
1765,80
5,97
20,38
8,88
12,1995
1,51346
70 m
Table 4. Annually wind parameters at different height.
16339,15
6061,90
1865,20
6,08
20,76
9,04
12,4244
1,51346
80 m
17134,56
6357,00
1956,00
6,18
21,09
9,19
12,6227
1,51346
90 m
17866,90
6628,70
2039,60
6,27
21,38
9,32
12,8001
1,51346
100 m
Techno-Economical Optimization of Electrical Production 801
802
O. Bouchiba et al.
Fig. 4. Weibull distribution at different height
Fig. 5. The Wind rose
4.2 Adaptation and Choice of Wind Turbines The following analysis aims to help designers and users to choose the most suitable wind turbine for a 20 MW wind farm that can be installed in this region as indicated in section I. In this context, four commercial wind turbines (E40/500, AN54/1000 GE1.5, Vesta V90, and FL2500) with different power ratings have been selected. For the installation of a 20MW wind power plant you need either: 40 wind turbines (E40/500 of 500 KW) or 20 wind turbines (AN1000/54 of 1 MW), or 14 wind turbines (GE1.5sL of 1.5 MW), 10 wind turbines (Vesta V90 of 2 MW) or well 8 wind turbines (FL2500 of 2.5 MW). Table 5. Technical data of different commercial wind turbines used in the analysis [11] Wind turbine type
Cut-in speed [m/s]
Cut-off speed [m/s]
Rated speed [m/s]
E40/500
2.5
25
12
AN54/1000
3
25
GE1.5 sL
3.5
Vesta V90
4
FL2500
4
Rated power [kW]
Power density [W/m2 ]
Hub height [m]
Rotor diameter [m]
500
392,2
42/48/65
40.3
15
1000
434,8
50/60/70
54.2
25
12
1500
322,1
60/80/85/100
77
25
13
2000
314.4
80/90/105
90
25
12,5
2500
393
85/100/117/141/160
90
The annual energy was obtained using the power curve provided by the builder and the site wind data. Table 6 show the annual energy production, the capacity factor and unit cost of the selected wind turbines. The best wind turbine to install based on the annual energy produced from the proposed wind farm is the type GE1.5sl, not only because of its mast which is 80 m, but also having the very large capacity factor 0,526 and unit cost 0,0361 ($/kWh). The choice of the FL2500, Vesta V90 and GE 1.5 sL wind turbines is also possible. Taking into account the dimensions of the chosen wind turbines presented in Table 5 and the adapted arrangement of the wind turbines according to formulas (11, 12 and 13), the results of Table 7 are obtained. It groups together the numbers of wind turbines installed on the site by line and by row and the optimal dimensions of the installation site of the wind farm.
Techno-Economical Optimization of Electrical Production
803
Table 6. The annual energy production, capacity factor and unit cost energy. Wind turbine
AEP (MWh)
E40/500
2056
CF [%]
Unit cost energy ($/kWh)
46,95
0,0405
AN54/1000
4181
47,13
0,0398
GE1.5 MW sL
6918
52,65
0,0361
Vestas V90
8720
49,77
0,0382
11022
50,33
0,0378
FL2500
Table 7. The optimal dimensions of the implementation field. The optimal dimensions
GE1.5sL/1.5MW
FL2500/ 2.5MW
N1
14
7
8
4
N2
1
2
1
2
857,14
457,14
578,57
321,42
I opt [m] L opt [m]
462
693
540
810
S opt [m]
39,6
31,68
31,24
26,06
5 Conclusion This work aims to study the potential of the wind farm and to assess the energy production of the El KHENEG site in the LAGHOUAT region. It appears that the wind on this site is regular and is spreading in a South-West (SW) direction, with stable average monthly speeds between 5.11 m/s in August, and 10.5 m/s in March. This study forecasts the wind power of the studied park, based on a judicious choice of machine. If we choose the GE1.5sl type wind turbine: The wind potential of this site at a height of 80 m has an average speed of 9 m/s and an energy density of 1865 W/m2 for the preferred direction. The operation of 14 machines provides a power of 21 MW for an annual energy production of 96.86 GWh. For our second choice of the FL2500 wind turbine, the potential at 90 m high presents an annual average speed of 9,2 m/s and an energy density equal to 1956 W/m2 . Applications on the proposed models have shown acceptable fidelity and accuracy of our developed systems and appropriate choices. We can draw the following conclusions: • An average wind speed in the region studied which reaches 6.49m/s compared to that of ADRAR 6.5 m/s [11] considered as the windiest site in Algeria. • The average power density, depending on the months, and the year at several heights showed an enormous wind potential of 691 W/m2 at 10 m considered lost in nature. • The unit cost in the region studied varies between 0.0361 $/kWh and 0.0405 $/kWh, which is acceptable compared to the results obtained in the cities of southern
804
O. Bouchiba et al.
Algeria, which varies between 0.0204 $/kWh in ADRAR and 0.0766 $/kWh in TAMANRASSET. [9] This study will obviously permit to propose a new vision of the planning of the renewable energy resources in the Algerian network of the region.
References 1. CREG: Programme de Développement des Energies Renouvelables2015-2030 (2015). http:// www.creg.gov.dz/images/stories/PDF/creg15mars.pdf 2. Merzouk, N.K.: Wind energy potential of Algeria. Renew. Energy 21(3–4), 553–562 (2000). https://doi.org/10.1016/S0960-1481(00)00090-2 3. Boudia, S.M., Santos, J.A.: Assessment of large-scale wind resource features in Algeria. Energy 189, 116–299 (2019). https://doi.org/10.1016/j.energy.2019.116299 4. Bouchiba, O.: contribution à l’étude et développement de parc éolien de la région de Laghouat, Thèse de Magister (2012) 5. Internet document: https://www.google.dz/maps/place/El+Kheneg/ 6. Bivona, S., Burlon, R., Leone, C.: Hourly wind speed analysis in Sicily. Renew. Energy 28(9), 371–1385 (2003). https://doi.org/10.1016/S0960-1481(02)00230-6 7. Elamouri, M., Ben, A.F.: Wind energy potential in Tunisia. Renew. Energy 33(4), 758–768 (2008). https://doi.org/10.1016/j.renene.2007.04.005 8. Azagnandji, M., Fifatin, F.X., Dubas, F., Espanet, C., Vianou, A.: Wind energy potential assessment and wind turbine performance investigation in the Cotonou coast (Benin Republic). Int. J. Eng. Res. Afr. 45, 89–98 (2019). https://doi.org/10.4028/www.scientific.net/JERA. 45.89 9. Diaf, S., Noton, G., Diaf, D.: Technical and economic assessment of wind farm power generation at Adrar in Southern Algeria. Energy Procedia 42, 53–62 (2013). https://doi.org/10. 1016/j.egypro.2013.11.005 10. Meziane, F., Chellali, F., et al.: Wind flow simulation and characteristics prediction using WAsP software for energy planning over the region of Hassi R’mel. Int. J. Green Energy 18(6), 634–644 (2021). https://doi.org/10.1080/15435075.2021.1875470 11. Benmedjaheda, M., Ghellaib, N., Benmansourb, A., Boudaic, S.M., Tabet Hellal, M.A.: Assessment of wind energy and energy cost in Algeria. Int. J. Renew. Energy 9(1) (2014) 12. Internet document: https://en.wind-turbine-models.com
H2 Model Reduction of Nonlinear Optimal PEMFC Using Artificial Ecosystem Optimization Zohra Touati1(B) , Khaled O. M. Touati2 , Slami Saadi3 , and Mecheri Kious1 1 Faculty of Technology, Ammar Thelidji University of Laghouat, Laghouat, Algeria
[email protected], [email protected]
2 Applied Automation and Industrial Diagnostics Laboratory, Faculty of Sciences and
Technology, Ziane Achour University Djelfa, 17000 Djelfa, Algeria [email protected] 3 Ziane Achour University of Djelfa, Djelfa, Algeria
Abstract. Highly complex and nonlinear dynamic models of PEMFC systems are considered difficult to solve, design, control, and make real-time decisions. This paper proposes a method for model reduction of nonlinear dynamic multi-input, multi-output PEMFC models by the Artificial Ecosystem Optimization (AEO) algorithm, this method optimizes H2 norm to minimize the error between the original and the reduced-order models. A nonlinear autoregressive exogenous neural network (NLARX) model was created by generating input-output data to obtain the original model, which was analyzed using a nonlinear dynamic model simulation of the PEMFC state space, where the hydrogen and oxygen pressures were used as inputs, the outputs were voltage and current of the PEMFC stack. The results show that this method is accurate, reliable and able to obtain the optimum reduced order model of the PEMFC considering the same behaviour, the stability and steady state value of the original PEMFC system. Keywords: H2 norm · PEMFC · Model order reduction · AEO algorithm
1 Introduction Proton exchange membrane fuel cell (PEMFC) technology has become a very large area of research as a new type of high-efficiency renewable energy. However, its complexity and nonlinear dynamic modeling lead to difficulties to design and control. To alleviate these difficulties, we suggest model reduction for the PEMFC system. Model order reduction (MOR) is a technique for reducing the mathematical models complexity to lower order with maintaining the essential behavior of original systems [1], however for nonlinear complex systems, the MOR technique still hard to implement because its operators cannot be reduced easily without referencing to the original costly model. Therefore, most nonlinear model reduction algorithms focused on linearization the operators of systems such as trajectory piecewise linear (TPWL) approximation [2]. Lifting Transformations technique [3]. And proper orthogonal decomposition method © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 805–813, 2023. https://doi.org/10.1007/978-3-031-21216-1_82
806
Z. Touati et al.
[4]. In spite of that, these techniques still expensive for high nonlinear systems and usually invalid for multi-inputs –multi-outputs systems. This leads us to suggest to linearize the nonlinear complex PEMFC model using nonlinear autoregressive exogenous neural network (NLARX) to identify linear space state model which its order is reduced next using Artificial Ecosystem Optimization algorithm AEO. The main goal of this paper is to obtain a reduced order model of state space of PEMFC 500w system which minimizes the error between original and the reduced order models using H2 norm with AEO algorithms. AEO is a novel algorithm based on the flow of energy between organisms in natural ecosystems, mimicking the production, consumption, and decomposition behaviors of these organisms. Simulation results of model order reduction through timedomain and frequency-domain confirm that the proposed AEO algorithm is accurate and reliable in PEMFC optimal global order reduction model.
2 H2 Optimal Model Reduction for MIMO Nonlinear Dynamic of PEMFC System 2.1 Dynamic and Neural Network Modeling of PEM Fuel Cell A PEMFC is an electrochemical device that converts the chemical energy in hydrogen atoms into electricity, thereby producing water and heat[5]. The open-circuit voltage of a single fuel cell yields about 0.7–1.23 V under operating conditions. In this work, we focus on the dynamic model of a 500 W PEMFC, using nonlinear equations for flow and partial pressures of hydrogen, oxygen, water and the temperature produced by the electrochemical reaction, the electrical equations represent the equivalent circuit. Finally, we will complete the formulation of the total nonlinear differential equation for the PEM fuel cell stack through a global state space representation[6]. Which rewritten: ⎧ x˙ 1 = − λ1 · x1 + 2.λIs .F ⎪ ⎪ A A ⎪ ⎪ ⎪ x˙ 2 = − λ1 · x2 + 4.λIs .F ⎪ ⎪ C C ⎪ ⎪ ⎪ x˙ 3 = − λ1 · x3 + 2.λIs .F ⎪ ⎪ A C ⎪ ⎪ ⎪ s .As · x − ns .hs .As · T ⎪ x˙ 4 = − nMs .h.C t 4 ⎪ M .Cfc ⎪ fc fc fc ⎪ ⎪ √ cell ⎪ 2.n .E x x ⎪ s n .R.x 6 s 4 ln 5 ⎪ − (Vact + VO + Vconc ) · Is − M .C0 + F.M ⎪ x ⎪ .C 7 fc fc fc fc ⎨ 2.α.R R as: x˙ 5 = − 2.α.R β.Va · x4 · x5 + β.Va · x4 .uPA − 2.Va .F · x4 · Is ⎪ ⎪ ⎪ 2.α.R R ⎪ x˙ 6 = − 2.α.R ⎪ β.Vc · x4 · x6 + β.Vc · x4 .uPC − 4.VC .F · x4 · Is ⎪ ⎪ ⎪ 4.R.α R.α R ⎪ x˙ 7 = − V · x4 − 2 · V .β · x4 .X7 + 2.V .F · x4 · Is ⎪ ⎪ c c C
⎪ ⎪ ⎪ 1 ⎪ x˙ 8 = C(R −1 ⎪ ) · x8 + C · Is ⎪ act +Rconc√ ⎪ ⎪ x x ⎪ n .R.x ⎪ y1 = ns · E0cell + s2.F 4 ln 5x 6 − ns · x8 − ns · RO · Is ⎪ ⎪ 7 ⎪ ⎪ V ⎩ y2 = R fc
(1)
ohmic
uPA , uPC are hydrogen and oxygen Vfc , ifc are voltage and current outputs,
inputs, [x1 , x2 , x3 , x4 , x5 , x6 , x7 , x8 ] = [ mH2 net , mO2 net , (mH 2O )net , T , pH2 , pO2 , pH 2O , VC ] as state vector.
H2 Model Reduction of Nonlinear Optimal PEMFC Using AEO
807
2.2 Optimal Model Order Reduction To acquire the reduced-order state space representation of PEMFC model that is capable of representing the original system, EAO Algorithm is used to minimizes the H2 norm of error between the reduced order model and the original model = − (2) Minimizing E n
N
n H2
where: ⎧ ⎨ xN (k + 1) = AN x(k) + BN u(k) + KN e(k) : y(k) = CN x(k) + Du(k) + e(k) N ⎩ x(o) = xN ⎧ ⎨ xn (k + 1) = An x(k) + Bn u(k) + Kn e(k) : y(k) = Cn x(k) + Du(k) + e(k) n ⎩ x(o) = xn
(3)
(4)
3 Artificial Ecosystem-Based Optimization Algorithm (AEO) The Artificial ecosystem-based optimization (AEO) algorithm is a nature-inspired algorithm that imitates the flow of energy between organisms in the ecosystems which they live in [7]. These organisms divided into three groups: producers, consumers and decomposers. Compared with other optimization methods, AEO has faster convergence speed and computational efforts, increasing the potential to handle difficult problems without getting stuck in local optima. The following operators represent This algorithm: First one is the producer, which is considered as a green plant that obtains energy from the photosynthesis process during the presence of sunlight. it is classified the lowest individual in the population, which is updated through the upper and lower bounds of the search space, and a new individual (producer) is generated between the best individual as decomposer and the randomly generated individual as consumer. The second operator is the consumer; anyone can eat from the producer or from another higher energy level consumer. The third one is decomposition. During this stage, the decomposers chemically decompose the remains of individuals in the postmortem population.
4 Simulation Results To acquire the voltage/current data of the output, we used Matlab environment programming to simulate nonlinear state space equations with pressure of hydrogen and oxygen as input variables: uh = 60 atm, uo = 30 atm. Table 1 summarizes the characteristics of the PEMFC stacks.
808
Z. Touati et al. Table 1. Characteristics of 500 W model PEM fuel cells
Symbol
Description
As = 3.2 × 10−2 m2
Fuel cell area
Mfc = 44 kg
mass of the Fuel cell
ns = 48
Cells number
R = 831 j/(mol.K)
Gas constant
(mH2O )ain = (mH2O )cin = 8.614 × 10−5 mol/s (PH2O )ain = (PH2O )cin = 1 atm
flow rate of Anode/cathode water mole
(PH2O )in = 60 atm
water Partial pressure
λA,C = 60 s
Flow delay at anode and cathode
G0 = 237.2 × 103 J/mol
Gibbs free energy
Anode/cathode Water partial pressure
hS = 37.5 W/(m2 .K)
Convective heat transfer coefficient
C = 10 F
double layer charge Capacitance
Cfc = 500 J/(molK)
heat capacity of PEMFC stack
Rc0 = 0.28
Constant in computation of RO (^)
F = 96487 C/mol
Faraday constant (96487 C/mol
Va = Vc = 10−3 m3
Volume of anode and cathode
E0cell = 1.23 V
Reference potential
T = 308
temperature of Fuel cell (K)
The simulation results are verified by the I-V polarization curve, Fig. 1(a), which shows that it closely matches the Avista Labs SR-12 (500 W) PEMFC experimental results, Fig. 1(b)[8]. As shown, the output voltage change response of the PEMFC model decreases from 38 V to 25 V, and the corresponding current changes from 1 A to 25 A. Fuel cell polarization curve 45
Output voltage (Volts)
40
35
30
25
20
0
5
10
15
20
25
Current density (A/cm 2)
(a)
(b)
Fig. 1. (a) PEMFC500w model I-V polarization curve (b) the Avista Labs SR-12 (500 W) experimental results I-V polarization curve
H2 Model Reduction of Nonlinear Optimal PEMFC Using AEO
809
The nonlinear ARX model estimator with tree partition network estimator was selected to identify the structure of the PEMFC model, there are 31 cells in the input. This estimated model is then linearized using the Linapp instruction, resulting in a linear state-space model for a specific input signal. To confirm the linear model, a comparison of the best fit between the identified model simulated response and the nonlinear model estimated using the data of input and output. Figure 2 shows that the linear model with the best fit percentage achieves 96.27% in the first version and 99.48% in the second version, indicating that the model can represent the real system. Simulated responses comparison
Amplitude output1 (Vfc)
1 z; measured 0.5
nonlinear; fit: 99.48% linear; fit: 96.27%
0 -0.5 -1
5
10
15
20
25
30
35
40
45
50
Amplitude output2 (Ifc)
1 0.5 0
z; measured nonlinear; fit: 99.91%
-0.5
linear; fit: 99.67% -1
5
10
15
20
25
30
35
40
45
50
Fig. 2. Linear and nonlinear Comparison of PEMFC models with measurements data.
5 Comparison Between EAO and MRFO To show the effectiveness of EAO we compared it with another algorithms Manta Rays Foraging Optimization (MRFO) [9], both methods were applied for extracting the optimal model order reduction matrices (Ar, Br, Kr, Cr, Dr, Xr) of PEMFC stack through minimizing the H2 of the error between linear full model and the reduced model, same settings were used for both algorithms: Population size = 100, dimension of the problem Dim = 34, the lower bound search space Low = −1, search space upper bound o Up = 1, and the iterations maximum number MaxIt is 1000. Therefore, the resulting dimensionality reduction of the H2 norm model uses the AEO algorithm to reduce the dimensionality of the order model n = 3. Table 2 shows the H2-norm, H∞-norm, mixed (H2,H∞)-norm optimization results of the models obtained by the EOA and MRFO algorithms compared with the steadystate error to obtain an order of n = 3, these errors are computed by running 1000 iterations of both algorithms. The convergence process of the two comparison algorithms is shown in Fig. 3. It can be seen that EOA converges faster than MRFO algorithm.
810
Z. Touati et al. Table 2. Error comparison between EOA and MRFO
Algorithms
H2 norm
H∞ norm
(H2 , H∞ ) norm
steady state error
EOA
3.52 × 10−2
5.09 × 10−2
8.61 × 10−2
7.2 × 10−4
MRFO
3.59 × 10−2
5.53 × 10−2
9.12 × 10−2
6.64 × 10−3
Fig. 3. Convergence rate of EOA and MRFO
To better assess the quality of the approximation, we trace the time responses in Figs. 4 and 5 and the frequency responses of the reduced-order models of the original PEMFC 500W model and the EOA and MRFO algorithms of dimension n = 3 in Fig. 6
Fig. 4. Original model and reduced order models step responses
We notice that the impulse and step responses transient and steady state of the reduced models of EAO and MRFO closely match the original system. This is because the EAO and MRFO reduced-order models frequency response of is very similar to the original model,
H2 Model Reduction of Nonlinear Optimal PEMFC Using AEO
811
Fig. 5. Original model and reduced order model Impulse responses
Fig. 6. Reduced order model & original model Frequency responses: (a) from input u1 to output y1 (b) from u2 to y1, (c) from input u1 to output y2 and (d) from input u2 to output y2
Figure 7 shows the error comparison between the reduced order models of the AEO and MRFO algorithms, with the error step size between them, the results are very close and satisfactory
812
Z. Touati et al.
Fig. 7. Reduced order & original models error comparison
6 Conclusion In this paper, the AEO algorithm is exploited to extract the optimal reduced-order model of the higher-order nonlinear MIMO dynamics of a PEMFC system and is considered a suitable tool for designing simple controllers for PEMFC systems. The NLARX neural network partitioned by a tree is used to obtain the best linear approximation for a specific input. Through the comparison between the linear and nonlinear models in Fig. 2, the linear model demonstrates its potential to represent real systems. The linear model is then reduced by the AEO algorithm using the H2 norm. This algorithm is compared with the MRFO algorithm, by comparing the results in Table 2 and the convergence process of these two algorithms, both prove to be very powerful optimization tools and their effectiveness in finding optimal reduced-order models of PEMFC systems. Furthermore, it is emphasized that the time and frequent response results of the reduced-order models of both algorithms are highly match with the original system response.
References 1. Salehi, Z., Karimaghaee, P., Salehi, S., Khooban, M.-H.: Phase preserving balanced truncation for order reduction of positive real systems. Automation 3(1), 84–94 (2022). https://doi.org/ 10.3390/automation3010004 2. Ma, J., Kim, D., Braun, J.E., Ma, J., Kim, D., Braun, J.E.: A trajectory piecewise-linear approach to model order reduction of vapor compression cycles A trajectory piecewise linear approach to model order reduction and fast simulation of vapor compression cycles (2021) 3. Kramer, B., Willcox, K.E.: Nonlinear model order reduction via lifting transformations and proper orthogonal decomposition. AIAA J. 57(6), 2297–2307 (2019). https://doi.org/10.2514/ 1.J057791 4. Díez, P., Muixí, A., Zlotnik, S., García-González, A.: Nonlinear dimensionality reduction for parametric problems: a kernel proper orthogonal decomposition. Int. J. Numer. Methods Eng. 122(24), 7306–7327 (2021). https://doi.org/10.1002/nme.6831 5. Zou, Y., Yang, M., Liu, G., Xu, C.: Sulfonated poly (fluorenyl ether ketone nitrile) membranes used for high temperature PEM fuel cell. Heliyon6(July), e04855 (2020). https://doi.org/10. 1016/j.heliyon.2020.e04855
H2 Model Reduction of Nonlinear Optimal PEMFC Using AEO
813
6. Puranik, S.: Control of fuel cell based green energy systems for Distributed Generation Applications (2009) 7. Zhao, W., Wang, L., Zhang, Z.: Artificial ecosystem-based optimization: a novel nature-inspired meta-heuristic algorithm. Neural Comput. Appl. 32(13), 9383–9425 (2019). https://doi.org/10. 1007/s00521-019-04452-x 8. Wang, C., Hashem Nehrir, M., Shaw, S.: Dynamic models and model validation for PEM fuel cells using electrical circuits. In: 2005 IEEE Power and Energy Society General Meeting, vol. 3, no. 2, p. 2115 (2005). https://doi.org/10.1109/pes.2005.1489284 9. Hemeida, M.G., Alkhalaf, S., Mohamed, A.A., Ibrahim, A.A., Senjyu, T.: Distributed generators optimization based on foraging optimization algorithm (MRFO). Energies 35 (2020). https://doi.org/10.3390/en13153847
Control of Permanent Magnet Synchronous Machine Using Speed Estimation Abdeldjalil Dahbi1,2(B) , Miloud Benmedjahed1 , Abderrahman Khelfaoui1 , Omar Ouledali2 , Ahmed Bouraiou1 , Hocine Guentri3 , Messaoud Hamouda2 , Abdelghani Harrag4 , Abdeldjalil Slimani1 , Nouar Aoun1 , Boualam Benlahbib5 , Samir Mouhadjer1 , Ahmed Boutadara2 , and Mohammed Lemchachaa2 1 Unité de Recherche en Energies Renouvelables en Milieu Saharien (URERMS), Centre de
Développement des Energies Renouvelables (CDER), 01000 Adrar, Algeria [email protected] 2 Laboratoire de Developpement Durable et d’Informatique (LDDI), Université Africaine Ahmed Draia d’Adrar, Adrar, Algeria 3 Department of Mechanic and Electromechanics, Institute of Science and Technologies, Abdelhafid Boussouf University Centre, GE Laboratory Saida University, Mila, Algeria 4 Renewable Energies Team, Mechatronics Laboratory, Optics and Precision Mechanics Institute, Ferhat Abbas, University, 19000 Setif, Algeria 5 Unité de Recherche Appliquée en Energies Renouvelables, URAER, Centre de Développement des Energies Renouvelables, CDER, 47133 Ghardaia, Algeria
Abstract. In this paper, a speed estimation control of permanent magnet synchronous machine (PMSM) based on Field Oriented Control (FOC) is developed. This control method is used to control the motor to behave such as DC Motor. However, the speed estimation approach is based on the Extended Kalman Filter (EKF) observer to avoid the sensor cost and failures. The proposed method was simulated in MATLAB/Simulink. The obtained results were proves the validity of this speed control. Keywords: Permanent-magnet synchronous generator (PMSG) · Field Oriented Control (FOC) · Rotating reference frame · Speed control · Pulse Width Modulation (PWM) · Extended Kalman Filter (EKF) observer
1 Introduction Currently, the permanent magnet synchronous motors (PMSM) knows a big use increasing over the world in a many applications. This is thanks to its high yield, good performances, and rapid dynamic [1, 2]. The PMSM is reversible, where it can be used in different applications as a motor in factories and sensitive trained systems, or generator such as wind energy conversion systems, …etc. [3, 4]. Generally, in both operation modes, the speed knowledge is very important for the control. However, due to non-linearity of PMSM and the strong coupling between the torque and the speed, the speed control of PMSM is not very simple such as separated © The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 814–819, 2023. https://doi.org/10.1007/978-3-031-21216-1_83
Control of Permanent Magnet Synchronous Machine
815
field DC motor. Any mistake in PMSM speed can conduce to serious problems. Thus, the speed must be well measured or estimated. Due to sensor failures and the high cost of the speed sensor, this later is replaced by using a speed estimator [5]. This paper presents a basic medeling and PMSM speed control using Filed Oriented Control (FOC), which is the platform of any other control system, then, the PMSM will be controlled without speed sensor (estimation), Fig. 1.
Fig. 1. Principle of FOC control.
2 Modeling of the PMSM The PMSM can be modelled in dq-reference frame as follows: Vd = Rid − ωϕq +
d ϕd dt
(1)
Vq = Riq + ωϕd +
d ϕq dt
(2)
d ϕo dt
(3)
ϕd = Ld id + ϕm
(4)
ϕq = Lq iq
(5)
ϕo = Lo io
(6)
Vo = Rio + where;
816
A. Dahbi et al.
The electromagnetic torque is given by the following equation 3 p ϕm iq + Ld − Lq .id iq 2 The mechanical behaviour is expressed as: Tem =
(7)
1 d = (Tem − T − B.) (8) dt J In the model, V d,q are the stator voltage aligned respectively on d and q axis; R is the stator resistance of motor; L is the stator inductance; T em , T r respectively are the electromagnetic torque and the load torque; is the rotor speed; p is the number of pole pairs; ϕ is the flux; B, J are respectively the friction coefficient and the inertia. As it is seen from Eqs. (1) and (2), there is a coupling between both axes, which makes the control difficult, it means that the variation in mechanical load will affect directly the speed value, and vice versa. For this reason, the FOC is necessary to ensure the decoupling between equations.
3 FOC Contorl of PMSM The Field-Oriented Control (FOC) method is a strategy to control three phase motor based on the way of controlling the separated field DC motor. First, the electric stator and rotor variables (current, voltage, flux) vectors are rotating in synchronous reference frame of the machine. The position of the rotor must be known in order to orient the rotating reference rame. The principle of the Field-Oriented Control FOC consists in maintaining the current id at a zero value as: id = 0 ⇒ iq = Is
(9)
This strategy simplifies torque control by linearizing the relationship between torque and iq current. Thus, when the current id is kept to zero, the torque will be controlled only by iq current, then the torque expression becomes [6]. Tem =
3 pϕiq = Kt iq 2
(10)
with: 3 pϕ (11) 2 Note that the electromagnetic torque expression becomes the similar to that of a DC machine. The machine model in Park reference frame become: Vd = −ωLq iq (12) di Vq = Riq + ωϕ + Lq dtq Kt =
This FOC allows to decouple currents to control the torque by using only iq . The regulation of currents allows to obtain the reference voltages that will be used in Pulse Width Modulation (PWM) to control the inverter.
Control of Permanent Magnet Synchronous Machine
817
4 Kalman Filtre Kalman filter is a tool to estimate the speed based on a mathematical model that runs in parallel to the actual system and provides the state estimation of linear systems (Fig. 2).
Fig. 2. Principle of EKF.
The following section is reserved to test the efficiency of this strategy using simulation.
5 Simulation Results and Discussions The following figures represent the simulation results of PMSM for different set points of the speed and mechanical load in order to discover the control efficiency. 140 120 W riélle W éstime
100
vit esse W [rad/ s]
80 60 40 20 0 -20 -40 -60
0
2
4
6 temps [s]
8
Fig. 3. The rotor speed (real and estimated)
10
12 5
x 10
818
A. Dahbi et al.
120
100
erreur W [rad/s]
80
60
40
20
0
-20
0
2
4
6 temps [s]
8
10
12 5
x 10
Fig. 4. The speed error 140 120
W éstime W rielle W ref
100
vitesse W [rad/s]
80 60 40 20 0 -20 -40 -60
0
2
4
6 temps[s]
8
10
12 5
x 10
Fig. 5. Real, estimated and reference speeds.
5.1 Result Discussions As it is seen in Fig. 3, the rotor speed follows very well all the set points of the reference speed with a good dynamic and an acceptable error shown in Fig. 4. Although the variation of the reference speed, the estimated speed follows its set point value and behaves such as the real speed, which means that the rotor speed is well estimated as it is shown in the comparison curves, Fig. 5.
6 Conclusion This paper proposes the estimation of the PMSM using EKF. This control is associated with a speed control based on field oriented control. The PMSM and the field oriented control strategy have been modelled and developed and applied on speed control of PMSM to solve the non-linearity problem. EKF has been also discussed and applied in order to estimate the speed and avoid further failure, volume and cost. According to the
Control of Permanent Magnet Synchronous Machine
819
simulation results, the proposed technique is able to estimate and control the PMSM speed, with a good dynamic and acceptable error.
References 1. Mademlis, C., Xypteras, N., Margaris, N.: Loss minimization in surface permanent-magnet synchronous motor drives. IEEE Trans. Ind. Electron. 47(1), 115–122 (2000) 2. Dahbi, A., Hachemi, M., Nait Said, M.S., Nait Said, N.: Realization and control of a wind turbine connected to the grid by using PMSG. Energy Convers. Manag. (EC&M) (Elsevier) 84c, 346–353 (2014). https://doi.org/10.1016/j.enconman.2014.03.085 3. Dehghan, S.M., Mohamadian, M., Varjani, A.Y.: A new variable-speed wind energy conversion system using permanent-magnet synchronous generator and Z-source inverter. IEEE Trans. 24(3), 714–724 (2009). https://doi.org/10.1109/TEC.2009.2016022 4. Dahbi, A., Nait-Said, N., Nait-Said, M.-S.: A novel combined MPPT-pitch angle control for wide range variable speed wind turbine based on neural network. Int. J. Hydrogen Energy 41 (2016). https://doi.org/10.1016/j.ijhydene.2016.03.105 5. Qiu, A., Wu, B.: Sensorless control of permanent magnet synchronous motor using extended Kalman filter. In: CCECE 2004 - CCGEI 2004, Niagara Falls (2004). 0-7803-8253-6/04/2004 IEEE Explore 6. Quang, N.P., Dittrich, J.A.: Vector Control of Three-Phase AC Machines. Springer, Heidelberg (2015). ISBN 978-3-662-46914-9, https://doi.org/10.1007/978-3-662-46915-6
Exploring the Performance of CZTS Solar Cells Using BSF Layers A.-A. Kanoun(B) , Z. Kourdi, F. Merad, and M. A. Rabah Algerian Space Agency-Satellite Development Center, Bir El Djir, 31130 Oran, Algeria [email protected], [email protected]
Abstract. In this work we report a numerical simulations using SCAPS-1D device model of Kesterite (CZT(S,Se)) solar cells. In order to improuve the efficiencies of CZTS device, we simulated another ZnO/CdS/CZTS/AlGaAs and ZnO/CdS/CZTS/InGaAs structure. There is an attractive enrichment of the efficiency of CZTS/AlGaAs or CZTS/InGaAs and compared to the conventional CZTS solar cells. Our numerical results appear the possibility for the present solar cells give conversion efficiency of 28% for CZTS/AlGaAs and 26% for CZTS/InGaAs respectively. The current results showed that the addition of AlGaAs or InGaAs layer thin film CIGS solar cells structure has performance parameters according of Band Gap of CZTS. Keywords: CZTS solar cells · SCAPS simulation · AlGaAs · InGaAs
1 Introduction The evolution of thin films solar cells generation have surfaced a new type of solar cells, based on Kesterite semiconductors. This device present many advantage as well: abandoned, non-toxic, low coast and high efficiency for thin films solar cells application [1–3]. The CZTS material is one of the most widely used Kesterite in thin films technology [3]. This cells used CZTS material as absorber layer which achieved a conversion efficacy greater than 22%, showing promising result for this generation [4] and closer to polycrystalline silicon cells [2] the CZTS based photovoltaic cells have become actually an alternative to the CIGS based technologies that still dominate this second generation. In this current paper, we have studied the influence of the addition of a new absorber layer on the performance of the solar cell based on CZTS and the variation of the gap energy and for this we have used the SCAPS-1D software [5, 6].
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 820–825, 2023. https://doi.org/10.1007/978-3-031-21216-1_84
Exploring the Performance of CZTS Solar Cells Using BSF Layers
821
Fig. 1. CZTS solar cell configuration.
2 Computational Details The considered device structures simulations were accomplished using SCAPS-1D [5, 6] thin-film simulation software, under AM 1.5 solar spectrum at 1000 mW/cm2 for J–V characteristics at room temperature of 300. CZTS solar cells are fabricated on substrate of glass. Here, CZTS is considered as the absorber layer and molybdenum is used as back contact between glass substrate and the absorber layer. The device structure is completed by adding CdS as buffer layer. The following equation shows the current-voltage (J-V) characteristic of the solar cell [8]: d2 dx
2
(x) =
q p(x0 − n(x) + ND − NA + ρp − ρn ε0 εr
(1)
dJ n =G−R dx
(2)
dJ p =G−R dx
(3)
where φ: the electrostatic potential. q: electric charge. ε0 , εr : vacuum and relative permittivity. p & n: the trapped hole and trapped electron. N− A : the dopant carrier concentration of negatively charged acceptor. N+ D : the dopant carrier concentration of positively charged donor. ρp : the distribution of holes.
822
A.-A. Kanoun et al.
ρn : the distribution of electrons. Jn & Jp : current densities of electron and hole. R: carrier recombination rate. G: the generation rate. The charge carrier transport is described by the drift–diffusion equations for electrons and holes with convenient boundary conditions and is given as Jn = Dn
dn d∅ + μn n dx dx
(4)
Jp = Dp
dp d∅ + μp p dx dx
(5)
where μn and μp represent the mobility of electrons and mobility of the hole, respectively. All these equations can be solved using self-consistent iteration. We numerically model the output characteristics of CZTS thin film solar cells using computer modeling implemented by the software SCAPS [6]. Proceed with the simulation. Input files were selected or limited to reasonable limits based on reported literature values. The SCAPS simulator requires input of material parameters for each layer in the solar cell structure. Table 1. Physical parameters of material. Layer properties
ZnO
n-CdS
p-CZTS
InGaAs
AlGaAs
Eg (eV)
3.40
2.40
1
0.634–1.425
1.425–1.98
χ (eV)
4.55
4.50
4.35
4.11–4.6095
3.575–4.07
Er
10
10
13.60
12.90
12.9
μn (cm2 /Vs)
50
100
100
8500
8500
μp (cm2/Vs)
20
25
25
400
400
Nc (cm−3 )
4 × 1018
2.2 × 1018
2.2 × 1018
1 × 1018
1x1018
Nv (cm−3 )
9 × 1018
1.8 × 1019
1.8 × 1019
1 × 1019
1x1019
ND (cm−3 )
1017
2.0 × 1087
–
–
–
–
–
8.0 × 1016
1 × 1018
1 × 1018
Ve (cm/s)
107
107
107
107
107
Vh (cm/s)
107
107
107
107
107
Defect density
1014
1014
1014
1014
1014
Thickness (μm)
0.05
0.05
1
1
1
NA
(cm−3
3 Results and Discussion To boost the performance of Kesterite solar cells, we investigated the feasibility of adding new layers of InGaAs or AlGaAs to CZTS-based solar cells. In this simulation, InGaAs
Exploring the Performance of CZTS Solar Cells Using BSF Layers
823
or AlGaAs was added at the CZTS/InGaAs or AlGaAs back contact fabrication interface, which eliminated most of the recombination losses at the CZTS solar cell back contact (see Fig. 1). Table 1 provides the main parameters of the materials considered. The performance of solar cells depends on the response of the solar spectrum. This is affected by the optical properties of the absorber layer. The optical properties of the absorber layer is an important parameter that plays a crucial role in optimizing the performance of solar cells (see Fig. 2).
Fig. 2. Quantum efficiency curves of CIGS solar cells with InGaAs or AlGaAs layers.
Absorber thickness is a very crucial parameter for the performance of solar cells. Therefore, it is important to understand the impact of choosing an ideal thickness on the design of solar cells. The thickness effect on the performance parameters of CZTS based solar cells is investigated by varying the absorber thickess from 200 nm to 1000 nm. The J-V characteristics with variation of absorber Thickness values were illustrated in Fig. 3. When the absorber thickess is increasing, enhacement is seen in Voc and Jsc, due to an augmentation in absorption of light’s energy.
Fig. 3. J-V curves of CZTS solar cells with InGaAs or AlGaAs layers.
In electronic devices, the band gap plays a crucial role in defining the performance parameters of solar cells, since band gap can contribute to improve optical quality of absorber layer and interface between absorber and back contact. in this work we changed the AlInGaAs alloys to see the effect of additing layer’s gap.
824
A.-A. Kanoun et al.
Fig. 4. Jsc versus absorber thickness and BSF band gap.
Fig. 5. Voc versus absorber thickness and BSF band gap.
Fig. 6. Fill Factor versus absorber thickness and BSF band gap.
Furthermore, increasing the bandgap energy from 0.6 to 1.2 eV causes the Jsc to increase and remain constant between 1.5 and 1.9 eV (see Fig. 4). The Voc enhancement parameter increases with thickness from 0.6 to 1 eV and from 1.2 to 1.9 eV, and remains constant from 1 to 1.2 eV with CZTS thickness (see Fig. 5). Figures 6 and 7 show the effect on the efficiency and fill factor of CZTS solar cells for InGaAs and AlGaAs layers with different bandgap energies. As the bandgap energy increases, the FF increases significantly from 74% to 85% and remains the same. This is due to the formation of
Exploring the Performance of CZTS Solar Cells Using BSF Layers
825
Fig. 7. Efficiency versus absorber thickness and BSF band gap
many recombination centers in the interfacial and internal solar cells, thereby increasing the recombination rate of carriers.
4 Conclusion In the present work, we investigated the performance of CZTS-based solar cell devices using SCAPS software. The CZTS structure was modified by adding new semiconductor layers based on a mixture of InGaAs and AlGaAs. This addition boosted the collection of charge carriers, thereby improving the performance of the solar cell. Therefore, the adding layer based on InGaAs or AlGaAs, increase the efficiency of the solar cell device and allow it to harvest solar energy with CZTS efficiency.
References 1. Chopra, K.L., Paulson, P.D., Dutta, V.: Thin-film solar cells: an overview. Prog. Photovolt. Res. Appl. 12, 69–92 (2004) 2. National Renewable Energy Laboratory: Best research cell efficiencies (2017). http://www. nrel.gov/ncpv/images/efficiency_chart.jpg 3. Chiril˘a, A., et al.: Potassium-induced surface modification of Cu(In, Ga)Se2 thin films for high-efficiency solar cells. Nat. Mater. 12, 1107 (2013) 4. Islam, M.F., Yatim, N.M., Hashim, M.A.: A rewiew of thin film solar cell technology. J. Adv. Res. Fluid Mech. Thermal Sci. 81(1), 73–87 (2021) 5. Burgelman, M., Nollet, P., Degrave, S.: Modelling polycrystalline semiconductor solar cells. Thin Solid Films 361, 527–532 (2000) 6. Burgelman, M., Decock, K., Khelifi, S., Abass, A.: Advanced electrical simulation of thin film solar cells. Thin Solid Films 535, 296–301 (2013) 7. Heriche, H., Rouabah, Z., Bouarissa, N.: High-efficiency CIGS solar cells with optimization of layers thickness and doping. Opt.-Int. J. Light Electron Opt. 127, 11751–11757 (2016) 8. Vermang, B., et al.: Employing Si solar cell technology to increase efficiency of ultra-thin Cu (In, Ga) Se2 solar cells. Prog. Photovolt. Res. Appl. 22, 1023–1029 (2014)
Investigation and Improved Performance of MASnI3 and MASnBr3 Perovskites Solar Cells with Porous Silicon Layer B. Bachiri and K. Rahmoun(B) Department of Physics, Faculty of Sciences, Unit of Research of Materials and Renewable Energy, URMER, University of Tlemcen, Abou-Bekr Belkaïd, BP119, 13000 Tlemcen, Algeria {badiaa.bachiri,Khadidja.rahmoun}@univ-tlemcen.dz
Abstract. In present work (PSi/CuO/perovskite/ZnO) have been investigated using two perovskites materials MASnBr 3 and MASnI3 . Solar Cell Capacitance Simulator (SACPS-1D) software utilized as simulation software. The influence of thickness and Psi acceptor concentration have been studied in this work, where the optimum thickness value of MASnI3 and MASnBr 3 are respectively 1.044 μm and 0,622 μm. The results reveal that power conversion efficiency of the both devices are 32.17% for MASnI3 and 8.38%. Furthermore, open circuit voltage (Voc), Short circuit current (Jsc), Fill Factor (FF) values for MASnI3 are respectively 1.26 V, 31.2 mA.cm−2 , 81.59% and for MASnBr3 are respectively 1.93 V, 7.62 mA.cm−2 , 57.10%. Keywords: Perovskites · MASnBr3 · MASnI3 · Power conversion efficiency · SACPS-1D
1 Introduction One of the main challenges of the century is investigating potential materials for energy production. A class of semiconductors known as metal halide perovskites has the capacity to produce photovoltaics devices that are more affordable than silicon-based technology [1]. Due to their exceptional photovoltaic performance, perovskites have garnered attention on a global scale [2]. Notably, lead (Pb) halide perovskites-based solar cells have received a lot of attention due to their rapidly rising power conversion efficiency (PCE), which increased from 3.8% in 2009 to 25.7% in 2021. Compared to the lengthy development process for modern, established solar cells made of GaAs, CdTe and CIGS [3, 4]. However, it is impossible to overlook Pb’s toxicity toward both people and the environment, which seriously impedes the commercialization of Pb-based PSCs in the future [5]. As a result, significant efforts have been made to investigate less toxic and eco-friendly perovskite materials, such as tin (Sn) and germanium (Ge) [6] to replace Pb. Several researchers have shown interest in perovskite solar cell device based Sn over
© The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 826–832, 2023. https://doi.org/10.1007/978-3-031-21216-1_85
Investigation and Improved Performance
827
the world. Deepthi et al. [7] are utilized MASnI3 as the absorber layer in all investigations, and a variety of alternate ETMs and HTMs are studied. These configurations of solar cells have been identified and evaluated to have high efficiency of 25.05% and good stability. Samiul et al. [8] identified the density of defect tolerance in MASnBr 3 as absorber layer. Furthermore, the simulation has been investigated also the influences of metal work function, uniform donor density in the electron transport layer and the impact of series resistance on the photovoltaic parameters when its efficiency has been evaluated at 21.66%. The aim of the current work is to assess the impact of the MASnI 3 and MASnBr 3 as an absorber layer on the device performance using the configuration of (PSi/CuO/perovskite/ZnO). CuO as the hole transport layer and ZnO as the electron transport layer and employing a solar cell capacitance simulator (SCAPS) to examine its electronics properties.
2 Methodology and Materials In this work a planer heterojunction perovskite solar cell’s performance-based Sn is numerically simulated utilizing Solar Cell Capacitance Simulator (SACPS-1D). It is a software framework for solar simulation research developed by the Department of Electronic and Informative Systems at the University of Gent, is widely used and acknowledged (ELIS) [9, 10]. The architecture model and device structure are shown in Fig. 1 by the following configuration (PSi/CuO/perovskite/ZnO), where we compare two materials based on Sn, MASnBr 3 and MASnI 3 in the perovskite layer. HTM makes use of (CuO, p-type). While p-type porous silicon (p-PSi) was deposited on top of the structure, the ETM layer is (ZnO, n-type). In all simulations, the sun’s light is taken to be AM 1.5G (1000 Wm−2 ).
Fig. 1. Architecture model of the solar cell device
828
B. Bachiri and K. Rahmoun
To get a good simulation results, all material properties need to be carefully chosen. The majority of these characteristics were taken from previously published research in cited journals that considered a comparable fabrication environment, at an ideal temperature of T = 300 K, a PS layer with a porosity of 91%, and a bang gap value of 1.98 eV [15]. The properties of the material that was selected for each layer are outlined in Table 1. Additionally, during the simulations, bulk defect densities were included and examined, for each material: Perovskites and Psi are evaluated by 1.1016 cm−3 , 1.1015 cm−3 for ZnO and CuO are evaluated by 1.1014 cm−3 . Table 1. Electrical properties of different layer used in SCAPS Properties
MASnI 3
MASnBr 3
n-ZnO
CuO
PSi
Thickness (μm)
0.4
0.4
0.15
0.149
0.125
Eg (eV)
1.3
2.15
3.2
2.17
1.98
χ (eV)
4.1
3.39
3.9
3 .2
4.09
1r
8.2
8.2
9.00
7.110
2
Nc (1/cm3 )
1.1018
1.1018
1.21.1021
2.02.1017
2.820.1019
Nv (1/cm3 )
1.1019
1.1019
2.1020
1.1.1019
1.04.1019
NA (1/cm3 )
00
1.1018
00
1.1018
1.1016
ND (1/cm3 )
00
1.1018
2.1019
00
00
2.102
1.5.103
μn (cm2 /Vs)
1.6
1.6
2.101
μp (cm2 /Vs)
1.6
1.6
1.101
8.101
4.5.102
References
[11]
[12]
[13]
[14]
[15]
3 Results Table 2 represent a short circuit current density, an open-circuit voltage,fill factor and conversion power effeincy output for the both structure (PSi/CuO/ MASnBr 3 /ZnO) and (PSi/CuO/ MASnI 3 /ZnO).When comparing the two structures, it is clear that there are notable and significant differences between the two solar cell devices. The MASnI 3 structure has a higher power conversion efficiency of 32.17% compared to the MASnBr 3 structure, which has an efficiency of 8.38%. Table 2. The output results of the simulation Structure (PSi/CuO/ MASnBr 3 /ZnO) (PSi/CuO/ MASnI 3 /ZnO)
J(mA.cm−2 ) 7.62 31.2
η%
V(V )
FF %
1.93
57.10
8. 38
1.26
81.59
32.17
Investigation and Improved Performance
829
3.1 J-V Characteristics of MASnBr3 and MASnI 3 Solar Cell Device The J-V characteristics of the MASnI 3 and MASnBr 3 solar cell structures are shown in Fig. 2. The increased light absorption by the perovskite layer, which is reflected in the wide wave length range absorption as well, is observed to cause a larger current density. MASnI3 covers a wide range of the visible light spectrum because of its smaller band gap [16].
Fig. 2. I-V curve characteristics of perovskites solar cells
3.2 Influence of the Variation of PSi Acceptor Doping Concentration on the Performance of the Device Doping Psi layer as an acceptor element make a remarkable impact on the cell performance. The simulation was done by doping from 1.108 to 1.1019 atom per cm3 . Figure 3 represent the evolution of efficiency when doping Psi as an acceptor element. We observe that the both graph are relatively similar, efficiency increase when we dope more then it quite stable at 3.1018 atom per cm3 according MASnI 3 graph and 2.5.1018 atom per cm3 for MASnBr 3 ,when efficiencies are respectively 32.2% and 9.09%. Several configurations are study using MASnI 3 as an absorber layer. Table 3 represent a comparison between the configuration using in this study and two others in the field. The results show that the best structure that give a higher performance is (PSi/CuO/MASnI 3 /ZnO) whatever we use CZTS and GaAs as electron transporter layer and ZnO as hol transporter layer.
830
B. Bachiri and K. Rahmoun
Fig. 3. Variation of Psi doping on the performance of the cells
Table 3. Comparison between our results and two others with MASnI 3 as absorber layer Structure
J(mA.cm−2 )
V(V )
FF %
η%
(PSi/CuO/MASnI 3 /ZnO)
31.2
1.26
81.59
32.17
(FTO/ZnO/MASnI 3 /CZTS) [17]
34.31
1.03
86.39
30.41
(FTO/ZnO/CdS/MASnI 3 /GaAs) [18]
–
–
–
23.80
3.3 Impact of Variation Thickness Layer Absorber on the Performance of the Cell Thanks to the variation in layer absorber thickness, scientist can more precisely determine the ideal value that provides the best performance. As shown in Fig. 4 Power conversion efficiency rises with thickness, takes a higher value, then drops little to become relatively constant. This is attributed to an increase in efficiency given by the perovskite’s production of more electron-hole pairs. The optimum thickness value of MASnI 3 and MASnBr 3 are respectively 1.044 μm and 0,622 μm. When their efficiency are 34.25% for MASnI 3 and 9.72% for MASnBr 3 .
Fig. 4. Variation of thickness on the solar cell performance
Investigation and Improved Performance
831
4 Conclusions In this paper a perovskite solar cell was study using tow configurations (PSi/CuO/MASnBr 3 /ZnO) and (PSi/CuO/MASnI 3 /ZnO) when MASnI 3 and MASnBr 3 an absorber layers. Results of the simulation are given by SCAPS 1D software. The impact of varying thickness of the perovskite layer, PSi acceptor concentration and J-V curve behaviour on the performance of the solar cell structure have been investigated in the both structures. By doping Psi layer efficiency of the device rise to 32.2% in MASnI 3 structure and 9.09% in MASnBr 3 .The optimum thickness value of MASnI 3 and MASnBr 3 are respectively 1.044 μm and 0,622 μm while the efficiency increase to 34.25% for MASnI 3 and 9.72% forMASnBr 3 . The simulations result from this investigation would open the way for an inexpensive, environmentally beneficial, and high-performance PSC manufacturing method.
References 1. Zhang, W., Eperon, G.E., Snaith, H.J.: Metal halide perovskites for energy applications. Nat. Energy 1(6), 16048 (2016). https://doi.org/10.1038/nenergy.2016.48 2. Liu, X., et al.: Improved efficiency and stability of Pb–Sn binary perovskite solar cells by Cs substitution. J. Mater. Chem. A 4(46), 17939–17945 (2016). https://doi.org/10.1039/C6T A07712A 3. NREL web site ‘Best Research-Cell Efficiency Chart’. https://www.nrel.gov/pv/cell-effici ency.html. Accessed 11 Sep 2022 4. Kojima, A., Teshima, K., Shirai, Y., Miyasaka, T.: Organometal Halide Perovskites as visiblelight sensitizers for photovoltaic cells. J. Am. Chem. Soc. 131(17), 6050–6051 (2009). https:// doi.org/10.1021/ja809598r 5. Sun, N., et al.: Architecture of p-i-n Sn-based perovskite solar cells: characteristics, advances, and perspectives. ACS Energy Lett. 6(8), 2863–2875 (2021). https://doi.org/10.1021/acsene rgylett.1c01170 6. Bhattarai, S., Das, T.D.: Optimization of carrier transport materials for the performance enhancement of the MAGeI3 based perovskite solar cell. Sol. Energy 217, 200–207 (2021). https://doi.org/10.1016/j.solener.2021.02.002 7. Deepthi Jayan, K., Sebastian, V.: Comprehensive device modelling and performance analysis of MASnI3 based perovskite solar cells with diverse ETM, HTM and back metal contacts. Sol. Energy 17, 40–48 (2021). https://doi.org/10.1016/j.solener.2021.01.058 8. Islam, Md. S., et al.: Defect study and modelling of SnX3-based perovskite solar cells with SCAPS-1D. Nanomaterials 11(5), 1218 (2021). https://doi.org/10.3390/nano11051218 9. Koen Decock, M.C., Niemegeers, A., Verschraegen, J., Degrave, S.: SCAPS Manual Most Recent, Version: 8-4 (2021) 10. Verschraegen, J., Burgelman, M.: Numerical modeling of intra-band tunneling for heterojunction solar cells in scaps. Thin Solid Films 515(15), 6276–6279 (2007). https://doi.org/10. 1016/j.tsf.2006.12.049 11. Mandadapu, U., Vedanayakam, V., Reddy, M.R., Babu, B.J.: Design and simulation of high Efficiency Tin halide perovskite solar cell. Int. J. Renew. Energy Res. Int. J. Renew. Energy Res. 7(4):1603–1612 (2017). https://doi.org/10.20508/ijrer.v7i4.6182.g7270 12. Babu, B.J., Mandadapu, U., Vedanayakam, S.V., Thyagarajan, K.: Optimisation of high efficiency tin halide perovskite solar cells using SCAPS-1D. Int. J. Simul. Process Model. 13(3), 221 (2018). https://doi.org/10.1504/IJSPM.2018.10014179
832
B. Bachiri and K. Rahmoun
13. Deepthi Jayan, K., et al.: Simulation and optimization studies on CsPbI3 based inorganic perovskite solar cellsf. Solar Energy 221 (2021) 14. Deepthi Jayan, K., Sebastian, V.: Comprehensive device modelling and performance analysis of MASnI3 based perovskite solar cells with diverse ETM, HTM and back metal contacts. Solar Energy 217 (2021) 15. Ait habbouche, I.: Etude des Caractéristiques du Silicium Poreux pour Application aux Cellules Photovoltaïques’, Master’s degree thesis, Abou Bekr Belkaid Tlemcen University (2016) 16. Baig, F., Hameed Khattak, Y., Marı, B., Beg, S., Rizwan Gillani, S., Ahmed, A.: Mitigation of interface recombination by careful selection of ETL for efficiency enhancement of MASnI3 solar cell. Optik. 170, 463–474 (2018). https://doi.org/10.1016/j.ijleo.2018.05.135. 17. Islam, Md. A., Bin Alamgir, Md. N., Chowdhury, S.I., Billah, S.M.B: Lead-free organic inorganic halide perovskite solar cell with over 30% efficiency. J. Ovonic Res. 18(3), 395–409 (2022). https://doi.org/10.15251/JOR.2022.183.395 18. Qasim, I., et al.: Numerical optimization of (FTO/ZnO/CdS/CH3NH3SnI3/GaAs/Au) perovskite solar cell using solar capacitance simulator with efficiency above 23% predicted. Opt. Quant. Electron. 53(12), 1–18 (2021). https://doi.org/10.1007/s11082-021-03361-5
Author Index
A Abadlia, Issam, 762 Abbadi, Amel, 261 Abbou, H., 602 Abdelkader, Djahbar, 555 Abdoune, Fateh, 762 Abid, Chérifa, 685 Adjmi, Samah, 685 Ahmed, Ghadbane, 526 Ahmed, Halak, 437, 448 Ahmed, Salhi, 751 Ahriche, Aimad, 281, 629, 639 Aibeche, Abderrezak, 629, 639 Aidel, Salih, 49 Aissaoui, Azzedine, 115, 299 Akroum, H., 614 Alaoui, Nail, 469 Amaria, Saidi, 132 Ameur, Aissa, 706 Ameur, K., 574 Ameziani, D. E., 777 Amine, Chaima, 342, 437, 448 Amine, Sid Mohamed, 368 Amrane, Foudil, 408 Anes, Bouhanik, 751 Annou, A., 249 Aoun, Nouar, 814 Arab, A., 777 Arezki, Saliha, 350 Arif, S., 602, 719 Atoussi, Mahedi Abdelghani, 223 Azzouz, F. N., 249
B Baba-Ahmed, M. Z., 183 Bachir, Nail, 493 Bachir, Salma Nait, 350 Bachiri, B., 826 Bailek, N., 537 Bakou, Youcef, 691 Bakria, Khaled, 115, 299 Barakat, A., 594 Bayou, Meroua, 342, 437, 448 Beddar, Antar, 762 Bekkouche, Abdelghani, 342, 437, 448 Bekkour, Belkacem, 342, 437, 448 Bekraoui, Amina, 770 Belazzoug, Massinissa, 49 Belfennache, D., 700 Bel-Hadj, I., 91 Belhamidi, M., 384 Belhaouas, Naserdine, 115, 299 Belkacem, Larbi, 526 Bellaoui, M., 537 Benabdelkrim, B., 584 Benahmed, Khelifa, 126 Benaissa, Zakia, 76 Benatiallah, A., 3, 584 Benatiallah, D., 3 Benazzouz, Djamel, 99, 408 Bendaoudi, A., 238 Bendib, R., 213 Benkhallouk, K., 238 Benlahbib, Boualam, 672, 814 Benlala, Wissam, 398 Benmalek, Assala, 342, 437, 448 Benmedjahed, Miloud, 814
© The Editor(s) (if applicable) and The Author(s), under exclusive license to Springer Nature Switzerland AG 2023 M. Hatti (Ed.): IC-AIRES 2022, LNNS 591, pp. 833–836, 2023. https://doi.org/10.1007/978-3-031-21216-1
834 Benna, A., 170 Bennaoui, Ahmed, 706 Bennaoui, Ameur, 706 Benosman, H., 196 Bensaada, M., 289 Bensaid, Samir, 106 Berbaoui, B., 427 Berhab, S., 249 Berka, M., 238 Berracheddi, L., 213 Bettache, F., 261 Bettahar, Toufik, 408 Bettayeb, Maamar, 223 Bikov, Dushan, 22 Birame, M., 419 Bouaam, A., 565 Bouchelaghem, Siham, 398 Bouchetob, Elaid, 322 Bouchiba, O., 794 Bouchouicha, K., 3, 537 Boudjella, Aissa, 13 Boudjella, Manal Y., 13 Boudour, Rachid, 66 Bougrioua, Z., 91 Bouhali, Omar, 58 Boukhelif, Rafik, 614 Boumegouas, Mohamed Kabir Billal, 419 Bounaama, Fateh, 126 Boura, Mohammed, 691 Bouraiou, Ahmed, 281, 814 Bouregba, H., 740 Bousalah, F., 183, 196 Boutadara, Ahmed, 814 Bouthiba, Y., 672 Bouzerdoum, M., 82 Bouziane, Ali, 729 Brahimi, Y., 201 C Chaib, Lakhdar, 58, 785 Chebbara, Fouad, 160 Cheggaga, N., 332 Chemala, Mansour Abou, 379 Cheridi, A. L. Deghal, 565 Cherifi, A., 213 Cherifi, Dalila, 342, 437, 448 Chettih, S., 794 Chitroub, S., 201 Chouaba, S. E., 594 Choucha, Abdelghani, 785 Chouya, M., 249 D Dadda, A., 565 Dahbi, Abdeldjalil, 384, 814
Author Index Dahia, A., 565 Dahli, K., 332 Dalila, Cherifi, 37 Delassi, A., 602 Della Krachai, S., 289 Derkaoui, Mokhtaria, 379 Dinar, A. E., 269 Djalab, Aicha, 469 Djamel, Derkouche, 501 Djazari, N., 82 Djedjiga, Boukhlef, 770 Djehaiche, Rania, 49 Djeldjli, H., 3 Djemai, Naimi, 751 Doghmane, Mohamed Z., 76, 629, 639 Doghmane, Mouhamed Z., 614 E Echchaoui, Hanane, 66 Eladj, Said, 76 F Ferroudji, F., 547 Ferroudji, Fateh, 691 Ferroukhi, M., 213 G Gaceb, Y., 201 Gahgouhi, S., 574 Ghaitaoui, T., 584 Ghouali, S., 269 Goossens, Bernard, 22 Goual, M. A. K., 196 Gougam, Fawzi, 99 Gourari, F. Z., 234 Guellil, M. S., 269 Guelta, B., 148 Guentri, H., 384 Guentri, Hocine, 814 H Hachana, Oussama, 368 Hachemi, A., 719 Hachemi, M., 740 Haddadi, N., 201 Hadjaissa, A., 574 Hadjrioua, Farid, 115, 299 Halak, Ahmed, 342 Halouane, Y., 777 Hamidat, M., 313, 794 Hamidia, Fethia, 261 Hammoudi, S., 170 Hamouche, Houria, 307 Hamouda, Messaoud, 814 Harrag, Abdelghani, 814
Author Index Harzallah, Salaheddine, 493 Hassaine, Linda, 762 Hatti, Mustapha, 350 Himrane, N., 777 Hireche, Z., 777 Houassine, Hamza, 106 I Ichou, S., 170 Imene, Djedidi, 751 Iourzikene, Zouhir, 99 Irid, M. E., 234 J Jaballah, Mohamed Seghir, 493 K Kada, Becharef, 132 Kanoun, A.-A., 820 Katia, Kouzi, 501, 526 Keltouma, Nouri, 132 Khalifa, F., 148 Kharfia, Bouhellala, 511 Khelf, Mouloud, 482 Khelfaoui, Abderrahman, 814 Khemili, Fatima Zahra, 58, 785 Kious, Mecheri, 574, 805 Kourdi, Z., 820 Koussa, Khaled, 691 Koussa, Mustapha, 115 Kouzi, Katia, 313, 419, 794 L Lachtar, S., 281 Laissaoui, M., 82 Lakdja, F., 384 Lamraoui, Mourad, 652 Laouid, Abdelkader Azzeddine, 469 Laribi, S., 427 Lefouilli, Moussa, 58 Lemchachaa, Mohammed, 814 M Madi, D., 700 Mahami, Amine, 408 Mahdjoub, Z., 238 Makhloufi, Saida, 115 Malika, Allali, 770 Mammeri, E., 281 Maouedj, R., 427 Mayouf, Abdelhalim, 189 Mechti, Ines, 342, 437, 448 Medjaher, Kamal, 652 Meghni, B., 672 Mekhilef, S., 740
835 Mellit, A., 82 Merad, F., 196, 820 Meriah, S. M., 234 Meridja, Hadj, 379 Messafer, T., 457 Messasma, C., 594 Messatfa, Tarek, 160 Meziane, A., 170 Miloua, Hadj, 729 Mohamed, Nekkaz, 555 Mohammed, Benali Youcef, 555 Mokhtari, Mokhtar, 189 Mosbah, A., 234 Mouhadjer, Samir, 814 Mustapha, Hatti, 526 N Nadia, Bouazza Nadjet, 132 Nadjat, Kouki, 770 Nadji, Bouchra, 322, 665 Nail, Bachir, 223 Necaibia, A., 281 Neila, Bhouri, 482 O Onyema, E. M., 269 Ouada, M., 672 Ouadfel, Ghania, 106 Ouadfeul, Sid-Ali, 76 Ouanani, M., 457 Ouldamer, R., 700 Ouledali, Omar, 814 Oulimar, I., 537 P Parello, David, 22 R Rabah, M. A., 183, 196, 820 Rabhi, A., 574 Rahmoun, K., 826 Rahmoune, Chemseddine, 408 Ratni, A., 740 Rezki, Mohamed, 106 Rouabhia, Abdelkrim, 770 Roumaissa, Boudrali, 66 Roummani, Khayra, 547, 691 S Saadi, Slami, 805 Saadi, H., 213 Saadi, Slami, 223, 706 Sadaoui, F., 719 Saeed, Nasir, 49 Saheb-Koussa, Djohra, 115
836 Saighi, A., 201 Saihi, Lakhdar, 547, 691 Sandjak, K., 457 Sari, B., 594 Sefsaf, H., 665 Shabat, Mohammed M., 307 Skender, M. R., 261 Slimani, Abdeldjalil, 814 Soualhi, Abdenour, 652 T Tadj, Mohammed, 785 Taghezouit, B., 299 Tahar, Benras Mohamed, 368 Taleb, R. D., 183 Tellaa, Mawloud, 629, 639 Touahri, T., 427 Touati, Khaled O. M., 805 Touati, Mohamed, 408 Touati, Zohra, 805 Touhami, Achouak, 126
Author Index Touhami, Nawal, 126 Touhami, Sana, 126 Turkiya, Abes, 132 W Wafaa, Daoudi, 132 Wassim, Belkadi, 37 Y Yakhelef, Y., 665 Yazid, Mohand, 398 Yousfi, Bilal El, 652 Z Zaitri, Mohammed, 729 Zakaria, Cherifi, 37 Zebboudj, Ahmed H., 614 Ziane, A., 281 Zine, Saadi, 770 Ziouche, K., 91 Zoubiri, Bachir, 189