Smart sensor systems 0470866918, 9780470866917, 9780470866924

Information processing systems need sensors to acquire the physical, mechanical and chemical information to be able to f

268 37 7MB

English Pages 411 Year 2008

Report DMCA / Copyright

DOWNLOAD PDF FILE

Recommend Papers

Smart sensor systems
 0470866918, 9780470866917, 9780470866924

  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

SMART SENSOR SYSTEMS

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

SMART SENSOR SYSTEMS Edited by

Gerard C.M. Meijer Delft University of Technology, the Netherlands SensArt, Delft, the Netherlands

A John Wiley and Sons, Ltd, Publication

This edition first published 2008  C 2008 John Wiley & Sons, Ltd, except for: C 2008 Reinoud Wolffenbuttel. Printed by John Wiley & Sons, Ltd Chapter 4  C 2008 Michael Vellekoop. Printed by John Wiley & Sons, Ltd Chapter 5  C 2008 Sander van Herwaarden. Printed by John Wiley & Sons, Ltd Chapter 6  Registered office

John Wiley & Sons, Ltd, The Atrium, Southern Gate, Chichester, West Sussex, PO19 8SQ, United Kingdom For details of our global editorial offices, for customer services and for information about how to apply for permission to reuse the copyright material in this book please see our website at www.wiley.com. The right of the author to be identified as the author of this work has been asserted in accordance with the Copyright, Designs and Patents Act 1988. All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording or otherwise, except as permitted by the UK Copyright, Designs and Patents Act 1988, without the prior permission of the publisher. Wiley also publishes its books in a variety of electronic formats. Some content that appears in print may not be available in electronic books. Designations used by companies to distinguish their products are often claimed as trademarks. All brand names and product names used in this book are trade names, service marks, trademarks or registered trademarks of their respective owners. The publisher is not associated with any product or vendor mentioned in this book. This publication is designed to provide accurate and authoritative information in regard to the subject matter covered. It is sold on the understanding that the publisher is not engaged in rendering professional services. If professional advice or other expert assistance is required, the services of a competent professional should be sought. Cover picture: copyright Sodern. The sensor on the cover picture was developed by Xensor Integration for Sodern (subsidiary of EADS)

Library of Congress Cataloging-in-Publication Data

Smart sensor systems/ edited by Gerard C.M. Meijer. p. cm. Includes bibliographical references and index. ISBN 978-0-470-86691-7 (cloth) 1. Detectors–Design and construction. 2. Detectors–Industrial applications. I. Meijer, G. C. M. (Gerard C. M.) TA165.S55 2008 681′ .25–dc22

3. Microcontrollers.

2008017675 A catalogue record for this book is available from the British Library. ISBN: 9780470866917 Set in 10/12pt Times by Aptara Inc., New Delhi, India Printed in Great Britain by Antony Rowe Ltd, Chippenham, Wiltshire

Contents Preface About the Authors 1 1.1 1.2

1.3 1.4

1.5 2

2.1 2.2 2.3

2.4 2.5

Smart Sensor Systems: Why? Where? How? Johan H. Huijsing Third Industrial Revolution Definitions for Several Kinds of Sensors 1.2.1 Definition of Sensors 1.2.2 Definition of Smart Sensors 1.2.3 Definition of Integrated Smart Sensors 1.2.4 Definition of Integrated Smart Sensor Systems Automated Production Machines Automated Consumer Products 1.4.1 Smart Cars 1.4.2 Smart Homes 1.4.3 Smart Domestic Appliances 1.4.4 Smart Toys Conclusion References Interface Electronics and Measurement Techniques for Smart Sensor Systems Gerard C.M. Meijer Introduction Object-oriented Design of Sensor Systems Sensing Elements and Their Parasitic Effects 2.3.1 Compatibility of Packaging 2.3.2 Effect of Cable and Wire Impedances 2.3.3 Parasitic and Cross-effects in Sensing Elements 2.3.4 Excitation Signals for Sensing Elements Analog-to-digital Conversion High Accuracy Over a Wide Dynamic Range 2.5.1 Systematic, Random and Multi-path Errors 2.5.2 Advanced Chopping Techniques 2.5.3 Autocalibration

xiii xv 1 1 3 3 9 9 11 12 16 16 16 17 19 21 21 23 23 24 25 25 26 27 29 30 33 33 34 36

vi

Contents

2.5.4 Dynamic Amplification 2.5.5 Dynamic Division and Other Dynamic Signal-processing Techniques 2.6 A Universal Transducer Interface 2.6.1 Description of the Interface Chip and the Applied Measurement Techniques 2.6.2 Realization and Experimental Results 2.7 Summary and Future Trends 2.7.1 Summary 2.7.2 Future Trends Problems References

37 40 41 41 47 50 50 51 51 54

3

55

3.1 3.2 3.3

3.4

3.5

3.6

4 4.1 4.2 4.3 4.4

4.5

Silicon Sensors: An Introduction Paddy J. French Introduction Measurement and Control Systems Transducers 3.3.1 Form of Signal-carrying Energy 3.3.2 Signal Conversion in Transducers 3.3.3 Smart Silicon Sensors 3.3.4 Self-generating and Modulating Transducers Transducer Technologies 3.4.1 Introduction 3.4.2 Generic Nonsilicon Technologies 3.4.3 Silicon Examples of Silicon Sensors 3.5.1 Radiation Domain 3.5.2 Mechanical Domain 3.5.3 Thermal Domain 3.5.4 Magnetic Domain 3.5.5 Chemical Domain Summary and Future Trends 3.6.1 Summary 3.6.2 Future Trends References Optical Sensors Based on Photon Detection Reinoud F. Wolffenbuttel Introduction Photon Absorption in Silicon The Interface: Photon Transmission Into Silicon Photon Detection in Silicon Photoconductors 4.4.1 Photoconductors in Silicon: Operation and Static Performance 4.4.2 Photoconductors in Silicon: Dynamic Performance Photon Detection in Silicon pn Junctions 4.5.1 Defining the Depletion Layer at a pn Junction 4.5.2 Electron–hole Collection in the Depletion Layer

55 55 57 57 59 60 63 63 63 64 66 68 68 70 70 72 74 75 75 75 76 79 79 81 84 87 89 93 93 94 97

Contents

4.6

4.7

4.8

4.9

5 5.1

5.2 5.3 5.4 5.5

5.6

5.7

vii

4.5.3 Electron–hole Collection in the Substrate 4.5.4 Electron–hole Collection Close to the Surface 4.5.5 Backside-illuminated Pin Photodiode 4.5.6 Electron–hole Collection in Two Stacked pn Junctions Detection Limit 4.6.1 Noise in the Optical Signal 4.6.2 Photon Detector Noise 4.6.3 Photon Detector Readout Photon Detectors with Gain 4.7.1 The Phototransistor 4.7.2 The Avalanche Photodiode 4.7.3 Time Integration of Photon-generated Charge Application Examples 4.8.1 Color Sensor in CMOS 4.8.2 Optical Microspectrometer in CMOS Summary and Future Trends 4.9.1 Summary 4.9.2 Future Trends Problems References

97 99 100 102 103 104 105 106 108 108 109 112 113 113 115 117 117 118 119 119

Physical Chemosensors Michael J. Vellekoop Introduction 5.1.1 Thin-film Chemical Interfaces 5.1.2 Total Analysis Systems Physical Chemosensing Energy Domains Examples and Applications Examples of in situ Applications 5.5.1 Blood Oximeter 5.5.2 Thermal Conductivity Detector 5.5.3 Engine Oil Monitoring System 5.5.4 Oil-condition Sensor Based on Infrared Measurements 5.5.5 Electronic Nose Microfluidics Devices 5.6.1 Projection Cytometer 5.6.2 Coulter Counter 5.6.3 Dielectrophoresis-based Devices 5.6.4 High-throughput Screening Arrays 5.6.5 Contactless Conductivity Detection in CE Conclusions Problems References

121 121 122 122 123 124 126 127 127 127 129 130 130 131 135 138 140 144 145 146 147 147

viii

6 6.1

6.2 6.3

6.4

6.5

6.6

7

Contents

Thermal Sensors Sander (A.W.) van Herwaarden The Functional Principle of Thermal Sensors 6.1.1 Self-generating Thermal-power Sensors 6.1.2 Modulating Thermal-conductance Sensors Heat Transfer Mechanisms Thermal Structures 6.3.1 Modeling 6.3.2 Floating Membranes 6.3.3 Cantilever Beams and Bridges 6.3.4 Closed Membranes Temperature-Difference Sensing Elements 6.4.1 Introduction 6.4.2 Thermocouples 6.4.3 Other Elements Sensors Based on Thermal Measurements 6.5.1 Microcalorimeter 6.5.2 Psychrometer 6.5.3 Infrared Sensor 6.5.4 RMS Converter 6.5.5 EM Field Sensor 6.5.6 Flow Sensor 6.5.7 Vacuum Sensor 6.5.8 Thermal Conductivity Gauge 6.5.9 Acceleration Sensors 6.5.10 Nanocalorimeter Summary and Future Trends 6.6.1 Summary 6.6.2 Future Trends Problems References

Smart Temperature Sensors and Temperature-Sensor Systems Gerard C.M. Meijer 7.1 Introduction 7.2 Application-related Requirements and Problems of Temperature Sensors 7.2.1 Accuracy 7.2.2 Short-term and Long-term Stability 7.2.3 Noise and Resolution 7.2.4 Self-heating 7.2.5 Heat Leakage along the Connecting Wires 7.2.6 Dynamic Behavior 7.3 Resistive Temperature-sensing Elements 7.3.1 Practical Mathematical Models 7.3.2 Linearity and Linearization

151 151 151 152 153 155 155 160 161 163 165 165 165 168 168 169 170 171 172 173 174 174 176 177 177 179 179 179 180 182

185 185 188 189 189 190 192 194 194 196 196 198

Contents

7.4 Temperature-sensor Features of Transistors 7.4.1 General Considerations 7.4.2 Physical and Mathematical Models 7.4.3 PTAT Temperature Sensors 7.4.4 Temperature Sensors with an Intrinsic Voltage Reference 7.4.5 Calibration and Trimming of Transistor Temperature Sensors 7.5 Smart Temperature Sensors and Systems 7.5.1 A Smart Temperature Sensor with a Duty-cycle-modulated Output Signal 7.5.2 Smart Temperature-sensor Systems with Discrete Elements 7.6 Case Studies of Smart-sensor Applications 7.6.1 Thermal Detection of Micro-organisms with Smart Sensors 7.6.2 Control of Substrate Temperature 7.7 Summary and Future Trends 7.7.1 Summary 7.7.2 Future Trends Problems References

8

Capacitive Sensors Xiujun Li and Gerard C.M. Meijer 8.1 Introduction 8.2 Basics of Capacitive Sensors 8.2.1 Principles 8.2.2 Precision of Capacitive Sensors 8.3 Examples of Capacitive Sensors 8.3.1 Angular Encoders 8.3.2 Humidity Sensors 8.3.3 Liquid-level Gauges 8.4 The Design of Electrode Configurations 8.4.1 EMI Effects 8.4.2 Electric-field-bending Effects 8.4.3 Active-guard Electrodes 8.4.4 Floating Electrodes 8.4.5 Contamination and Condensation 8.5 Reduction of Field-bending Effects: Segmentation 8.5.1 Three-layered Electrode Structures 8.5.2 A Model for the Electrostatic Field in Electrode Structures 8.5.3 Influence of the Electric-field-bending Effects on Linearity 8.6 Selectivity for Electrical Signals and Electrical Parameters 8.6.1 Selective Detection of Band-limited Frequencies 8.6.2 Selective Detection of a Selected Parameter 8.6.3 Measurement Techniques to Reduce the Effects of Shunting Conductances 8.7 Summary and Future Trends Problems References

ix

200 200 201 203 207 208 208 209 212 212 213 217 220 220 221 222 223

225 225 226 226 226 227 228 229 230 231 231 232 232 233 234 234 235 236 237 237 238 239 240 246 246 247

x

Contents

9 9.1 9.2

9.3

9.4

10 10.1 10.2 10.3

10.4 10.5

10.6

10.7

Integrated Hall Magnetic Sensors Radivoje S. Popovi´c and Pavel Kejik Introduction Hall Effect and Hall Elements 9.2.1 The Hall Effect 9.2.2 Hall Elements 9.2.3 Characteristics of Hall Elements 9.2.4 Integrated Horizontal Hall Plates 9.2.5 Integrated Vertical Hall Plates Integrated Hall Sensor Systems 9.3.1 Biasing a Hall Device 9.3.2 Reducing Offset and 1/f noise 9.3.3 Amplifying the Hall Voltage 9.3.4 Integrating Magnetic Functions Examples of Integrated Hall Magnetic Sensors 9.4.1 Magnetic Angular Position Sensor 9.4.2 Fully Integrated Three-axis Hall Probe 9.4.3 Integrated Hall Probe for Magnetic Microscopy Problems References Universal Asynchronous Sensor Interfaces Gerard C.M. Meijer and Xiujun Li Introduction Universal Sensor Interfaces Asynchronous Converters 10.3.1 Conversion of Sensor Signals to the Time Domain 10.3.2 Wide-range Conversion of Sensor Signals to the Time Domain for Very Small or Very Large Signals 10.3.3 Output Signals 10.3.4 Quantization Noise of Sampled Time-modulated Signals 10.3.5 A Comparison between Asynchronous Converters and Sigma–delta Converters Dealing with Problems of Low-cost Design of Universal Interface ICs Front-end Circuits 10.5.1 Cross-effects and Interaction 10.5.2 Interference 10.5.3 Optimization of Components, Circuits and Wiring Case Studies 10.6.1 Front-end Circuits for Capacitive Sensors 10.6.2 Front-end Circuits for Resistive Bridges 10.6.3 A Front-end Circuit for a Thermocouple-voltage Processor Summary and Future Trends 10.7.1 Summary 10.7.2 Future Trends Problems References

249 249 250 250 253 253 256 258 259 260 260 262 265 267 267 269 271 276 276 279 279 280 283 284 287 288 290 294 296 297 297 298 298 299 299 302 305 307 307 307 308 311

Contents

11 11.1 11.2 11.3

11.4

11.5 11.6

12 12.1 12.2 12.3

12.4

12.5

12.6 12.7 12.8

Data Acquisition for Frequency- and Time-domain Sensors Sergey Y. Yurish Introduction DAQ Boards: State of the Art DAQ Board Design for Quasi-digital Sensors 11.3.1 Advanced Methods for Frequency-to-digital Conversion 11.3.2 Examples 11.3.3 Methods for Duty-cycle-to-digital Conversion 11.3.4 Methods for Phase-shift-to-digital Conversion Universal Frequency-to-digital Converters (UFDC) 11.4.1 ICs for Frequency-to-digital Conversion: State of the Art 11.4.2 UFDC: Features and Performances Applications and Examples Summary and Future Trends Problems References Microcontrollers and Digital Signal Processors for Smart Sensor Systems Ratcho M. Ivanov Introduction MCU and DSP Architectures, Organization, Structures, and Peripherals Choosing a Low-Power MCU or DSP 12.3.1 Average Current Consumption 12.3.2 Oscillator and System Clocks 12.3.3 Interrupts 12.3.4 Peripherals 12.3.5 Summary Timer Modules 12.4.1 Introduction to Timer Modules 12.4.2 Examples of Timer Module Applications for Various Microcontrollers Analog Comparators, ADCs, and DACs as Modules of Microcontrollers 12.5.1 Introduction 12.5.2 Application Examples of Analog Modules Embedded Networks and LCD Interfacing Development Tools and Support Conclusions References Sites

xi

313 313 314 316 316 322 324 326 330 332 333 335 338 339 340 343 343 344 347 348 349 350 350 350 351 351 355 370 370 370 373 374 374 374

Appendix A Material Data

375

Appendix B Conversion for non-SI Units

377

Index

379

Solutions to Problems can be found on the Companion website

Preface Thanks to the tremendous efforts of numerous scientists and technologists, sensor technology has now arrived in its childhood, which means that we expect that it has started a long period of growth in the intellectual and technological level of sensor systems and that it will reach a level of maturity. It is difficult to predict where this growth will end and what the final stage will look like. For the near future, we expect to see the development of autonomous sensors integrated into distributed systems with intelligent signal processors and smart control of actuators, and powered with a minimum amount of energy. For the longer term, we picture sensor systems as being components of robots in which the system architecture strongly resembles that of animals or human beings. Of course, such ideas are not new. We can even ask ourselves why it is taking so long for such developments to happen. Is it the difficulty of making a significant step in the level of technology? Could it be possible that the introduction of nanotechnology, in which we can organize technical matter all the way down to the atom level, will bring us the new future we are looking for? Nobody knows for sure, but it is clear that an important reason for the ‘slow’ progress in sensor technology can be found in the multidisciplinary character of the required knowledge. It requires the cooperation of physicists, chemists, electrical and mechanical engineers, and ICTers. Moreover, these engineers have to cooperate with medical doctors, agriculturists and horticulturists, and economists. This book is intended as a reference for designers and users of sensors and sensor systems. It has been written based on material presented in the multidisciplinary courses ‘Smart Sensor Systems’ that have been organized at Delft University of Technology since 1995. The scope of these courses has been to present the basic principles of advanced sensor systems for a wide, multidisciplinary audience, to develop a common language and scientific background to discuss the problems, and to facilitate mutual cooperation. Thus, we hope to contribute to a continual expansion of the group of people contributing to these world-wide exciting developments. During the course of writing this text, many people have assisted us. Many people have contributed to this book. We highly appreciate the support of the boards of faculties or heads of our industrial and academic institutes, who have helped us and allowed us to write this book. We have benefited from the suggestions made by our reviewers: Dr. Ferry N. Toth of Exalon, Dr. Michiel Pertijs of National Semiconductors, Ir. Jeroen van der Meer of Xensor Integration, Prof. Albert J.P. Theuwissen of TUDelft, Dr. Andr´e Bossche of TUDelft, Ir. Qi Jia of TUDelft, and all of the authors who also acted as reviewers.

xiv

Preface

At our publisher, John Wiley & Sons, Ltd, we would like to acknowledge the project manager Nicky Skinner for her technical manuscript editing, and executive commissioning editor Simone Taylor for her encouragements and her help in arranging agreements. We would also like to thank Mrs. Trudie (G.) Houweling of TUDelft for her secretarial assistance during the course of this work, and Rob Janse, who made many of the drawings in this book. We wish to extend our appreciation to Sarah von Galambos for her excellent English and linguistic corrections. Furthermore, we want to express our gratitude to the universities, research institutes and companies who allowed us to write this text and helped us with illustrative material and demonstrators to make this book attractive for our readers. The Companion website for this book is www.wiley.com/go/meijer smart. Gerard C.M. Meijer Delft, the Netherlands

About the Authors Gerard C.M. Meijer Gerard C.M. Meijer was born in Wateringen, the Netherlands, in 1945. He received his M.Sc. and Ph.D. degrees in Electrical Engineering from Delft University of Technology, Delft, the Netherlands, in 1972 and 1982, respectively. Since 1972 he has been a member of the research and teaching staff of Delft University of Technology, where he is a professor of analog electronics and electronic instrumentation. In 1984 and part-time from 1985 to 1987 he was seconded to Delft Instruments Company, Delft, the Netherlands, where he was involved in the development of industrial level gauges and temperature transducers. In 1996 he co-founded the company SensArt, where he is a consultant for the design and development of sensor systems. In 1999 the Dutch Technology Foundation STW awarded Meijer with the honorary degree ‘Simon Stevin Meester’. In 2001 he was awarded the Anthony Van Leeuwenhoek Chair at TUDelft. Meijer is chairman of the National STW Platform on Sensor Technology and director of the annual Europractice course ‘Smart Sensor Systems’. Paddy J. French Paddy J. French received his B.Sc. in mathematics and M.Sc. in electronics from Southampton University, UK, in 1981 and 1982, respectively. In 1986 he obtained his Ph.D., also from Southampton University, for his research on the piezoresistive effect in polysilicon. After 18 months as a post-doc at Delft University of Technology, the Netherlands, he moved to Japan in 1988. For three years he worked on sensors for automotives at Central Engineering Laboratories of Nissan Motor Company. He returned to Delft University of Technology in May 1991 were he has been involved in research on micromachining and process optimization related to sensors. Since 2002 he has chaired the Laboratory for Electronic Instrumentation. In 1999 he was awarded the Anthony van Leeuwenhoek Chair. He has also received the title award of ‘Simon Stevin Meester’ from the Dutch Technology Foundation. Sander (A.W.) van Herwaarden Sander van Herwaarden was born in 1957, Rotterdam, the Netherlands. In 1982, he received his B.A. in economics from the Erasmus University in Rotterdam. In 1983 he received his M.Sc. and in 1987 his Ph.D. from Delft University of Technology, both in thermal-sensor subjects. In 1988 he co-founded Xensor Integration and has been managing director since then. His main activities are in the field of thermal sensors and silicon microstructures.

xvi

About the Authors

Johan H. Huijsing Johan H. Huijsing was born in Bandung, Indonesia, on May 21, 1938. He received his M.Sc. in Electrical Engineering from Delft University of Technology, Delft, the Netherlands, in 1969, and his Ph.D. from the same University in 1981 for his work on operational amplifiers. Since 1969 he has been a member of the Research and Teaching Staff of the Electronic Instrumentation Laboratory, Department of Electrical Engineering, Delft University of Technology, where he has been a full professor of electronic instrumentation since 1990, and professor emeritus since 2003. He teaches courses on electrical measurement techniques, electronic instrumentation, operational amplifiers, and analog-to-digital converters. His field of research is analog circuit design (operational amplifiers, analog multipliers, etc.) and integrated smart sensors. He is a fellow of the IEEE. He received the title award of ‘Simon Stevin Meester’ from the Dutch Technology Foundation. Ratcho M. Ivanov Ratcho Ivanov was born in v.Razliv, Bulgaria on December 25, 1945. He received his M.Sc. and his Ph.D. in Electronics engineering from the Technical University of Sofia, Bulgaria in 1969 and 1980, respectively. From 1975 to 1977 he specialized on microprocessor-based systems at the Tokyo Institute of Technology, Japan. Since 1970, he has been employed at the Technical University of Sofia, where at present he is a professor specialized in the teaching, design, development and implementation of embedded systems, microcontroller and microprocessor-based industrial systems, smart sensors systems and applications. Pavel Kejik Pavel Kejik was born in the Czech Republic in 1971. He received his university degree in 1994 and Ph.D. degree in 1999 at the Czech Technical University of Prague. In 1999, he joined the Institute of Microelectronics and Microsystems at the EPFL to work on the Institute’s circuit design and testing. His research interests include fluxgate magnetometry and microHall sensors combined with mixed-signal IC design and low-noise circuit design for industrial applications. Xiujun Li Xiujun Li was born in Tianjin, China in 1963. He received his B.Sc. in physics and M.Sc. in electrical engineering from Nankai University, Tianjin, China in 1983 and 1986, respectively. In 1997, he received his Ph.D. degree from the faculty of Electrical Engineering, Delft University of Technology, the Netherlands. Since September 1996, he has been employed as a parttime senior researcher at the Faculty of Electrical Engineering, Mathematics and Computer Science, Delft University of Technology, where he is involved in research and development of smart capacitive sensors and low-cost interfaces for smart sensors. Since 1997 he has worked part-time for Smartec B.V. on smart temperature sensors and smart sensor interfaces. In 2002 he joined Bradford Engineering B.V., Heerle, the Netherlands, where he conducts research and development of instruments for the space industry. Radivoje S. Popovi´c Radivoje S. Popovi´c received the Dipl. Ing. degree in engineering physics from the University of Belgrade, Yugoslavia in 1969, and the Mag.Sc and Dr.Sc. degrees in electronics from the University of Nis, Yugoslavia in 1974 and 1978. From 1969 to 1981 he worked for

About the Authors

xvii

Elektronska Industrija, Nis, Yugoslavia; and from 1982 to 1993 for Landis & Gyr AG, Central R&D, Zug, Switzerland. Since 1994, he has been a professor at the Swiss Federal Institute of Technology at Lausanne (EPFL), Switzerland. His current research interests include sensors for magnetic and optical signals, interface electronics, and noise phenomena. Dr Popovic is author or co-author of about 250 publications and 100 patent applications. He is the founder of the start-up companies Sentron AG, Sentronis AD, Senis GmbH, and Ametes AG. He is a member of the Swiss Academy of Engineering Sciences and of the Serbian Academy of Engineering Sciences. Michael J. Vellekoop Michael J. Vellekoop was born in Amsterdam in 1960. He received his B.Sc. degree in physics in 1982 and his Ph.D. degree in electrical engineering in 1994. In 1988 he co-founded Xensor Integration B.V. where he was managing director until 1996. In that year he initiated a new group on the topic of physical chemosensors at the DIMES Electronic Instrumentation Laboratory of the Delft University of Technology, where in 1997 he became an associated professor. Since 2001 he has been a full professor of industrial sensor systems at the Institute of Sensor and Actuator Systems at the Vienna University of Technology, Austria. In 2002 he became head of this Institute. Since 2005 he has been a corresponding member of the Austrian Academy of Sciences and in the same year he received the Eurosensors Fellow award. Sergey Y. Yurish Sergey Y. Yurish was born in Germany in 1963. He received his M.Sc. degree in Automatic and Telemetry from the State University Lviv Polytechnic, Ukraine, in 1985. Since then, he has been involved in the development of microcontroller-based and virtual measuring instruments. In 1997 he received his Ph.D. degree in measurements from the same university. In 1996 he joined the Institute of Computer Technologies for different international joint research projects in the smart sensors area, where he worked as Head of the R&D Department. Since 2006 he has been a professor at the Technical University of Catalonia (UPC-Barcelona). Professor Yurish is the holder of nine patents and he has also published more than 130 articles, papers and four books. He is a founder and President of the International Frequency Sensor Association (IFSA) and Editor-in-Chief of Sensors & Transducers Journal. Reinoud F. Wolffenbuttel Reinoud F. Wolffenbuttel received his M.Sc. degree in 1984 and his Ph.D. degree in 1988, both from the Delft University of Technology. Since 1986 he has been a member of the research and teaching staff of Delft University of Technology, where he is an associate professor at the Department of Microelectronics. He is involved in research on instrumentation and measurement in general and on-chip functional integration of microelectronic circuits and silicon sensor, fabrication compatibility issues, and micromachining in silicon and microsystems in particular. He was a visiting researcher at the University of Michigan, Ann Arbor, USA in 1992, 1999 and 2001, Tohoku University, Sendai, Japan in 1995 and EPFL Lausanne, Switzerland in 1997. He is the recipient of a 1997 NWO pioneer award. He was general chairman of the Dutch National Sensor Conference in 1996, Eurosensors in 1999 and Micromechanics Europe in 2003.

1 Smart Sensor Systems: Why? Where? How? Johan H. Huijsing

1.1 Third Industrial Revolution Automation has three phases: (1) Mechanization; (2) Informatization; (3) Sensorization. Humans have always tried to extend their capabilities. See Figure 1.1. Firstly, they extended their mechanical powers. They invented the steam engine, the combustion engine, the electric motor, and the jet engine. Mechanization thoroughly changed society. The first industrial revolution was born. Secondly, they extended their brains, or their ratio. They invented means for artificial logic and communication: the computer and the internet. This informatization phase is changing society again, where we cannot yet fully predict the end result. Mechanization

1900

Informatization

1950

2000

Sensorization

2050

Figure 1.1 Sensorization: the third automation revolution

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

2

Smart Sensor Systems

Figure 1.2 A fully automated airplane showing the triplet of mechanization, informatization and sensorization

However, this is not all. By inventing sensors, humans are now learning to artificially expand their senses. Sensorization together with mechanization and informatization will bring about the third industrial revolution of full automation or robotization. A good example is the automated flight control system of a modern airplane (Figure 1.2). It includes many sensors to monitor the flight. The computers process the signals, compare them with the designed values, and provide control signals for the engines, rudders, and flaps that move the plane. This triptych of mechanics, computers, and sensors allows the plane to fly on autopilot. If aircraft can fly automatically, why then can we still not have our car drive us to work by simply telling it to do so? Because the sensor system for an autodriver still weighs too much, is too bulky, and too costly to manufacture. So before we can apply sensorization to smart cars, smart homes, and industrial production machines, we must reduce the costs, size, and weight of the sensor system. This effort is the subject of our present challenge to develop Integrated Smart Sensors, as shown in Table 1.1.

Table 1.1 Integrated smart sensors Challenge: Requirements: HOW:

enabling the measurement of many physical and (bio)chemical signals low cost, low size, low weight, low power, self-test, bus or wireless communication integrating sensors, actuators and smart interface electronics, preferably in one IC-package

Smart Sensor Systems: Why? Where? How?

3

1.2 Definitions for Several Kinds of Sensors We will now provide definitions for several kinds of sensors as follows:

r r r r

Sensors Smart Sensors Integrated Smart Sensors Smart Sensors Systems

1.2.1 Definition of Sensors Sensors transform signals from different energy domains to the electrical domain. Figure 1.3 classifies signals in six domains. The uppermost domain in Figure 1.3 contains all signals of the radiant or optical domain. Optical sensors are able to translate these signals into electrical signals, which are depicted in the lowest domain. An example is an image sensor that translates a picture into an electrical signal. The next domain, to the right is the mechanical signal domain. For example, an accelerometer or airbag sensor is able to translate mechanical acceleration into an electrical signal. Similarly, a temperature sensor translates the temperature into an electrical signal. Even electrical sensors exist. They translate electrical signals into other electrical signals, for instance to measure accurately the voltage difference between two skin electrodes on the chest of a patient. To the lower left is the magnetic domain. A Hall plate is able to convert a magnetic signal into an electrical signal. And finally, from the chemical and biochemical domain sensors are able to translate these signals into electrical ones. Examples are pH sensors and DNA sensors. The physical effects of sensors can be described by differential equations on energy or power containment [1]. Parameters of cross-effects between different energy domains describe the cross-sensitivities of a sensor between these signal domains. These effects are shown in Table 1.2, which places the physical sensor effects in a system. On the left-hand side, we find the sensor input signal domains. At the top there are the output signal domains. All effects on the left/upper-right/lower diagonal refer to effects within one signal domain. An example is photoluminescence within the radiation domain. All effects in the column with electrical output signals describe sensor effects, for example photoconductivity. All effects in the row with an electrical signal as input describe actuator effects.

Figure 1.3 Sensor classification according to six signal domains

4

Smart Sensor Systems

Table 1.2 Physical sensor effects [1] In/Out

Radiant

Mechan.

Thermal

Electrical

Magnetic

Chemical

Rad

Photoluminan.

Radiant pressure

Radiant heating

Photo-cond.

Photo-magn.

Photochem.

Mech.

Photo-elastic effect

Conservation Friction heat of moment

Piezoelectricity

magnetostriction

Pressureinduced explos.

Therm.

Incandescence

Thermal expansion

Heat conduction

Seebeck effect

Curie-Weiss law

Endotherm raction

Electr.

Inject. Luminan.

Piezo-electr.

Peltier effect

PNjunction effect

Ampere’s law

Electrolysis

Magn.

Faraday effect

Magnetostriction

Ettinghausing effect

Hall effect

Magnetic induction

Chem.

Chemolumin.

Explosion reaction

Exothermal reaction

Volta effect

Chem. reaction

Sensors can be further divided into passive (self-generating) and active (modulating) types. This is depicted in Figure 1.4. Passive sensors such as the electrodynamic microphone obtain their output energy from the input signal; active sensors on the other hand, such as the condenser microphone, obtain it from an internal power source. Active sensors can achieve a large power gain between the input and output signals. The sensor cube in Figure 1.5 shows a three-dimensional space of input, output, and power-source signals for sensors. A further classification of sensors is shown in Figure 1.6. Two classes can be distinguished: open systems, in which there is no feedback, and closed-loop systems, with feedback. A spring balance is a good mechanical example of the first; a chemical balance is a good example of the second. subject of measurement power of the phenomenon

output signal

input signal sensor power of input signal

output power

(a) self-generating sensor

subject of measurement

losses

input signal

output signal sensor

power of the phenomenon

power of input signal

output power

(b) modulating sensor

losses power source

Figure 1.4 Self-generation and modulating sensors [2]

5

Smart Sensor Systems: Why? Where? How?

Figure 1.5 Sensor cube [1]

To measure with a chemical balance, weights have to be placed on the balance scale in order to bring the pointer to zero. The advantage of this system is that the actual sensor only needs to sense accurately around the zero point. The feedback placing of weights determines the value. In an open sensor system, the sensor has to provide the linearity and accuracy of the signal transfer all by itself. Figures 1.7 and 1.8 depict the multitude of materials that can be chosen for sensors. Semiconductors are becoming increasingly popular as a sensor material because of their stable spring balance

mass

input

converter (spring)

displacement (extension of spring)

output mass

(a) open system (no feedback) chemical balance mass

input comparator

deviation (inclination of 0) the rod

adjustments weights*

output

* adjustment weights are added or removed to make the deviation zero

(b) closed system (with feedback) Figure 1.6 Open and closed loop sensor systems [2]

6

semiconductor (primarily silicon) pressure temperature flow rate position

thin film

screen printing (thick film)

temperature pressure

temperature

analog

optoelectronic

radiation position

frequency analog

ceramic

temperature gases

duty cycle

Figure 1.7 Sensor materials [3]

Figure 1.8 Which one? [2]

foil

pressure humidity level

digital

motion

volume

flow rate

level

pressure

sound

acidity

gas

humidity

temperature

radiation

luminance

color

density

mass

time

torque

force

rotational speed

acceleration

velocity

vibration

position

angle

length

Smart Sensor Systems

microwave

motion level velocity

7

Smart Sensor Systems: Why? Where? How?

crystalline structure and because its standardization in mass fabrication is being improved; and because of their low price. The production economics of sensors is often hampered by the multitude of sensor parameters to be measured. This is illustrated in Table 1.3. Even for one parameter, such as pressure, there are many specifications: accuracy, sensitivity, noise, resolution, dynamic range, and environmental requirements. For this reason there are thousands of different pressure sensors on the market (see Figure 1.9). Another complicating factor is the many output signal types of sensors. Some are listed in Table 1.4. Further standardization and compacting is needed. The smart sensor is the solution (see Figure 1.10). Table 1.3 Sensor parameters [3] 1. mechanical parameters of solids • acceleration • angle • area • diameter • distance • elasticity • expansion • filling level • force • form • gradient • hardness • height • length • mass • mass flow rate • moment • movement • orientation • pitch • position • pressure • proximity • revolutions per minute • rotating velocity • roughness • tension • torque • torsion • velocity • vibration • way • weight

2. mechanical parameters of fluids and gases • density • flow direction • flow velocity • level • pressure • rate of flow • vacuum • viscosity • volume

3. thermal parameters • enthalpy • entropy • temperature • thermal capacity • thermal conduction • thermal expansion • thermal radiation • thermal radiation temperature

4. optical parameters • color • image • light polarization • light wave-length • luminance • luminous intensity • reflection • refractive index

5. acoustic parameters • sound frequency • sound intensity • sound polarization • sound pressure • sound velocity • time of travel

6. nuclear radiation • ionization degree • mass absorption • radiation dose • radiation energy • radiation flux • radiation type

7. magnetic & electrical parameters • capacity • charge • current • dielectric constant • electric field • electric power • electric resistance • frequency • inductivity • magnetic field • phase

8. chemical parameters • cloudiness • composition • concentration • dust concentration • electrical conductivity • humidity • ice • impurities • ionization degree • molar weight • particle form • particle size • percentage of foreign matter • pH-value • polymerization degree • reaction rate • rendox potential • thermal conductivity • water content

9. other significant parameters • frequency • pulse duration • quantity • time

Figure 1.9 Sensitivity? Accuracy? [2] Table 1.4 Non-standard sensor signals Voltage: Current: Resistance: Capacitance: Inductance:

Thermo Couple, Bandgap Voltage Bip. trans., P.S.D., Radiation Detector Strain-Gauge Bridge, Hall Sensor Humidity, Tactile, Accelerometer (difficult on-chip)

Figure 1.10 Smart sensor? [2]

9

Smart Sensor Systems: Why? Where? How?

digital

digital I

bus

bus

bus II

analog

III

sensor

digital

analog

analog

sensor

sensor

encapsulation

Figure 1.11 Hybrid smart sensors

1.2.2 Definition of Smart Sensors If we combine a sensor, an analog interface circuit, an analog to digital converter (ADC) and a bus interface in one housing, we get a smart sensor. Three hybrid smart sensors are shown in Figure 1.11, which differ in the degree to which they are already integrated on the sensor chip. This calls for standardization. And hence the sensor must become smarter. In the first hybrid smart sensor, a universal sensor interface (USI) can be used to connect the sensor with the digital bus. In the second one, the sensor and signal conditioner have been integrated. However, the ADC and bus interface are still outside. In the third hybrid, the sensor is already combined with an interface circuit on one chip that provides a duty cycle or bit stream. Just the bus interface is still needed separately. At this level, still many output formats exist, as shown in Table 1.5.

1.2.3 Definition of Integrated Smart Sensors If we integrate all functions from sensor to bus interface in one chip, we get an integrated smart sensor, as depicted in Figure 1.12.

Table 1.5 Standard sensor interface signals Sign. Cond.: Sign. Conversion:

Bus Output:

Analog Voltage Analog Current Frequency Duty Cycle Bit Stream Bites IS2 , I2 C D2 B, Field, CAN

0.5 V to 4.5 V 4 mA to 20 mA 2 kHz to 22 kHz 10 % to 90 %

10

Smart Sensor Systems

optical chemical

mechanical

magnetic

thermal

Figure 1.12 Integrated smart sensor

An integrated smart sensor should contain all elements necessary per node: one or more sensors, amplifiers, a chopper and multiplexers, an AD converter, buffers, a bus interface, addresses, and control and power management. This is shown in Figure 1.13. Although fully integrating all functions will be expensive, mass-production of the resulting sensor can keep the cost per integrated smart sensor reasonable. Another upside is that the

supply ground clock data

addr.

interface

contr. digital

counter A/D converter analog chopper/multiplexer amplifier

sensor 1

sensor 2

Figure 1.13 Functions of an integrated smart sensor

one chip

Smart Sensor Systems: Why? Where? How?

11

Table 1.6 Integrated Smart Sensors Technology: Radiant: Mechanical: Thermal: Electrical: Magnetic: Chemical:

IC-compatible 3-D micro-structuring, Packaging Image Sensors, Integrated adaptive optics Piezo-junction effects, Mechanical filters Thermopile sensors, Absolute kT/q sensor Capacitive sensors and actuators Spinning current Hall-plate sensors, High temperature sensors DNA detectors, High Speed Screening

costs of installing the total sensor system can be drastically reduced because of the simple modular architecture. However, for realizing all functions on one chip we must first integrate a diversity of sensors on one chip. For this purpose an IC-compatible three-dimensional micro-structuring technology is being developed. Table 1.6 contains a number of IC-compatible sensors presently being developed. In addition, interface electronics has to be developed, suitable for integration on the sensor chip. Table 1.7 contains some examples of integrated smart sensors with on-chip interface electronics.

1.2.4 Definition of Integrated Smart Sensor Systems Figure 1.14 depicts the evolution of integrated smart sensor systems with many intermediate steps. The greater the market for smart sensors of a certain type, the more integration is economically affordable for that type. Our final dream is depicted in Figure 1.15. If we are also able to integrate a wireless power source and wireless communication, a whole new concept of ambiguous sensors will appear. Many sensors could then be used in cars, homes, clothes, and fields to obtain valuable information.

Table 1.7 Interface electronics for integrated smart sensors Technology: • Medical: • Scientific: • Industrial:

• Computer Interface:

Low-power opamps, Low-power ADC’s, Smart sensor bus system, Selftesting and Autocalibration DNA Sensors, Multi-blood sensor, Catheter locating system Optical spectrometer, Adaptive mirror and LC systems, Wavefront sensor Universal transducer interface, Capacitive fingerprintsensor, Thermal windmeter, Absolute temperature sensor, High-Speed Chemical Analyzer, Spinning Current Hall Sensors, Accelerometer Capacitive human interfaces

12

Smart Sensor Systems

(external bus)

generalpurpose sensor interface

microprocessor

HOME, FIELD, CAN

microprocessor

ext. bus interface

(local bus) ISS, l2C

calibration self-test

local bus interface A/D mod.

A/D mod.

A/D mod.

sensor

sensor

sensor

sensor

general hybrid solution

improved hybrid solution

local bus one chip solution

future one chip solution

low volume

medium volume

high volume

high volume

Figure 1.14 Smart sensor system evolution

1.3 Automated Production Machines Integrated smart sensors will be applied in all areas of daily life: in smart homes and appliances, in smart cars, and in smart production machines. Table 1.8 shows the areas where integrated smart sensors are already being used in smart production machines and in professional monitoring of processes. In the chemical or biochemical industry, many types of sensors are used to analyze chemical or biochemical substances. An example is the high-speed screening chip of Figure 1.16, which contains many nanoliter holes.

NETWORK

Applications: traffic biomedical industry buildings security seismic

Functions: sensors and actuators interface circuitry processor and software self-testing, auto-configuration wireless communication wireless power supply

Figure 1.15 Autonomous microsensors

13

Smart Sensor Systems: Why? Where? How? Table 1.8 Automated production machines and professional monitoring (bio)chemical industry metal industry car industry textile industry food industry building industry agriculture industry

traffic control environmental monitoring health care health monitoring security office automation

Each hole contains a different chemical reagent. Also each hole contains a heater, a light source and a light detector. Only one drop of sample is required for analysis, because it can fill many nanoliter holes. A study on sensors in the machine building industry from 1995 has shown the applications for which sensors are needed, see Figure 1.17. In addition, the benefits of using sensors in the machine building industry are shown in Figure 1.18. It clearly shows an increase in automation, for instance to detect early failure diagnostics of the machines. Therefore, the electronics share of the production costs of machines is gradually increasing to about 10 % to 20 %, as shown in Figure 1.19. In agriculture, more and more sensors are being used. In greenhouses for example, production is increasingly being automated through the introduction of climate and pest control, water and nutrient management, harvest robots, etc. In car manufacturing, advanced robots are used to perform complicated assembly operations (Figure 1.20).

(Sub)nanoliter well

Light source Heater

Pholodiode

Applications: Medicine production Fermention processes Analysis of body fluids Figure 1.16 High-speed screening (Vellekoop)

Liquid volume detector

14

Smart Sensor Systems

0

20

40

60

80

in % of all machines

Magnetic Optic Chemical Acceleration Tilt Lenght/Distance Force Flow Level Temperature Rotation/Velocity Weight Pressure Position

Figure 1.17 Sensors in machine building industry [3] 0

20

40

60

early failure diagnosis automatic quality control optimized processes flexibility comfort quality increased costs reduced less pollution applications increased waste decreased economy increased productivity increased materials saving energy saving

Figure 1.18 Benefits of using sensors [3]

80

in %

15

Smart Sensor Systems: Why? Where? How?

Packaging. M.

Electronics – Share of Total Value

20%

lifting & handling equipment 15% Textil. M. Wood. M. 10%

Food. M.

Lifting & Handling Equipment Agricultural M. Textile M. Packaging M. Wood Processing M. Food & Beverage M.

Agricultural M.

5%

15.0 mrds € 11.0 mrds € 7.0 mrds € 5.0 mrds € 4.5 mrds € 4.5 mrds €

0% 0%

2%

4%

6%

S/A – Share of Total Value

Figure 1.19 Sensor electronics share of total value in machine building [3]

Figure 1.20 Welding robot for car manufactory (courtesy of Rolan-Robotics)

16

Smart Sensor Systems

1.4 Automated Consumer Products Automated consumer products are rapidly emerging in the form of smart cars, smart homes, domestic appliances and toys, as follows:

r r r r

Smart Cars Smart Homes Smart Domestic Appliances Smart Toys

1.4.1 Smart Cars Modern cars incorporated about 40 sensors in 2005, as depicted in Figure 1.21. It will only be possible to accommodate more sensors if a distributed sensor bus is used instead of a star-connected sensor system. only smart sensors make this economically viable. Otherwise the car breaks down under the load of wires (Figure 1.22).

1.4.2 Smart Homes Many sensors have been built-in in the ‘home of the future’, erected in Rosmalen in the Netherlands in 1988, see Figure 1.23. Like cars, houses can only accommodate many sensors if a distributed bus system is used instead of a point-to-point network (Figure 1.24).

Mainfold absolute pressure sensor Variable intake control sensor Tachometer timing sensor Camshaft timing sensor

EGR value sensor

Air temperature sensor

Occupant sensing sensor Oxygen sensor Weight sensor

Rain/moisture sensor Tire pressure sensor

Engine misfire sensor

Wheel speed sensor

Throttle position sensor

Steering angle sensor Vehicle height sensor

Load sensor Crankshaft timing sensor Torque sensor Oil quality sensor

Coolant temperature sensor

Fuel level sensor

Vehicle speed sensor Fuel tank pressure sensor

Oil pressure sensor

Ride control sensor Coolant level sensor

Side impact sensor Acceleration sensor

Radar braking sensor

Air bag acceleration sensor Air pressure sensor

Seat position sensor

Collision avoidance sensor Transmission speed sensor A/C pressure sensor Transmission shift position sensor

Anti-theft sensor Yaw rate sensor Steering rate sensor

High pressure fuel injection sensor

Tire pressure sensor

Pedal position sensor

Figure 1.21 Sensors in a car

Smart Sensor Systems: Why? Where? How?

17

Figure 1.22 Star-connected and distributed-bus sensor systems

1.4.3 Smart Domestic Appliances Domestic appliances still do not take over all the housework. But the time will come when the vacuum cleaner will automatically move from its socket once a week and vacuum the rooms, without running over a cat or knocking over a vase. It will vacuum until the carpet is clean and no longer, and will automatically return to its socket for recharging (Figure 1.25). The refrigerator will detect when the supply of certain items is running low and will communicate this, so that it can be refurnished. The washing machine will determine how much

Figure 1.23 House of the future [4]

Figure 1.24 A smart home with a sensor bus system instead of a point-to-point sensor system [4]

R R Figure 1.25 Cleaning a house with an iRobot Roomba Autonomous Vacuum Cleaner

Smart Sensor Systems: Why? Where? How?

19

Figure 1.26 House robot (picture Inge van der Lee)

detergent is needed to clean the laundry and use no more than that. It will rinse until no soap is left in the laundry – not a second longer. It will immediately start rinsing if a red sweater threatens to turn the laundry pink. There may be a time when every house comes with a robotic butler, supplying the needs of the family members (Figure 1.26). Only integrated smart sensors can enable this.

1.4.4 Smart Toys Toys can become lifelike if they are given sensors. An example is the Sony AIBO of Figure 1.27. Sensors used in virtual-reality gloves can monitor our movements so that the virtual reality we see can be adapted to it (Figure 1.28).

Figure 1.27 AIBO (courtesy of Sony Benelux B.V.)

20

Smart Sensor Systems

Figure 1.28 Virtual reality feeling and vision (courtesy of Sunrise Virtual Reality, Inc.)

Figure 1.29 Playing tennis around the world (picture Inge van der Lee)

Smart Sensor Systems: Why? Where? How?

21

A racing simulator may be used for play or driving instructions. And now it is even possible to play a (table) tennis match with someone at the other side of the world (see Figure 1.29).

1.5 Conclusion We have shown why the third industrial revolution can only become reality through smart sensor systems. A definition of smart sensor systems has been given. Applications have been discussed in the fields of automated production machines and automated consumer products.

References 1. Middelhoek, S. and Audet, S.A. (1989). Silicon Sensors, Academic Press. Reproduced by permission of S.Middelhoek. 2. Ohba, R. (1992). Intelligent Sensor Technology, John Wiley & Sons, Ltd, Chichester. 3. Centrum voor Micro-Elektronica (1993). Use of Sensors and Actuators in the German and Dutch Machine Building Industries. Reproduced by permission of Ton van Schadewijk. 4. Titulaer, C. and de Kort, N. (1991). Kantoor van de Toekomst, Chriet Titulaer Produkties, Houten. Reproduced by permission of Chriet Titulaer.

2 Interface Electronics and Measurement Techniques for Smart Sensor Systems Gerard C.M. Meijer

2.1 Introduction In smart sensor systems, the functions of sensors and their interfaces are combined in an overall design. These functions include sensing, signal conditioning, analog-to-digital conversion, bus interfacing and data processing. Also, functions at a higher hierarchical level can be included, such as self-testing, autocalibration, data evaluation and identification. In many physical and chemical sensors, the information bandwidth is rather small, i.e. much smaller than that of the electronic part of the system. This allows the system designer to use a single electronic system to support many sensing elements, in order to perform multiple measurements. In addition he can use the surplus of available time/bandwidth of the electronic part to improve the system’s accuracy, reliability and long-term stability or to lower the power dissipation. This chapter will discuss possible measurement techniques suited to achieve such a system improvement and ways to implement these techniques in smart-sensor-interface circuits. It will be shown how the application of advanced measurement techniques, such as nested chopping, dynamic element matching and autocalibration, can solve the traditional problems of electronic circuits, such as offset, 1/f noise, interference and long-term drift. The systems under consideration consist of a number of multiplexed sensing elements, sensor-specific front-ends, modulators or converters, and a microcontroller or a digital signal processor (DSP). Such systems appear to fit well in standardized system set-ups, according to the IEEE 1451 standards [1]. This chapter introduces the object-oriented design approach as a very suitable method for rapidly designing low-cost high-performance systems.

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

24

Smart Sensor Systems

Many sensing elements have the problem of cross-sensitivity; i.e. besides their sensitivity for the measurand, they also show an undesired sensitivity for other physical quantities. Moreover, besides the desired electrical output signal, they also show parasitic electrical effects. This chapter discusses how the measurand can be detected selectively, with a high immunity against parasitic effects, interfering signals and parameter drift. A set-up is presented in which the analog sensor signals are converted to analog signals in the time domain, using period-modulated oscillators. The A/D conversion of the time-domain signal can be implemented in the microcontroller or DSP. To show possible solutions for the implementation of the electronic part of the system, we will present two case studies: a universal sensor interface and a dynamic voltage processor.

2.2 Object-oriented Design of Sensor Systems System performance can be improved significantly and costs reduced by merging and reevaluating the functions of sensors, actuators, analog interfacing circuits and digital processors in overall designs. Where technology allows, the system can be implemented on a common substrate or in a single-chip integrated circuit. In this chapter we will consider sensor systems that are targeted at a cost-driven, mediumvolume, industrial sensor market. According to Toth [2], when designing sensor systems, the traditional top-down and bottom-up design approaches have serious limitations. These limitations are due to the interdisciplinary and relatively open character of the sensor subsystems. Consequently, the traditional design methods often require too many iteration steps and result in a long design time and inflexible designs. To overcome these limitations, system designs and specifications should be reused as much as possible. By analogy with a similar design approach in software engineering, Toth refers to this approach as Object-Oriented Design. Figure 2.1 shows a possible hardware configuration resulting from such an approach for a sensor system in which powerful components have been used, such as microcontrollers (µCs), personal computers (PCs) and sensor interfaces (see Section 2.6 and Chapter 10). The availability of memory in the microcontroller makes it possible to collect data over a longer period for a number of sensors. This enables the realization of several important system functions, such as autocalibration, self-testing and the compensation and filtering of undesired signals and effects. As will be explained in Section 2.4, the A/D conversion can be performed in the microcontroller. When the sensor signal is converted to the time domain, using a periodmodulator in the transducer interface, microcontrollers can perform this task very well, even without using a built-in A/D converter. The transducer interface is equipped with front-end electronics for various types of sensors. Sometimes, but not always, it is possible to merge the functions of the sensing element and its interface and to implement them on a single chip, resulting in a so-called ‘Smart Sensor’ (Figure 2.1). In any case, the electrical properties of the front-end electronics should match exactly with those of the sensing elements, taking into account the specific properties, circumstances and nonidealities. In designing a match, object-oriented design will help to speed up design and save costs. To enable this, it is important to recognize the main features and problems of the most common types of sensing elements and sensor systems. In the next sections we will demonstrate this approach for the design of sensor systems with a high accuracy over

25

Interface Electronics and Measurement Techniques for Smart Sensor Systems

Computer

Microcontroller (µC)

Microcontroller Universal Transducer Interface (UTI) minimum system

smart sensors

sensor

sensing elements

µC (b)

Physical Process

(a)

Figure 2.1 Possible hardware configuration for a smart sensor system

a wide dynamic range in which the effects of parasitic elements have to be reduced. For other design aspects, such as simplicity and easy prototyping, the reader is referred to Chapter 10.

2.3 Sensing Elements and Their Parasitic Effects There is an extremely wide variety of sensor element. Some of them, such as video sensors, are so special that a discussion of their characteristics is far beyond the scope of this book. In this book, the main interest is focused in sensor systems with a relatively small physical bandwidth, one of less than about 10 kHz. Even with this limitation, there are many problems to be solved. These problems originate from the complexity of the physical/electrical effects and the desire to obtain selective and accurate measurements of specific quantities in presence of many parasitic effects and disturbing signals. In this section, we will discuss these problems and will also present either methods or a strategy to solve them.

2.3.1 Compatibility of Packaging For almost all types of sensing elements, the packaging problem is a main design issue: to perform its basic function, the contact between the sensing element and the physical or chemical environments should be as good as possible, while, on the other hand, this close contact could be the reason of degradation or damaging of the sensing element. Therefore, the sensing element should be packaged in such a way that it can withstand conditions such as mechanical shocks, high temperatures, a corroding environment, etc. In smart sensor systems, not only

26

Smart Sensor Systems

Figure 2.2 Photograph of a smart temperature sensor packaged in a TO-18 metal can. After sealing the encapsulation (not shown in the figure) the packaging is tested for gross and fine leakage. (Reproduced by permission of Smartec)

the sensing element but also the rest of the sensor system should be well protected, which can considerably complicate the packaging problem. This is the reason why the first smart sensors on the market were those in which this problem could easily be solved. Amongst these sensors are temperature sensors and acceleration sensors. In, for instance, smart temperature sensors, the chip can be packaged in a hermetically closed metal can (Figure 2.2) [3]. With a good thermal design, the temperature inside the package will equalize with the outside temperature, so that the protective packaging does not prevent proper functioning. Also accelerometers have the advantage that a hermetically closed packaging does not disturb its basic functioning. For chemical sensors, however, it is much more difficult to develop a good packaging technique. In Chapter 5 it is shown that conversion of the chemical measurand into a physical one could relax the packaging problem.

2.3.2 Effect of Cable and Wire Impedances Provisions must be made to avoid that the impedance of connecting wires and cables affect the measurement. This can be achieved by applying so-called two-port measurements. Figure 2.3(a) shows the well-known four-wire technique, which is applied to measure a low-ohmic sensor impedance Z x . In this case, the series impedances Z s1 . . . Z s4 of the connecting cable do not affect the measured voltage V sense . The implementation of this technique requires that the interface chip delivers an excitation current and measures the voltage over Z x , using a highimpedance current source and a high-impedance input amplifier, respectively. Figure 2.3(b) shows the dual case, which is applied to measure a high-ohmic sensor admittance Y x with an excitation voltage V force and a ‘short-circuit’ current I sense through Y x . The shunt impedances Y p1 and Y p2 of the connecting cables do not affect the measurement. With this technique, it

27

Interface Electronics and Measurement Techniques for Smart Sensor Systems

Zs1

Zs3

Iforce

Zx

Z s2

Yx Vsense

Vforce

Yp1

Yp2

Isense

Z s4

(a)

(b)

Figure 2.3 Two cases of the two-port measurement, for the connection of: (a) sensors with a low value of Z x , (b) sensors with a low value of Y x

is possible to measure small sensor capacitances Cx , even when connecting cables are used having parasitic capacitances Cp1 and Cp2 , which are orders of magnitudes larger than Cx . The implementation of this technique requires the interface chip to deliver an excitation voltage and to measure the current through Y x , using a low-impedance voltage source and a lowimpedance input amplifier, respectively.

2.3.3 Parasitic and Cross-effects in Sensing Elements There exists also a large group of simple sensing elements with electrical properties that can be characterized with a single electrical network element whose main value depends on the physical signal to be measured. Usually, sensing elements have the problem of cross-sensitivity, i.e. besides the sensitivity for the measurand, they also show an undesired sensitivity for other physical quantities, such as temperature, mechanical vibrations, etc. The use of additional sensing elements can provide additional information to improve the reliability and compensate for cross-effects. For instance, the use of additional temperature sensors enables the implementation of temperature compensation. The use of an additional resistance measurement in a thermocouple provides information that can be helpful in monitoring corrosion effects. In case of cross-effects, the measurand and the undesired physical effects affect the same electrical output parameter Sx . In addition to this, the sensing elements also show parasitic electrical effects, which can have a physical, chemical or an electrical origin and be modeled with an additional parasitic electrical component Sp . For instance, leakage current in a capacitive humidity sensor causes a parasitic resistance Rp to shunt the sensor capacitance Cx (Figure 2.4(a)). Usually, manufacturers do not give a clear specification of this shunting resistance. Moreover, its value shows long-term drift and depends on temperature. Therefore, when designing an electronic measurement circuit for the capacitance Cx , one should take into account the worst-case conditions for the resistor Rp . Applying one of the following measures could solve this problem:

r Using a higher frequency of the excitation signal will result in a decrease of the relative affect of Rp . However, bandwidth limitation of the applied electronic circuits will limit the applicability of this method. r When a sinusoidal excitation signal is used, a gain-phase analyzer can separately measure the values of Cx and Rp . However the use of sinusoidal signals will increase the system complexity and power consumption.

28

Smart Sensor Systems

Zp Cx

Rp

Rx

Cp

(b)

(a)

Ix

Vx

(c)

Yp

(d)

Cp Rx1

Zp1 Zp2

Rx2

Cx

Zp4 Zp3

(e)

Figure 2.4 Examples of equivalent electrical circuits modeling some typical sensing elements and their parasitic electrical components: (a)–(d) simple elements; (e) a more complex sensing element

r In case of square wave excitation signals, it is possible to apply a series of measurements at different frequencies of the excitation current. Algorithmic processing of the measurement results will then considerably reduce the effect of the shunting resistance [4]. This method is time consuming but can be performed with a simple electronic circuit. r Discharging the charged capacitor as fast as possible will reduce the amount of charge loss and therefore the relative effect of the shunting resistance [5]. When a resistive sensor is connected with a long cable wire to the electronic signal processor, the cable capacitance will introduce a shunting capacitor Cp (Figure 2.4(b)). Like the problem discussed above, this problem could be solved by one of the following measurement techniques:

r Using a lower-frequency excitation signal will result in a decrease of the relative effect of Cp . However, to reduce the effect of low-frequency noise and interference, it can be desirable to increase this frequency (see Section 2.3.4). r When a sinusoidal excitation signal is used, a gain-phase analyzer can separately measure the values of Cp and Rp . However, the use of sinusoidal signals will considerably increase system complexity and power consumption. Voltage-generating sensors such as thermopiles and pH sensors have a high or even very high internal resistance (Figure 2.4(c)). In this case, extreme care should be taken to minimize the effect of leakage and input currents of the electronic signal processor. Similarly as for current-generating sensors, such as photo detectors (Figure 2.4(d)), the input voltage and input impedance of the electronic signal processor should be as low as possible to reduce the effect of a parasitic shunting resistance. Figure 2.4(e) depicts a more complex model representing, for instance, an impedance sensor. Such a sensor can be used to measure the electrical properties of a liquid. All of the elements Cp , Rx1 and Rx2 could contain some interesting information about the liquid’s

29

Interface Electronics and Measurement Techniques for Smart Sensor Systems

Sealed Time (hours) 0

Electrode 1

10

20

30

0 −2 −4 −6 Resistance change (Ω ) Electrode 2

(a)

(b)

Figure 2.5 Detection of micro-organisms in packaged food products using an impedance sensor: (a) plastic bottle with external electrodes, (b) measured resistance change during a test with Salmonella infection. (After Nihtianov et al. [6])

properties. Precise measurement of the various elements is challenging. Usually, the elements have such values that they can only be extracted using special frequencies, which complicates measurement. Moreover, the model parameters can show a frequency-dependent behavior, which will make the measurement task even more difficult. In addition to this, the electrical–chemical contact between the solid-state conductors and the liquid will show a complex behavior, which can be modeled by the parasitic impedances Z p . In a first step, during the research phase, a network analyzer can be used to analyze the properties of the set-up for impedance measurements. Usually, such analyzers are large, expensive and power consuming. Possible challenging tasks for the designer of a smart sensor system could include: to minimize the complexity and size of the set-up, to reduce power consumption, and to minimize the system costs. There is a rapidly growing interest in such impedance sensors, which can be applied to measure properties such as: humidity and water content in material, water level, conductivity of liquid, ion concentrations, etc. With impedance sensors the presence of microorganisms can be detected inside a bottle of milk without opening it. For this application a special interface circuit has been developed which can measure the conductivity of milk inside a bottle using external electrodes [6]. To distinguish between the resistive and capacitive components of the measured impedance, the measurements are performed using various excitation signals and different circuit configurations. As an example, Figure 2.5 shows the measured change of the milk resistance during a test after an infection with Salmonella Typhimurium.

2.3.4 Excitation Signals for Sensing Elements In the case of passive sensors (resistive, capacitive or inductive), the interface circuit delivers the excitation signals. This allows the interface designer to select the proper type and size

30

Smart Sensor Systems

for the excitation signal, with the goal of making the system simple and accurate and of minimizing the power dissipation. Waveform: With respect to the waveform, the designer can choose between, for instance, dc, square-wave, triangular, sinusoidal or pulsed signals. In general, each of these signals has some advantages and drawbacks, such as:

r Dc signals are simple, but have the problem that there also exist strong disturbing dc signals from which they have to be distinguished. The list of disturbing signals includes, for instance, amplifier offset and offset drift, low-frequency (1/f ) noise, interference by the mains, parasitic Seebeck voltages, etc. Another disadvantage of using dc signals could be the occurrence of electrolytic effects. For the latter reason, dc signals may often not be applied. r Square-wave signals have the advantage that they can be generated with very simple digital circuits (controlled switches), which consume hardly any power. r Sinusoidal signals have the advantage that, with properly designed filters, an excellent signal-to-noise ratio can be achieved. However, compared to square-wave signals, processing of sinusoidal signals requires circuits with a considerably higher power dissipation and circuit complexity. r Pulsed signals are rather suited to create selectivity for specific multi-path signals. By applying properly designed time windows, the desired signals can easily be distinguished from reflected ones following a longer transmission path. Magnitude: In view of the signal-to-noise ratio, the excitation signal should be as large as possible. On the other hand, non-linearity of the sensing elements will limit the optimal magnitude of excitation. Moreover, care has to be taken to avoid undesired electro-physical interaction. For instance:

r Electrical excitation of a resistive temperature sensor causes self-heating, which will cause a measurement error (see Chapter 7). It is the system designer who has to optimize system performance in relation to application-dependent thermal conditions. r In conductivity sensors, the excitation can cause electrolysis. Therefore, the excitation voltage should be less than the corroding-free potential.

2.4 Analog-to-digital Conversion In the front-end circuits of conventional systems, the acquired electrical sensor signals are often converted to the voltage domain, so that a standard A/D converter can be used. For a detailed discussion of various types of A/D converter principles and the most suitable types for sensor systems, the reader is referred to ref. [7]. In Chapter 10, we will also discuss an alternative way of A/D conversion that can result in a simplification and improvement of the system. As an example, Figure 2.6(a) shows a sensor system for a capacitive sensing element Cx , where an off-the-shelf A/D converter is used. The capacitances Cp1 and Cp2 represent the parasitic capacitances of the connecting cables. A drawback of this set-up is that an off-the-shelf

31

Interface Electronics and Measurement Techniques for Smart Sensor Systems

capacitive sensing Cx element Cp1

voltage (V)

Analog frontend 16 bits Cp2 accuracy

Off-the-shelf A/D converter 16 bits accuracy

DSP or microcontroller

(a)

sensing element

Cx

Cp1

Cp2

reference Cref element Cp3

Capacitance/ period converter

x ref offset

MUX Cp4

period (s)

DSP or microcontroller

MUX control

16 bits linearity

(b)

Figure 2.6 Some possible set-ups: (a) a conventional set-up, (b) a set-up where the A/D converter is implemented in the micro-controller

A/D converter requires an analog input voltage, which considerably complicates the design of the analog front-end. Accurate conversion of a capacitive signal into a voltage is not easy and would introduce many additional transfer parameters related to component values, biasing quantities and conversion steps. Fortunately, the system can be simplified considerably. Many of the traditional internal functions of A/D converters can be merged with those of the other sub-systems at very low costs.  For instance, the sample-and-hold action, quantization and digital filtering and even – conversion can easily be implemented in the DSP or microcontroller. The small but important front-end part of the A/D converter function can easily be merged with the rest of the frontend circuitry. This yields a set-up as shown in Figure 2.6(b). The capacitance/period converter can be implemented with a relaxation oscillator, whose period varies linearly with the values of the sensing element, so that it generates a period-modulated output signal (Figure 2.7). The sensing element is an integral part of the interface oscillator. In the meantime, this oscillator signal is used for excitation of the sensing element. So no separate excitation generator and no synchronous detector are needed. The A/D converter is partly integrated with the DSP or microcontroller, while another (small) part is implemented in the front-end electronic circuitry. Microcontroller and DSPs are well equipped to measure frequency or time intervals using their internal counters. In the front-end circuitry, both frequency and period modulators can easily be realized. Compared to frequency modulation, period modulation offers the

32

Smart Sensor Systems

Tx

Tref

T0

Tslot Tcycle

Figure 2.7 Period modulation: the detected signal is immune to the effect of the time constants of the output stage (dotted lines)

advantage that when autocalibration is used (see Section 2.5.3), the effect of time delay is eliminated. This is because autocalibration removes the effect of all additive errors, including time delay. Compared to the alternative of pulse-width modulation, period modulation has the advantage of having a better immunity against the effects of time constants of the electronic output stage (see Figure 2.7). Therefore, in this chapter we will limit our attention to period modulation. Each period or group of periods can represent a certain measurand. To identify the different periods, we should have one that is shorter than the other ones. Usually the offset measurement is chosen as identification signal (see Chapter 10). Period-modulated signals are analog in the time domain. When these signal are supplied to the timer input of a microcontroller, an internal counter can digitize their period length using asynchronous sampling pulses (Figure 2.8). In today’s microcontrollers, the sampling rate of these counters is in the range of 0.1µs to 1µs. For fast handling of the input signals, the capture register of the microcontroller should be used (see Chapter 12). The quantization noise introduced by the digitization is proportional to the sampling rate and inversely proportional to the period T x . In the universal transducer interface UTI (see Section 2.6 and Chapter 10) the internal frequency is 50 kHz. The counter acts as an integrator. To lower the quantization noise, an internal frequency divider can be used which reduces the output frequency by, for instance, a factor of 128 or 1024 at the cost of an increased measurement time. When low quantization is needed together with a small measurement time, a high-speed external counter can be used. This solution has been chosen

sensor signal asynchronous sampling pulses frequency division

Figure 2.8 Analog-to-digital conversion with an asynchronous sampling system

Interface Electronics and Measurement Techniques for Smart Sensor Systems

33

by Gasulla et al. [8] for application in capacitive sensor systems (Chapter 8). Quantization  noise can be reduced more effectively by using the principles of – converters. In – converters, oversampling is applied to reduce the noise in the base-band [7]. Next, a low-pass filter removes the increased noise at higher frequencies. For the best noise performance, the order of the filter should exceed the number of applied integrators by at least one. So, in a firstorder converter, at least a second-order filter should be used. This filter can be implemented as a digital filter in the microcontroller. Generally, the resolution and measurement speed of the asynchronous  sampling system discussed above (Figure 2.8) are slightly better than those of a first-order – converter [9].

2.5 High Accuracy Over a Wide Dynamic Range Once the properties of the sensing elements are well known and the desired output signal has been defined, the rest of the electronic interface system can be designed. In this part of the system, the desired measurement techniques have been implemented. The measurement techniques are designed or chosen to get optimum performance according to targeted system specification. In this section, we will discuss advanced measurement techniques that have been developed to obtain a smart sensor system that is highly accurate over a wide dynamic range. To get high accuracy, a variety of measures have to be taken to reduce or eliminate various types of errors, as will be discussed in the next sub-section.

2.5.1 Systematic, Random and Multi-path Errors With respect to the accuracy of systems, there are three main types of errors:

r Random errors, caused by, for instance, interference, noise and drift. These errors vary randomly each time the measurement is repeated.

r Systematic errors, caused by, for instance, the inaccuracy of system parameters. These errors are reproducible for each time the measurement is repeated.

r Multi-path errors, caused by, for instance, the reflection of pulsed excitation signals. The random errors can be minimized by filtering in the frequency domain, separating the common-mode and differential-mode signals, application of the three-signal approach and chopping (see Sections 2.5.3 and 2.5.2) and, of course, optimizing the system in terms of noise performance. There are various ways to reduce the systematic errors, for instance, by calibration and trimming. Traditional calibration consists of comparing the sensor under test to another one of superior quality. For accurate measurements, the data derived from this test are stored in a computer memory or simply written down and used for the remainder of the sensor’s life. By trimming, the sensor behavior is altered permanently to make its characteristics match the nominal one as closely as possible, thus eliminating the need for recording individual sensor behavior. Although calibration and trimming are useful ways to improve accuracy, these have a number of shortcomings. For example, calibration and trimming are performed under certain conditions with respect to temperature, supply voltage and time, which can differ

34

Smart Sensor Systems

from the conditions during actual sensor operation. Moreover, systematic errors may change owing to changes in the environmental conditions and long-term parameter drift. Therefore, the best way to deal with this basic problem is eliminating the influence of all the conversion parameters except those needed for the basic measurements and those that are sufficiently reliable, stable and accurate. In Sections 2.5.2–2.5.4, the basic concepts of advanced techniques to eliminate systematic errors will be discussed. Multi-path errors occur in systems that use pulses to measure, for instance, time-of-flight, distance, mechanical movement, flow speed, disturbances in material properties etc. The desired signal, which has followed a certain path, is disturbed by reflected ones, which have followed other paths. Filtering in the time domain (time-windowing) can provide an efficient method to obtain selective detection of the desired signal. In the next subsections, a number of the techniques mentioned will be discussed in more detail.

2.5.2 Advanced Chopping Techniques Chopping in combination with synchronous detection (de-chopping) is a good way to reduce the effects of low-frequency interference and noise, including 1/f noise, offset and offset drift and cross-talk of the mains. A chopper can be implemented with a simple quad of switches (the commutator), which interchange the connecting wires of a signal source with a frequency higher than that of the disturbing signals. In a conventional chopper, the signal is switched in a +, −, +, −, . . . . sequence. After amplification in the de-chopper (demodulator), the inverse operation is applied. This demodulation converts the low-frequency noise and offset to a higher frequency band. With a low-pass filter the high-frequency signals are removed and the amplified version of the desired signal is obtained. Chopping can be considered as a special way of modulation/demodulation with synchronous detection. To improve the chopper performance the signal can be switched in a +, −, −, +, +, −, −, +, . . . sequence [10]. This means that, after an initial measurement, each time two measurements are performed with the switches in the same position. For interference, this switching sequence results in an improved filtering operation, which can be explained with the following example:

Example 2.1: Let us consider Figure 2.9, which shows a low-frequency interfering signal that is added to a sensor signal (not shown in the figure) that has to be chopped. De-chopping occurs by switching actions of a commutator at the moments (t = ti , i = 1, 2, . . .). After de-chopping and low-pass filtering, a residual low-frequency component of the interference remains. In case of the conventional chopping method, for a set of four samples, this component εconv amounts to: εconv = (S1 − S2 ) + (S3 − S4 )

(2.1)

In case of the advanced chopping, the residual error εadv is εadv = (S1 − S2 ) − (S3 − S4 ) From Figure 2.9 it can be concluded that εadv ≪ εconv .

(2.2)

35

Interface Electronics and Measurement Techniques for Smart Sensor Systems

magnitude S4 S3 S2

l.f. interference

S1

t1

t2

t3

t4

time

Figure 2.9 The magnitude of an interfering signal which is de-chopped at the moments ti , (i = 1, 2, . . .)

In the z-domain, for advanced chopping, the filter transfer function is given by: 1 − z −1 − z −2 + z −3

(2.3)

with z = exp(jωT mod ), T mod being the modulator period. Equation (2.3) can be transformed into an expression in the frequency domain, which shows that a second-order low-pass filtering for the interfering signal has been obtained. Unfortunately, the control signals of the switches cause a new problem. Because of the parasitic switching effects of clock-feedthrough and channel-charge injection, even after filtering and demodulation, a residual effect remains. This can be reduced by optimization of the switch design and compensation by the opposite effect of the control signals for n- and p-channel MOSFETs. Figure 2.10 shows a more basic solution to this problem [11]: in this so-called nestedchopper technique, an additional pair of choppers has been applied, operated at a much lower frequency than the other original pair. For instance, in the circuit of Figure 2.10, the inner commutators are operated at a frequency higher than the 1/f corner frequency (several tens of kiloHertz), while the outer commutators are operated at a rather low frequency (several

V choplow V chophigh +





V in

Vout

A1 +



LPF Vos

Figure 2.10 Principle of a nested-chopper amplifier

+

36

Smart Sensor Systems

tens of Hertz). The outer commutators are applied to remove the dc component in the spikes generated by clock feedthrough. The outer switches also have switching effects, but because of the much lower switching frequency, this effect is very small. This technique requires the use of an additional low-pass filter, which can easily be implemented as a digital filter in the software of the applied microcontroller. Introducing a third commutator pair can further extend the basic idea of nested choppers. This third commutator pair can be operated at, for instance, an intermediate medium frequency, somewhere in between the corner frequency of the 1/f noise and the control frequency of the outer chopper, to reduce for instance interference from the mains. Chopping techniques are not suited to reduce the effects of systematic errors caused by, for instance, inaccuracy and drift in the transfer parameters. This type of errors can be eliminated using autocalibration.

2.5.3 Autocalibration The undesired effects of transfer-parameter changes can be eliminated in various ways, for instance by autocalibration [12]. During autocalibration, a sufficient number of reference signals Eref,i is measured in exactly the same way as the sensor signal Ex . For a linear system, two reference signals suffice. A signal conditioner converts the sensor signal and the reference signals into, for instance, the time domain, using the period modulators discussed in Section 2.4. The signal conditioner is designed to have a linear relationship between the output signal (time period Ti ) and the input signal (the measured signal Ei ), so that it holds that: Ti = K E i + Toff

(2.4)

In this relation, the parameter K represents the system transfer factor, while T off represents the system offset. In the so-called three-signal measurement, the two reference signals Eref1 , Eref1 and the sensor signal Ex are measured sequentially, which results in the three output periods T ref1 , T ref2 and T x, , respectively. Then, the final measurement result is represented by the ratio M final : Mfinal =

Tx − Tref1 E x − E ref1 = Tref2 − Tref1 E ref2 − E ref1

(2.5)

Note that this final result is insensitive to both the multiplicative and additive parameters K and T off of the signal conditioner. It is important to note that the two reference signals Eref1 and Eref2 should have the same dimension as the sensor signal Ex . For simplicity, the reference signal Eref1 is often taken to be equal to − Eref2 or to zero. In the latter case, Equation (2.5) is simplified to: Mfinal =

Tx − Toff Ex = Tref − Toff E ref

(2.6)

The principles of autocalibration are applied in many of the sensor systems described in this book. Sometimes, full application of this principle is not possible for a complete system. However, even partial application of the autocalibration technique can considerably reduce the amount of system parameters and improve the system performance.

Interface Electronics and Measurement Techniques for Smart Sensor Systems

37

Example 2.2: When measuring a temperature T x , it is not practical to measure two reference temperatures T 1 and T 2 . Therefore, the temperature can be converted into, for example, a resistor value (see Chapter 7). Then, two reference resistors R1 and R2 can be used to continue the signal processing (Figure 2.11) with the three-signal method described above.

R1

Is

R2

S1 = IsR1 S2 = IsR2 Sx = IsRx

Rx

Figure 2.11 Measurement of a resistor Rx (four-wire method). For autocalibration according to the three-signal approach, in addition two reference resistors R1 and R2 are measured

2.5.4 Dynamic Amplification During autocalibration, three or more signals are processed in an identical way. The system should be linear or well characterized over the full signal range. This poses a problem when the signals are not in the same range of magnitude. As will be shown in this book, in many practical situations, the ratio between a small and a large signal has to be established. This is, for instance the case when the supply voltage V supply and the output voltage V out of a resistive bridge have to be measured in order to determine the relative bridge imbalance V out /V supply (Figure 2.12). In that case, to achieve high accuracy, the signal processor should have a very high dynamic range. Often such a requirement cannot be met. In these cases the small signals have to be amplified with or the strong signals divided by a scaling factor A, so that all of the signals get the same order of magnitude and the full dynamic range of the signal processor can be exploited. This will yield a better linearity and also a better signal-to-noise ratio for the actual range of the measurand.

+ V supply



+

Vout −

Figure 2.12 Resistive sensing elements in a Wheatstone bridge configuration

38

Smart Sensor Systems

An instrumentation amplifier with resistive dynamic-feedback Suppose it has been decided to amplify the small signals with a factor A, so that the magnitude of the amplified bridge output voltage AV out is closer to that of the supply voltage V supply . In that case it will be a design challenge to realize the gain factor A without losing precision. The use of an amplifier with dynamic element matching (DEM) [13, 14] could solve this problem. This is demonstrated in the DEM instrumentation amplifier with dynamic feedback (Figure 2.13). The resistive feedback circuit consists of a chain of K matched resistors. The chain can rotate by addressing of the appropriate switches (only six of them are shown in the figure). The feedback is realized with u, v, and w resistors, respectively. To complete the chain, a resistive load is present that consists of z resistors, where it holds that K ≡u+v+w+z

(2.7)

By applying force and sense wires, the effect of the ON resistances of the switches S1 –S6 is completely eliminated. Rotation of the resistor chain between the two op-amps results in the dynamic feedback. During a full rotation cycle, the feedback has K states. So a resistor that is part of the load will later become part of the feedback. For this reason, the load resistors, which do not affect the gain, are of vital importance for the functionality of the dynamic feedback. The output voltages are converted into the time domain (not shown in Figure 2.13). A microcontroller takes care of digitizing and algorithmic processing. The microcontroller calculates the averaged result of the successive measurements.

Rotating chain of K resistors Force S1

v resistors

S3

S5

Sense +

+

Vin

u resistors

z resistors

Vout



S6

S2

w resistors

S4



Sense Force

Figure 2.13 The principle of a dynamic-feedback instrumentation amplifier. In the figure, only six switches are depicted. Actually, each node of the resistive network is connected with a set of six switches to the amplifier circuit (after De Jong [13])

39

Interface Electronics and Measurement Techniques for Smart Sensor Systems

The average gain G of this amplifier over K successive states equals G =1+

v+w u

(2.8)

Mismatches between the resistors hardly affect the average gain, because these are compensated when the resistors move along the chain. The resistor chain is controlled by a digitalstate machine, which addresses the appropriate switches. Every successive state, the chain rotates one position, with a step frequency of about 50 kHz. Control of the resistor chain requires 6 switches connected to each single point between every two resistors, which results in a total of 6K switches. The output voltages are converted into the time domain, where, for instance, a microcontroller takes care of digitization and algorithmic processing.

An instrumentation amplifier with capacitive dynamic feedback It is also possible to design an instrumentation amplifier with a dynamic feedback loop using switched capacitors [15], [16]. An important feature of this amplifier (Figure 2.14(a)) is that input signals can be handled over the full rail-to-rail common-mode input voltage range. An amplifier with gain factor G requires G+1 identical capacitors. The DEM technique is realized by changing the capacitor positions sequentially in each measurement cycle. This procedure is clarified in Figure 2.14(b). In each cycle, one of the G+1 identical capacitors is in the feedback position of CG+1 , while all the others are in the position of CI . The average value of the amplification factors in a complete cycle is equal to G, for which it holds that

1 G= G+1

G+1  i=1

G+1 

C j − Ci

j=1

Ci

= G + G

(2.9)

S3 S1 V+

CG+1

φ3

φ1 S2

V−

C1

V+

out C2

VDD/2

C1 C2

V−

VDD/2

φ2 CG+1

(a)

CG

(b)

Figure 2.14 (a) A DEM switched capacitor amplifier; (b) simplified drawing of the feedback configuration; after Wang [15]

40

Smart Sensor Systems

where G represents the residual second-order error of the mismatch. Just as for the amplifier with resistive feedback, the effect of component mismatches is considerably reduced.

Example 2.3: Suppose that G = 7, and that two of the eight capacitors show a deviation from the nominal value of +1 % and −1 %, respectively. Without DEM, this mismatching causes a relative gain error, which, depending on the capacitor position, can be as large as ±1 %. When applying DEM, the same mismatch would result in a relative error in the average gain of only 29 × 10−6 .

2.5.5 Dynamic Division and Other Dynamic Signal-processing Techniques As for amplifying of the smallest signals, it is also possible to reduce the size of the largest ones, using a dynamic voltage divider [17]. Figure 2.15 shows the principle of such a divider in which a combined resistive/capacitive divider network is used. The divider is realized with N R resistors and N C capacitors, resulting in an accurate division ratio of α d = N C N R . In this circuit, precautions have been taken to minimize the effect of the ON resistance of the MOS switches on the division ratio. For the resistive part of the divider, this has been achieved by using a high-ohmic input impedance of the amplifier; for the capacitive part of the divider, by using a sample and hold (SH) circuit (not shown in Figure 2.15, but discussed in Chapter 10). The sampling time of the SH circuit should be long enough with respect to the relevant time constants in the divider circuit. Implementation of DEM techniques requires signal- and data-processing circuits, such as switch controllers, memory and calculation circuits. Microcontrollers are rather suited to S17

C

S10

S22

R

S9

S11

C Cint

S18

S12 S13

VBE

R

S2 C

S19

S1

S14 R

R

S20

S15

C

S16 S21

Figure 2.15 A dynamic voltage divider; after van der Goes and Meijer [17]

Interface Electronics and Measurement Techniques for Smart Sensor Systems

41

perform the functions of such circuits. In addition they can also be used to implement data processing at the level of an overall system design, including averaging or higher-order digital filtering, non-linearity compensation, autocalibration, interference detection, self-testing, etc.. The case studies presented in the following sections concern sensor systems in which the techniques described above have successfully been implemented.

2.6 A Universal Transducer Interface As a case study, this section discusses an interface chip called the universal transducer interface (UTI) [18], in which most of the measurement techniques discussed in this chapter have been applied. Figure 2.16 shows an impression of a system designed for multiple sensing elements implemented with UTI chips.

2.6.1 Description of the Interface Chip and the Applied Measurement Techniques This chip contains a number of front-end circuits, which have been optimized for frequently used sensing elements [10]. Figure 2.17 shows a block diagram of the UTI system.

LabView under Windows

COMPUTER Data bus

Microcontroller Sensor bus

UTI

UTI

UTI

UTI

Potentiometer

Resistive bridge

Pt100

Thermistor

UTI Capacitive sensor

x Position

Pressure

Temperature Temperature Humidity

Figure 2.16 An impression of systems implemented with universal transducer interfaces. Reproduced by permission of G. Wang

42

Smart Sensor Systems

continuous amplitude and continuous time

discrete amplitude and continuous time

discrete amplitude and discrete time

µC

UTI chip

÷ N/2 sensing elements and reference element

MUX

sensorspecific front-ends

modulator

A/D

÷2

DSP

Phase counter ÷3

Figure 2.17 Block diagram of the UTI system

A number of sensing elements, a reference element, and optionally a biasing element have been connected to the input terminals of the UTI chip. A selector (MUX) connects sequentially each of these elements to one of the specific front ends. The selector (MUX) is controlled by a phase counter, which counts 3, 4 or 5 phases, as discussed further on in this section. At least three phases are required to implement the autocalibration technique described in Section 2.5.3. The mode-specific front end is connected to a modulator, which consists of a relaxation oscillator. This modulator generates a square-wave output signal, whose period length is proportional to the value of the selected sensing element. To simplify the detection of the period length in the microcontroller and to reduce the effect of connecting wires and (optional) switches between the interface chip and the microcontroller, the output frequency is lowered. This is accomplished with an N-counter, which also triggers the phase counter to select the next measurement phase. Every measurement phase therefore consists of N periods. During the offset measurement phase (time interval T off in Figure 2.18), the frequency of the UTI output signal is doubled. This enables the microcontroller to recognize the offset period, so that it can be synchronized with the phase counter. As a consequence, the number of periods within a measurement is the number of phases plus one. After 3, 4 or 5 phases (time intervals), a complete measurement cycle has been performed (Figure 2.18). The oscillator signal generated by the modulator is also used as an excitation signal for the passive sensing elements. This enables synchronous detection of the sensor signals, which increases the immunity against interfering signals. Moreover, it improves the selectivity for the measurand in the presence of parasitic components. With the help of Figure 2.19, this

1 cycle Tref

Tx

Toff

Figure 2.18 Output signal of the UTI interface for the case where a complete measurement cycle takes three phases. These phases correspond to three time intervals and four periods

Interface Electronics and Measurement Techniques for Smart Sensor Systems

voltage

43

nominal voltage actual voltage

time

Figure 2.19 Possible wave shape of the distorted output voltage of a front-end amplifier, for a squarewave excitation signal of the sensing element

feature can be clarified: Suppose that a square-wave excitation signal causes an output signal of the sensing element, which, due to the parasitic elements, immediately after a 0–1 or 1–0 transition shows undesired transients, spikes, time delay etc. With a sample-and hold circuit the effects of the transient behavior can be reduced. Because the control of the S-H circuit is synchronized with the excitation signal, it is possible to wait until the very end of the time interval of each half period and to take the last moment value for further processing. In fact, this approach demonstrates how selectivity can be obtained with signal processing in the time domain. In addition to frequency-domain signal processing, this technique is quite useful for improving circuit and system performance. In Chapter 10, other examples of timedomain processing will be presented. The frequency of the modulator output signal amounts to several tens of kiloHertz. Depending on the mode applied and after division, the output frequency is in the range of a few tens to a few hundreds of Hertz. Note that the division does not affect the excitation signal. The modulator and the front-end circuit for capacitive modes A main part of the interface is the modulator. We will shortly discuss the operation of this modulator for the case that it is connected to the front-end circuit for capacitive sensors (Figure 2.20) [19]. The circuit shown in Figure 2.20 is used to determine the relative value of a sensor capacitor Cx with respect to a reference capacitor Cref . These capacitors have one common electrode, thereby requiring three IC pins to connect the capacitors to the interface chip. In some modes, up to four capacitors and one reference capacitor can be connected, thereby requiring six pins. These pins are denoted A, B, C, D, E and F. The pins are also used in other sensor applications, having different functions for the different applications. The modulator output controls the switches S1 and S2 , so that a square-wave excitation voltage is generated controlled over the selected capacitor Cx or Cref . This results in a square-wave output voltage for operational amplifier OA1, which is operated in its linear region. The amplitude of this output voltage is proportional to the value of the sensor capacitors Cx or Cref . At the end of each half period, the capacitor Cs samples the magnitude of the square-wave output voltage of the front-end amplifier. After that, the charge of Cs is dumped into the integrator capacitor Cint . This charge is removed by integrating the current I int . As soon as the integrator

44

Smart Sensor Systems

Cref

Switch control

VDD B

S1

C

S2

Cx

VDD

So1

Co1

So2

Co2

to µC

VDD VDD Sr

sampling capacitor Cp

A

Cint

OA1

OA2

Cs

integrator comparator VDD/2

Charge-to-Period converter

UTI chip

Figure 2.20 Principle of the UTI system for capacitive-sensor modes

output voltage exceeds the comparator reference voltage, the comparator switches into another state, starting the next step of the measurement. This relaxation process results in a periodic square-wave output signal of the comparator. The period length of the output signal is linearly related to the values of the sensor capacitors Cx or Cref . To keep the relaxation oscillator running, even when Cx = Cref = 0 pF, an offset capacitor Co2 is used. Another offset capacitor Co1 is used to create a time interval for sampling the output voltage of OA1 with the capacitor Cs [20], [21]. A more detailed discussion on the operation of this circuit is presented in ref. [19]. The three-signal autocalibration technique has been implemented in the following way: During the signal-measurement phase, the capacitor Cx is selected, during the reference phase, the capacitor Cref is finally selected, and none of these two is selected during the offset phase. The capacitors are measured according to the two-port technique shown in Figure 2.3(b): the transmitting electrode is driven from a low-ohmic voltage source and the receiving electrode is connected to virtual ground (terminal A of the interface chip). Also the advanced chopper technique has been implemented. This makes the interface output signal rather insensitive to 1/f noise. This is achieved by modulating all relevant electrical signals at a frequency that is higher than the corner frequency of the 1/f noise. Because of this measure, it has been possible to implement the interface chip with low-cost CMOS technology, without problems caused by the strong 1/f noise of CMOS transistors. The sampling capacitor and the charge-to-period converter (Figure 2.20) of the interface chip belong to the UTI core, which is used in all modes. For the measurement of other types of sensing elements, the input circuitry is slightly modified, as discussed now.

The front-end circuit for resistive modes The interface adapted for the measurement of platinum resistors is shown in Figure 2.21. A reference resistor Rref is placed in series with the platinum resistor Rpt . To avoid overload of

45

Interface Electronics and Measurement Techniques for Smart Sensor Systems

VDD

Ipt Rbias Rref

A Vref

Control

to µC

B Cs

Charge-toPeriod Converter

C Rpt

Vx

D VDD

Interface

Figure 2.21 Set-up of the interface for measuring a platinum resistor

the integrator amplifier, the value of Rbias is selected such that the amplitude of the voltages across Rpt and Rref is smaller than 0.5 V for V DD = 5 V. With the proper choice of Rbias , it is also possible to limit the current through Rpt and therefore self-heating of this temperature sensor (see Chapter 7, Section 7.2.4). The voltages V ref and V x are measured during the reference and signal phase. These voltages are sensed according to the two-port measurement technique, shown in Figure 2.3(a). The current I pt is a chopped square-wave ac current, which enables the reduction of low-frequency interfering signals. Since the amplitude of I pt is almost independent of Rpt , the resolution achieved is almost constant over the entire temperature range of Rpt . The microcontroller calculates the temperature of the platinum resistors by means of a look-up table or by solving an equation.

The front-end circuit for thermistor modes The interface adapted to the measurement of thermistors is shown in Figure 2.22. A reference resistor Rref is placed in series with the thermistor Rth . A chopped voltage with an amplitude of 0.08 VDD drives the series-connected resistors. This way of driving strongly linearizes the nonlinear behavior of the thermistor and results in a rather constant resolution over a wide temperature range, according to the method explained in Chapter 7, Figure 7.11. The microcontroller calculates the thermistor temperature, which is the measurand.

The front-end circuit for bridge modes The interface adapted for the measurement of resistive bridges is given in Figure 2.23. The resistive bridge consists of four resistors Rb , of which minimally one is sensitive to a physical signal, resulting in a relative resistive change . Two modes for two ranges of the bridge

46

Smart Sensor Systems

UTI chip

E

A Rref

Vref

+ 0.04 VDD



B

C Rth

Vx

+

+





0.04 VDD

VDD/2

D

Control

to µC

Charge-toPeriod Converter

Cs F

Figure 2.22 Set-up of the interface for measuring thermistors

output voltage are available: For large output voltages, the bridge output voltage is directly sampled by the four sampling capacitors Cs /4 in parallel. When the maximum value of the bridge output voltage V x is below a certain level, V x is amplified 15 times. Next, this voltage is sampled by the four capacitors Cs /4 in parallel. The amplifier has a very accurate gain based on the dynamic-element-matching technique and needs no calibration (see Section 2.5.3). During the reference measurement phase, the voltage V ref across the bridge is divided into eight almost equal parts (according to the principle discussed in Section 2.5.5), which are sampled by a single capacitor Cs /4. Therefore, the realized on-chip voltage divider effectively divides V ref by 32 (see Section 2.5.5). This division ratio is also very accurate and needs no calibration. The voltages are measured according to the two-port technique of Figure 2.3(a). Furthermore, the principle of advanced chopping (see Section 2.5.2) has also been applied. VDD

E

Rb(1+∆)

Cs/4 C

Amp 15×

Vx

Rb(1−∆)

Interface

A Vref

Rb(1−∆)

Rb(1+∆)

Voltage Divider ÷ 8

Cs/4

Control

Cs/4

Charge-toPeriod Converter

to µC

D B F

VDD Cs/4

Figure 2.23 Set-up of the interface for measuring the imbalance of a resistive bridge

Interface Electronics and Measurement Techniques for Smart Sensor Systems

47

Figure 2.24 A photomicrograph of the realized sensor interface. The minimum gate length of the applied CMOS process is 0.7 µm. The die measures 5.5 mm2 . (Reproduced by permission of Smartec)

2.6.2 Realization and Experimental Results The UTI chip has been realized in a 0.7 µm CMOS process (Figure 2.24). After selection of one of the 16 application modes [18], this chip provides interfacing for:

r Capacitive sensors in various ranges from (0 to 2) pF up to (0 to 300) pF, r Single or multiple platinum resistors, for instance of the type Pt100, Pt1000, with externally adjustable biasing current,

r Thermistors with a 0 ◦ C value in the range of 1 k to 25 k, with a provision for linearization,

r Various types of resistive bridges in the range of 250  to 10 k with maximum imbalance ±4 % or ±0.25 %, with current or voltage supply,

r Potentiometers. In addition to the application modes the interface offers:

r A test mode. This mode is used to check the nonlinearity of the signal-to-time conversion of the interface.

r A selection mode for slow or fast measurement. This selection sets the number of periods N within one measurement phase to 256 or 32, respectively.

r A power-down (chip-enable) mode. When in power-down mode, the current consumption is reduced to a very low level (1 µA) and the output of the interface becomes floating. It is therefore possible to place several interfaces in parallel and connect the outputs together in a wired-OR configuration.

48

Smart Sensor Systems

10-14

1 Tmeas

Resolution (F)

Quantization noise

10-15 1 Tmeas

10-16

10-17 10-4

10-3

Electronic white noise

10-2

10-1

1

10

Measurement time (s)

Figure 2.25 Capacitive resolution in the (0 to 2) pF range versus the measurement time

Because of the large number of different modes, the interface can only be characterized with reference to many different measurements results. In this chapter, only for the capacitive modes, some important measurements results have been presented. More information can be found elsewhere [18, 19, 21]. Figure 2.25 shows the measured resolution for capacitive sensors in the measurement range (0 to 2) pF versus the measurement time. To enable this measurement, we bypassed the internal period and phase counters by external ones, which were controlled by the microcontroller itself. Thus it was possible to realize measurement times in the range from 1ms to 3s. The resolution for short measurement times is mainly due to quantization effects. In this range, the resolution is inversely proportional to the measurement time T meas (see Chapter 10). For longer measurement times, the electronic noise dominates and the resolution becomes inversely proportional to T meas 0.5 (Cp = 30 pF) (see Chapter 10). Usually, in case of a real sensor system, the sensor capacitors are connected to the interface chip with a shielded cable. The parasitic capacitance of this cable, which is about 100 pF/m, causes a parasitic capacitance Cp at input terminal A (see Figure 2.20) of the UTI chip. This capacitor affects some important features of the UTI system. Figure 2.26 shows the measured capacitive resolution in the (0 to 2) pF range versus the parasitic input capacitance Cp of

Resolution (F) 4.10-16 3.10-16 2.10-16 10-16 0

0

2.10-10 4.10-10 6.10-10 8.10-10

10-9

1.2.10-9

Parasitic capacitance (F)

Figure 2.26 Capacitive resolution in the (0 to 2) pF range for a measurement time of 100 ms, versus the parasitic capacitance Cp at the common electrode

49

Interface Electronics and Measurement Techniques for Smart Sensor Systems

the common electrode (Figure 2.20). If Cp increases, so will the noise-voltage amplification in the input stage. However, at the same time, the bandwidth of the input stage reduces linearly. It can be shown that, because of these effects, the resolution is proportional to Cp 0.5 . This is confirmed by the measurement results shown in Figure 2.26 for a 100 ms measurement time. With small sensor capacitances it is very difficult to test the absolute accuracy of the system. This is partly because for small capacitor values, precision components hardly exist. Even if such precision capacitors could be fabricated [22], the parasitic capacitors in the interface input would introduce additional errors.

Example 2.4: The parasitic capacitances between the pins of an integrated circuit are in the range of a few tenths of a picofarad and vary from pin to pin. In addition the connecting wires also add parasitic capacitance, which makes it very difficult to perform an accuracy test with a precision that equals the resolution of, for instance, 50 aF.

Fortunately, features that are difficult to test are seldom of interest for the user. Conversely, features that are important for practical applications can usually be well tested, provided that sufficient care is taken. One such feature is the nonlinearity of the system. Even with small capacitor values, the nonlinearity of the system can be tested. For these tests, using autocalibration, four phases instead of three are applied. During these phases, in addition to the offset capacitor Coff , the capacitors C1 , C2 and the sum of these capacitors C1 +C2 are measured. To be sure that during a complete measurement cycle of four phases the parasitic capacitors have identical values, during selection, nothing is changed in the position of the capacitors. The phases are selected by switching on or off the excitation signal, without changing the impedance level at any of the capacitor terminals. This can easily be done using a digital multiplexer [23]. During the four phases, the corresponding periods of the UTI output signal are T off , T C1 , T C2 and T C1+C2 , respectively. The nonlinearity λ is found with the equation λ=

TC1 + TC2 − 2Toff TC1+C2 − Toff

(2.10)

For a perfectly linear signal-to-time conversion, the nonlinearity λ is zero. To make this test highly accurate, high-quality capacitors should be used. Teflon capacitors are rather suited because of their very small dielectric absorption. Figure 2.27 shows the measured nonlinearity Non-linearity λ 3.10-3 2.10-3 10-3 0 0

2.10-10 4.10-10 6.10-10 8.10-10

10-9

1.2.10-9

Parasitic capacitance (F)

Figure 2.27 Nonlinearity for capacitive measurements in the (0 to 2) pF range

50

Smart Sensor Systems

Table 2.1 Measurement results for some of the 16 application modes Application mode Capacitors in (0 to 2) pF range Capacitors in (0 to 12) pF range Platinum resistors Thermistors Resistive bridge, 200 mV range Resistive bridge, 12 mV range

Resolution in slow mode

Resolution in fast mode

Accuracy in slow mode

Condition

50 aF

150 aF

14 bit

Cp = 30 pF

0.3 fF

0.9 fF

14 bit

Cp = 30 pF

7 µV or 9 mK 7 µV or 1 mK 7 µV

20 µV or 26 mK 20 µV or 3 mK 20 µV

15 bit 15 bit 11 bit

Pt100, I pt = 2 mA, Rth = 1 k, 4 %/K

700 nV

2 µV

10 bit

for Teflon capacitors with a value of about 1 pF connected to the UTI input terminals. During the nonlinearity test, the parasitic capacitor has been simulated with a discrete capacitor. In addition to the experimental results for the capacitive sensors, Table 2.1 shows a summary of experimental results for some of the other 16 application modes. More test results for, for instance, the sensitivity to interfering signals and the accuracy for the other application modes can be found elsewhere [19]. In Table 2.1, the range of the resistive bridges refers to the range of the output voltages. For the resolution of the resistive temperature sensors, in addition to the voltage over the temperature-sensing resistor, the corresponding error of the temperature measurement has also been given.

2.7 Summary and Future Trends 2.7.1 Summary In the smart sensor systems discussed in this chapter, measurement techniques have been presented that can be implemented with a limited number of low-cost, low-power integrated circuits. The applied techniques enable selective detection of the measurand with a high immunity against parasitic effects of the sensing elements and their connecting wires. The application of synchronous detection, autocalibration and advanced chopping results in a high accuracy, including a high immunity against interfering signals, 1/f noise and parameter drift. Techniques have been presented to increase the dynamic range of the sensor signals. These include the use of dynamic amplifiers and dynamic dividers. As a case study, we have discussed the application of the measurement techniques in a general-purpose sensor interface. This interface has been designed for capacitive sensors, platinum resistors, thermistors, resistive bridges and potentiometers. Together with a microcontroller, the interface chip enables rapid and easy prototyping of sensor systems. The applied measurement concepts include: the three-signal technique (a continuous autocalibration technique that eliminates offset and gain errors of the interface circuit), indirect A/D conversion based on the use of a first-order oscillator, dynamic voltage division, dynamic element matching, advanced chopping, synchronous detection and two-port measurement techniques. The

Interface Electronics and Measurement Techniques for Smart Sensor Systems

51

interface chip has been implemented using low-cost 0.7 µm CMOS technology. Owing to the measurement techniques applied, the effects of traditional nonidealities of CMOS circuits have been eliminated, such as 1/f noise and component mismatching. The system accuracy is in the range of (10 to 15) bit, with a resolution up to 16 bit. The measurement time is in the range (1 to 100) ms. Calibration of the electronic part is not required.

2.7.2 Future Trends The developments in interface electronics and measurement techniques are driven by the technological developments in mixed-mode circuit design. Especially CMOS technology enables combining analog and digital signal processing on single chips. The availability of on-chip memory allows on-chip data processing, which will make interface electronics smarter and reduce the data rate and power consumption. Standardization of output signals of sensors and sensor interfaces will enable rapid system development. The development of universal sensor interfaces with front-end circuitry for a wide variety of sensing elements will simplify the development of novel sensor systems and help designers implement reliable and highperformance measurement techniques. In addition to features related to speed and accuracy of the sensor systems, the reliability of these systems will be of the highest importance. Using concepts for multiple-sensor systems the reliability can be improved by design. For instance, the reliability of a sensor system for measuring of temperatures with thermocouples can be improved considerably by monitoring the thermocouple resistance. Because aging effects and drift are significantly correlated with thermocouple resistance, an increase of this resistance can be used as a signal to replace the thermocouples. Real-time and high-speed processing of the stream of sensor data will pose another challenge for future developments: Future sensor systems will be adaptable, with real-time optimization of their features depending on the specific conditions. This adaptation is only possible with real-time processing of the sensor data. In this way there will be a merging of signal and data processing. Designers practicing ‘learning from nature’ methods will develop sensor systems, which resemble the sensor systems of animals and human beings more and more closely, with artificial eyes and ears adapting to the specific conditions, and sensors and muscles working together, responding to signals generated in central processors. Such sensor systems can only be developed in an overall design approach, where software and hardware engineers work closely together for common purposes.

Problems 2.1 Effect of cables and wires connected to a sensing element (see Section 2.3.2) (a) A sensor capacitor Cs = 1 pF is connected to the front-end amplifier of a sensorinterface circuit, according to the circuit diagram of Figure 2.28(a). Each of the two shielded cables has a length of 1m and a parasitic capacitance of 100 pF/m. The excitation voltage V exc is a square-wave signal with a peak-to-peak value of V p-p = 10 V. The amplifier is operated in its active (linear) region (the biasing circuits are not shown in the circuit diagram). The amplifier may be considered as being ideal

52

Smart Sensor Systems

Cf = 5pF l = 1m

Cs = 1 pF

(a)

Vo

Vexc

Cf= 5pF l = 1m

Cs = 1pF Vexc

Vo

(b)

Figure 2.28 Effect of connecting cables for capacitive sensors (a) with a two-port connection; (b) with a one-port connection

with an infinite gain and infinite bandwidth. Answer the following question: What is the shape and magnitude of the amplifier output voltage V 0 ? (b) In some applications, the sensor capacitors are grounded, so that only one terminal is available for external connection. For such an application, the circuit is modified to that shown in Figure 2.28(b). The excitation voltage is the same as mentioned before. What is the shape and magnitude of the amplifier output voltage V 0 for this modified circuit configuration? 2.2 Parasitic resistors shunting a capacitive humidity sensing element (see Section 2.3.3) A capacitive humidity sensor with a capacitance Cs and a leakage resistance Rleak (Figure 2.29(a)) is connected to the front-end circuit of a sensor-interface circuit, according to the circuit diagram of Figure (2.29(b). The excitation voltage V exc is a square-wave signal with a peak-to-peak value of 1Vp-p and a frequency of 50 kHz. The amplifier is biased in its active region and may be considered as being ideal, with infinite gain and bandwidth. The shape of the amplifier output voltage V o is shown in Figure 2.29(c). (a) The effect of the shunting resistor causes the voltage rise V rise (Figure 2.29(a). Find by calculation the magnitude of this voltage rise. (b) The output voltage V o is sampled at the end of each half-period, just before the next transient. At t = t2 this yields the value V o (t2 ). Calculate the relative error in this sample, as compared to the ideal value V o, ideal for the case where Rleak → ∞. 2.3 Time constants in a chopper configuration for a high-ohmic voltage-generating sensor (see sections 2.3.3 and 2.5.2) A thermopile sensor with an internal resistance Rs = 50 k generates a dc output voltage V s. This voltage is chopped using a switch S1 , which is operated at a frequency

53

Interface Electronics and Measurement Techniques for Smart Sensor Systems

Cf = 200pF

Rleak= 10MΩ

Cs

Cs=100pF +0.5V −0.5V

Rleak Vexc

(a)

Vo

(b)

Vo(t2)

Vrise

Vo

t1

t2

time

100µs

(c)

Figure 2.29 The effect of the leakage resistor of a capacitive humidity sensor: (a) electrical model of the sensing elements, (b) circuit diagram of the front-end amplifier, (c) the output voltage V o versus the time

f = 50 kHz (Figure 2.30(a)). The input capacitance Cp = 20 pF of a front-end amplifier (not shown in the figure) causes the output voltage V ch to deviate from the ideal voltage V ch, ideal . Both voltages are depicted in Figure 2.30(b). The voltage V ch is sampled at the end of each half-period, just before the next transient. Calculate the relative error V ch /V ch of the voltage samples. Rs = 50kΩ

Vs

Cp = 20pF

Vch

(a) Vch

Vch,ideal

∆Vch Vch t1

t2

time

(b)

Figure 2.30 Chopper for a thermopile sensor: (a) circuit diagram; (b) output voltage V ch versus the time

54

Smart Sensor Systems

2.4 Dynamic amplification with resistive feedback (see Section 2.5.4) The dynamic feedback amplifier of Figure 2.13 (Section 2.5.4) contains z resistors at the amplifier output. These resistors do not contribute to the gain but consume energy current. Why are they needed? 2.5 Dynamic amplification with capacitive feedback (see Section 2.5.4) In the circuit of Figure 2.14(a), a switch S3 can be found in the feedback network of the amplifier. Why is this switch needed?

References 1. IEEE (1997). IEEE Std 1451.2, Standard for a Smart Transducer Interface for Sensors and Actuators – Transducer to Microprocessor Communication Protocols and Transducer Electronic Data Sheet (TEDS) Formats, IEEE, New Jersey, USA. 2. Toth, F.N. (1997). A design methodology for low-cost high performance capacitive sensors, PhD Thesis, TU Delft. 3. Smartec (2006-1). www.smartec.nl, Data sheet of Temperature sensors. 4. Li, X. and Meijer, G.C.M. (2000). Elimination of shunting conductance effects in a low-cost capacitive-sensor interface, IEEE Transactions on Instrumentation and Measurement, 49, 531. 5. Li, X. and Meijer, G.C.M. (2002). An accurate interface for capacitive sensors, IEEE Transactions on Instrumentation and Measurement, 51, 935. 6. Nihtianov, S.N., Shterev, G.P., Iliev, B. and Meijer, G.C.M. (2001). An interface circuit for R-C impedance sensors with a relaxation oscillator, IEEE Transactions on Instrumentation and Measurement, 50, 1563. 7. Jespers, P.G.A. (2001). Integrated Converters, Oxford University Press, Oxford. 8. Gasulla, M., Li, X. and Meijer, G.C.M. (2005). The noise performance of a high-speed capacitive-sensor interface based on a relaxation oscillator and a fast counter, IEEE Transactions on Instrumentation and Measurement, 54, 935–939. 9. Van Der Goes, F.M.L. and Meijer, G.C.M. (1996b). Sigma-delta versus oscillator-based converters in low-cost accurate sensor systems. In IEEE IMTC’96, Brussels. 10. Van Der Goes, F.M.L. and Meijer, G.C.M. (1997). A universal transducer interface for capacitive and resistive sensor elements, Analog Integrated Circuits and Signal Processing, 14, 249–260. 11. Bakker, A., Thiele, K. and Huijsing, J.H. (2000). A CMOS nested-chopper instrumentation amplifier with 100nV offset, IEEE Journal of Solid-State Circuits, 35, 1877–1883. 12. Meijer, G.C.M. and van Herwaarden, A.W. (1994). Thermal Sensors, IOP, Bristol, UK. 13. de Jong, P.C. (1996). Dutch Patent application, 1002732. 14. de Jong, P.C., Meijer, G.C.M. and van Roermund, A.H.M. (1998). A 300 ◦ C dynamic-feedback instrumentation amplifier, IEEE Journal of Solid-State Circuits, 33, 1999. 15. Wang, G. (2000). Dutch Patent Application, 1014551. 16. Wang, G. and Meijer, G.C.M. (2000). Accurate DEM SC amplification of small differential-voltage signal with CM level from ground to VDD. In SPIE2000, Newport Beach, USA. 17. Van Der Goes, F.M.L. and Meijer, G.C.M. (1994). A simple and accurate dynamic voltage divider for resistive bridge transducers. In IEEE IMTC/94, Hamamatsu, Japan. 18. Smartec (2000-2). www.smartec.nl, Data sheet Universal Transducer Interface UTI. 19. Van Der Goes, F.M.L. (1996). Low-cost smart sensor interfacing, PhD Thesis, TU Delft. 20. Van Der Goes, F.M.L. and Meijer, G.C.M. (1996a). A novel low-cost capacitive-sensor interface, IEEE Transactions on Instrumentation and Measurement, 45, 536–540. 21. Smartec (2004-2). Interface UTI. 22. Toth, F.N., Bertels, D. and Meijer, G.C.M. (1996). A low-cost, stable reference capacitor for capacitive sensor systems, IEEE Transactions on Instrumentation and Measurement, 45, 526. 23. Toth, F.N. and Meijer, G.C.M. (1992). A low-cost, smart capacitive position sensor, IEEE Transactions on Instrumentation and Measurement, 41, 1041.

3 Silicon Sensors: An Introduction Paddy J. French

3.1 Introduction In the last 30 years, silicon sensors have been able to benefit from huge price/performance improvements in the IC industry. One of the problems facing sensors compared with standard ICs is that the volume is often smaller. Although millions of pressure sensors are produced each year, they are designed for numerous applications that have many pressure ranges. Despite these problems, silicon sensors are now finding a growing market leading to reduced unit costs. Sensors form only one part of the total system and it is essential that all components function correctly to have a useful system. Figure 3.1 shows these components. On the left-hand side is the input transducer, or sensor. The signal is often not in a desired form and can also contain cross-sensitivities. The modifier needs to convert this signal into the required form and, where possible, remove unwanted effects such as cross-sensitivity. Once the desired format is obtained the signal can be transmitted to the outside world using the output transducer (display, actuator, storage or transmission).

3.2 Measurement and Control Systems The name ‘information-processing system’ stands for a wide spectrum of systems which comprise computers, oscilloscopes, door locks, clinical thermometers, satellites, word processors, cash registers, automatic vending machines, slide projectors, etc. All these systems process information in one way or another. In this text we will concentrate on a subgroup of information processing systems, namely modern electronic measurement and control systems, and above all, attention will be focused on the transducers or sensors used in these systems. In the input transducer, which these days is called the sensor, measures of such physical or chemical quantities as light intensity, displacement, temperature, magnetic field or pH value are converted into an electrical or electronic signal. For this purpose a huge number of Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

56

Smart Sensor Systems

Display

Actuator Physical environment

Signal

Signal Input Transducer

Modifier Storage (printer/disc)

Transmission

Figure 3.1 Block diagram of an information-processing system

physical and chemical effects are already available. An input transducer is distinguished by the fact that the energy carrying the signal is converted from nonelectrical into electrical form. In the second block, the modifier, the electronic signal is, as the name implies, modified. The modifier can be as simple as an amplifier, but it can also consist of a microprocessor or even of the central processing unit of a large-scale computer. In contrast to transducers, in a modifier the form of the energy carrying the signal is not converted into another form. In a modifier analog-to-digital or current-to-frequency conversion can occur, in which case the signal can be amplified or filtered or, when the modifier consists of a computer, the signal can be subjected to an extended algorithm. However, the signal is always carried by electrical energy at the input as well as at the output of the modifier. The advances made in integrated circuit technology have made it possible to produce very sophisticated modifiers with an unprecedentedly low price/performance ratio. For instance, microprocessors, memory chips and operational amplifiers are currently available at moderate costs with most prices still continuing to decrease while versatility and reliability continue to increase. Lastly, in the output transducer the electrical signal is again converted into a nonelectrical signal which can be detected by at least one of the five human senses. For example, in a display the signal is carried by radiant energy and in a loudspeaker by mechanical (acoustic) energy. When the instrument is used for control purposes, the output signal is often mechanical. When a feedback loop is introduced between the output of the output transducer and the system generating the physical quantity to be measured, the physical quantity can be controlled to be at a desired level determined beforehand. When registration or storage of the information is envisaged, a recording head or a thermal printing head can be used as the output transducer. Finally, an output transducer may be necessary for the transmission of information. When electromagnetic radiation is used as a signal carrier, the output transducer is in the form of a simple antenna. The similarity between

Silicon Sensors: An Introduction

57

display devices and transmission devices is striking. In an optical display, the visible electromagnetic radiation carries the information to the eye of the human observer. Therefore, a display device is in fact also a transmission device. In order not to add to the confusion surrounding the terminology in the instrumentation field, the term ‘display device’ will be used in this book whenever a transmission device is meant where a human observer is the receiver of the information. In all other cases we refer to a ‘transmission device’. The above observations also apply to registration devices. For example, a printing head transfers information onto paper. A human observer can make immediate use of this on-line output, in which case the printer acts as a display device. When the information is printed, in order to store it for later use, the printer acts as a storage device. To avoid confusion, we will not use the term ‘display device’ in any case where material is employed for storage.

3.3 Transducers 3.3.1 Form of Signal-carrying Energy As shown in the preceding section, an instrumentation system consists of two blocks, the transducers, in which the form of the signal-carrying energy is converted into another form, and one block, the modifier, in which the form does not change. In order to obtain insight into the different signal conversions in transducers, it is useful to consider the various forms in which energy manifests itself and to study the physical effects by means of which energy conversion can take place. From a physical point of view, as most textbooks on physics elucidate, we can distinguish the following forms of energy: (1) Electromagnetic radiant energy: this energy is related to electromagnetic radiowaves, microwaves, infrared, visible light, ultraviolet, X-rays and gamma-rays. (2) Gravitational energy: this energy concerns the gravitational attraction between a mass and the earth. (3) Mechanical energy: this energy pertains to mechanical forces, displacements, flows, etc. (4) Thermal energy: this energy is related to the kinetic energy of atoms and molecules. (5) Electrostatic and electromagnetic energy: this energy concerns electric and magnetic fields, currents and voltages. (6) Molecular energy: this energy is the bond energy which holds atoms together in a molecule. (7) Atomic energy: this energy is the binding energy which is related to the forces between nucleus and electrons. (8) Nuclear energy: this energy is the binding energy which holds the nuclei together. (9) Mass energy: this energy is proposed and described by Einstein as part of his relativity theory. In today’s search for alternative energy sources, studies are focused on all methods that allow the efficient conversion from one of the nonelectrical energy forms into the electrical energy form.

58

Smart Sensor Systems

In order to characterize the transducers in the instrument field, it is not necessary to consider all of the above-mentioned energy forms. Although, with some imagination, one can envisage information transport which makes use of nuclear or mass energy, for practical purposes the energy forms are grouped into only six main energy domains, which in turn lead to six main signal domains. Gravitational and mechanical energy are brought together in the mechanical signal domain. Electromagnetic waves are considered radiant energy. Molecular energy and atomic energy are brought together in what is called the chemical signal domain. Nuclear and mass energy are not considered here, for obvious reasons. We now have the six signal domains [1]:

r r r r r r

radiant signal domain; mechanical signal domain; thermal signal domain; electrical signal domain; magnetic signal domain; chemical signal domain.

Based on this division, all measurement and control systems can be represented by the block diagram in Figure 3.2 [2]. In the input transducer and the output transducer, the form of the signal carrier is converted, whereas in the modifier the form remains the same. Today electrical energy is preferred as a signal carrier above all other forms of energy. Electrical energy has been shown to be very versatile, which is a fact reinforced by the availability of sophisticated, low-cost microelectronic components. To illustrate this, an electronic thermometer is indicated in Figure 3.2. In the input transducer thermal energy is converted into electrical energy by means of, for instance, the Seebeck effect. In the modifier the small electronic signal is modified, or amplified, and the signal is converted from analog to digital. In the output transducer the digital signal is converted into a radiant (optical) signal, for instance, by means of a liquid-crystal display. The optical signal makes it possible to visually read the temperature. Though electrical energy is very much the preferred signal carrier for the modifier, other energy forms are still being used in many applications. Mechanical energy is still being used as a signal carrier in mercury thermometers, in the barometer, in many inclinometers, in many speedometers, in standard typewriters, in scales and in many other instruments. Such devices can be produced at very low cost and are often very reliable. Therefore, it is to be expected that these devices will remain on the market for years to come. However, when such devices are applied in

T

rad. mech. therm. elect. magn. chem.

M

rad. mech. therm. elect. magn. chem.

T

rad. mech. therm. elect. magn. chem.

Figure 3.2 General block diagram of an instrumentation system indicating the six different forms of signal-carrying energies. An electronic thermometer is indicated by rectangles

Silicon Sensors: An Introduction

59

control systems, which require signal transmission over long distances, mechanical energy has proven to be a rather inconvenient signal carrier. For such purposes, electrical or electronic devices such as thermostats for central heating systems, piezoresistive pressure sensors for the processing industry, and load sensors for automatic filling machines, were introduced many years ago.

3.3.2 Signal Conversion in Transducers In the input transducer a nonelectrical signal is converted into an electrical signal. As has just been discussed, there are six signal domains, which include the electrical signal domain. Because the carrier form is converted in a transducer, one of five nonelectrical signals is converted into an electrical signal in an input transducer. This statement is subject to some dispute, because there are also input transducers that detect electrical signals such as a current or an electric field. However, if we do not regard electrical signals as input measurands, a large group of important input transducers would not be discussed. A solution to this problem would be to define a transducer as a device in which a signal from one of the six signal domains, including electrical signals, is converted into an electronic signal. However, careful consideration of this suggestion shows that it tends to generate more confusion than insight. In fact, this uncertainty about a proper definition is related to a wider problem. If one considers these different possibilities, the physics-oriented approach offers a convenient description of the transducer field. Table 3.1 gives the six signal domains with the most important physical parameters. Electrical parameters usually represent a signal from one of the nonelectrical signal domains. Examples are given in Table 3.1. When electrical parameters such as current or voltage have to be measured, using transducers is not necessary. The entire electronic instrumentation field is made up of instruments consisting of only a modifier and a display unit; therefore, they will not be considered here. Looking at the physical parameter-oriented approach, the input transducer field can be depicted by the diagram in Figure 3.3 [3]. Each sphere represents a signal domain and in each transducer a one-step conversion occurs in the direction of the electrical signal domain, which is indicated by an arrow. By employing the Seebeck effect, for instance, a transducer can be constructed which converts a temperature difference into an electrical signal. It is also possible to employ the piezoelectric effect to convert a mechanical signal into an electrical signal. Table 3.1 The six signal domains Radiant signals Mechanical signals

Thermal signals Electrical signals Magnetic signals Chemical signals

Light intensity, wavelength, polarization, phase, reflectance, transmittance Force, pressure, torque, vacuum, flow, volume, thickness, mass, level, position, displacement velocity, acceleration, tilt, roughness, acoustic wavelength and amplitude Temperature, heat, specific heat, entropy, heat flow Voltage, current, charge, resistance, inductance, capacitance, dielectric constant, electric polarization, frequency, pulse duration Field intensity, flux density, moment, magnetization permeability Composition, concentration, reaction rate, toxicity, oxidation–reduction potential, pH.

60

Smart Sensor Systems

Radiant

Chemical

Mechanical

Magnetic

Thermal

Electrical

Figure 3.3 Diagram indicating the five possible signal conversions in input transducers. A tandem transducer is indicated by dashed arrows

Many transducers can be based on the use of single effects such as the Seebeck effect or the piezoelectric effect. However, in studying the transducer field, one also frequently encounters transducers in which not one, but two or more single effects are used. For instance, in a certain flow transducer the mechanical measurand or flow is at first converted into a temperature difference which is in turn converted into an electrical signal. In the diagram in Figure 3.3 these conversions are indicated by the dashed arrows. First a mechanical signal is converted into a thermal one and then the thermal signal is converted into the electrical signal. In such a transducer two effects are apparently used in tandem so that from now on we will call such transducers ‘tandem transducers’. A large number of existing physical and chemical effects can be used for signal conversion in transducers.

3.3.3 Smart Silicon Sensors The measurement and control application field contains a myriad of different transducers, which have been described in many handbooks. Each application field makes use, not unexpectedly, of its own terminology. Transducers represent a very interesting field of research because they encompass large areas of physics and chemistry as well as electrical engineering. Scientists working in these fields, therefore, also contributed their own terminology to the transducer field. It is no wonder that scientists and engineers currently working in this field easily lose sight of the overall picture. Therefore, the aim of this section is to present some consistent terminology based on an analysis of the signal-converting processes.

61

Silicon Sensors: An Introduction

SENSOR

SMART SENSOR

MODIFIER

MODIFIER

Figure 3.4 By combining sensor and signal-processing circuits a ‘smart sensor’ is obtained

As in transducers, signal forms are only converted between differing signal domains; the term transducer can be used for both the input and the output of an instrumentation system. To distinguish further between the transducers, the terms ‘input transducer’ and ‘output transducer’ are used. However, even though ‘transducer’ is a good descriptive term in most application fields today, other expressions are in use as well. For instance, in the field of automotive applications the term ‘sensor’ [4] is much more common than the term ‘input transducer’. The availability of microelectronic components with a very favorable performance/price ratio, gives impetus to the tendency to use electrical energy as the main signal-carrying energy form. In the input transducer, a conversion takes place from one of the nonelectrical energy forms to the electrical energy form. To distinguish such transducers from the general transducer field, we prefer to use the term ‘sensor’ for transducers that convert toward the electrical signal domain. In an electronic measurement system the modifier consists of electronic circuits. In order to improve the characteristics of a sensor it is possible to combine a sensor and a part of the signal-processing circuits. In other words, the interface between sensor and modifier is shifted toward the modifier (Figure 3.4) Such a sensor is known in the literature as an ‘intelligent transducer’ or a ‘smart sensor’. Based on the above discussion of the terminology, it should be clear that the latter term is preferred here, though the adjective ‘smart’ perhaps promises more than the sensor can deliver. The central unit of the information processing system usually causes less confusion. In speaking generally about information processing, the term ‘modification unit’ was used in a preceding section. When discussing measurement or control systems the term ‘modifier’ is now quite current. When using electrical energy as a signal carrier the term ‘signal processor’ is also often encountered. Problems again arise when the use of other expressions for the output transducer is attempted. A signal is converted in the output transducer in such a way that it becomes perceptible to our senses or that it indicates some physical action such as the closing of a valve or the starting of a heater. When a radiant, or more specifically, an optical signal in the visible part of the spectrum is generated by the output transducer, the term ‘display’ is usually employed. Here the confusion is also considerable. Some other terms used in the literature are, for instance, data presentation element, indicating instrument, readout device, indicator or digital readout. Our confusion is increased when we realize that there are other ways to make signals perceptible to human beings. For instance, the signal can also be converted into the mechanical domain, for example, by using the acoustical domain. A loudspeaker makes

62

Smart Sensor Systems Table 3.2 Signal forms and human senses Signal domain

Human senses

Radiant Mechanical Thermal Magnetic Chemical

Sight, touch Hearing, touch, balance Touch — Smell, taste

the signal perceptible to our sense of hearing. One can even conceive of converting the signal in such a way that we could even touch, smell or taste it. Table 3.2 shows the relationship between the five signal domains and the most important human senses. It might be useful, if we wish to employ another term besides the general term ‘output transducer’, to use the term ‘display’ in a more general way to refer to all the transducers that convert signal-carrying energy into an energy form which can be perceived by one of our senses. A loudspeaker, then, is an acoustical display. Similarly, visually handicapped individuals might use a touch display for reading information. An output transducer is used not only to present information but can also change the environment. When an action belonging to the mechanical domain is initiated the term ‘actuator’ is the most frequently used term, although ‘actuation device’ or ‘actor’ is sometimes used. However, these terms are not used for an output transducer in which an electrical signal is converted into, for instance, a radiant signal for the purpose of heating an object or drilling a hole in a plate of steel. Solid-state or gas lasers can be used to cause such an action and we would certainly not name these output transducers ‘displays’, because the radiant energy is not intended to display any information, but as a form of actuator. The same applies to an output transducer consisting of a piezoelectric ceramic that generates ultrasonic energy not for display purposes but for, say, cleaning watch parts. In view of the above examples, we propose to use the term ‘actuator’ in a more general way and to name all output transducers that initiate some action ‘actuators’. In Table 3.3 some examples of generalized actuators are presented. Devices that print on material such as paper are in fact actuators. However, if we consider the actuator and the paper as belonging to the same black box, this box would be a display device with material as a signal carrier instead of energy. However, as this view would again generate confusion, we will solely consider energy as a signal carrier, thus rendering the thermal printing head as the actuator in which electrical energy is converted into thermal energy. Table 3.3 Generalized actuators Signal domain

Actuator

Action

Radiant Mechanical Thermal Magnetic Chemical

Injection laser Piezoelectric crystal Thermal printing head Recording head Battery

Emission of light Generation of ultrasound Melt ink Magnetize medium Chemical reaction

Silicon Sensors: An Introduction

63

3.3.4 Self-generating and Modulating Transducers As shown in Chapter 1, when considering the different transducers and the effects employed, we have seen that some effects can be used to construct transducers that do not require auxiliary energy sources for the signal conversion, while others only yield useful transducers when energy in some form is supplied [5]. The first group of transducers is referred to as ‘self-generating transducers’. These transducers require no source of power other than the signal being measured; examples of this category are solar cells based on the photovoltaic effect and thermocouples based on the Seebeck effect. The second group of transducers is called ‘modulating transducers’. In such transducers an energy flow supplied by an energy source is modulated by the measurand; examples of this category are pressure cells based on the piezoresistive effect and photodetectors based on the photoelectric effect. Self-generating transducers are also often known as ‘active’ transducers, whereas modulating transducers are called ‘passive’ transducers.

3.4 Transducer Technologies 3.4.1 Introduction As discussed in the preceding sections the introduction of microelectronics into new markets is being seriously hampered by the lack of efficient, low-cost transducers. Until recently much of the research and development work in industry was focused on the electronic modifier so that today, thanks to silicon planar technology, we have at our disposal a huge number of very sophisticated LSI and VLSI components. Because of the impressive high performance/price ratio of these components and the remarkable additional value which microelectronics can lend to almost any product, attempts are currently being made all over the world to apply microelectronics appropriately to innovative products and services. However, these attempts hardly ever lead to instant success, because sufficient numbers of transducers with comparable performance/price ratios do not exist. Only in those markets where the transducers are simple to construct and inexpensive could microelectronics be introduced on a large scale. The push buttons of a calculator or a computer, the quartz crystal of a watch, the light sensor of a burglar alarm, the mouse of a home computer are, in fact, simple low-cost input transducers. The liquid-crystal display, the TV monitor and light-emitting diodes are low-cost output transducers. For many decades work on transducers has been performed in a huge number of small speciality industries and in the R & D laboratories of the large multinational companies. This has led to an immense number of measuring principles and devices. Books describing this field are often of encyclopaedic dimensions. However, there is still a great need for better low-priced sensors. This demand, caused by the introduction of microelectronics, has now created an avid and renewed interest in the subject. The whole transducer field with respect to technology can be represented as is shown in Figure 3.5 As well as many types of macroscopic principles such as mercury thermometers, bourdon pressure gauges, pneumatic controllers, and linear variable differential transformers for displacement measurement, etc., the entire field also contains the important group of the solidstate transducers.

64

Smart Sensor Systems

(Diaphragm) membrane pressure transducers

Transducers Solid state transducers

Piezoelectric quartz pressure transducers

Semiconductor transducers Silicon micro transducers SMT

CdS pressure transducers Diffused pressure transducers

Figure 3.5 Transducers and technology

The operation of these transducers is based upon phenomena that occur in the solid state. The group of solid-state transducers contains, in addition to such devices as piezoelectric quartz pressure transducers, platinum resistance thermometers, LiCl moisture sensors etc., the interesting subgroup of semiconductor transducers. The functioning of these transducers is related to the occurrence of a forbidden energy gap for charge carriers and a filled valence band in semiconducting materials. Finally, in its turn, the group of semiconductor transducers contains, in addition to InSb Hall plates, CdS photodetectors, GaAs pressure sensors etc., the very important group of silicon sensors. The operation of these devices is based on the semiconducting properties of silicon. It is also possible to use silicon as a construction material for transducers, which exploits the silicon planar processing technology and the excellent mechanical properties of silicon, but not the semiconducting properties of silicon per se. The present problem, that there are not enough suitable transducers for the construction of new instruments, is being attacked from several sides. First an approach must be mentioned where the characteristics of present transducers are improved by adding signal-processing circuits. Today it is not difficult to correct a nonlinear dependence or an offset by the suitable programming of a microprocessor, as long as the nonideal characteristics are reproducible. Also, temperature sensitivity can easily be corrected. The transducer and the integrated circuit are often built together in a hybrid package. If both the transducer and the integrated circuit are mass produced and therefore inexpensive and the combination of both components yields a high quality transducer, the hybrid package might be a very commendable approach. However, when the above-mentioned solution is not possible, new materials or innovative uses of well-known effects are appropriate. The following section deals with nonsilicon generic technologies which are of current interest.

3.4.2 Generic Nonsilicon Technologies Over the years a number of technologies have been introduced to fabricate solid state transducers. Some of these technologies are new because only now has the processing of these materials been mastered to a sufficient level, while other technologies are very old but have been continuously developed to reach the present state. The most important are presented below.

Silicon Sensors: An Introduction

65

Piezoelectric materials Certain classes of materials display the piezoelectric effect. A mechanical strain produces an electric polarization. In addition, the inverse effect, that is to say an electric polarization producing a strain or a dimension variation, also occurs in these materials. The materials are mainly suited to the construction of transducers in which the mechanical domain is converted to the electrical domain, but other signals can also be detected [6]. Quartz is the most frequently used piezoelectric material, but a number of piezoelectric ceramics are also often used. LiNbO3 is a material which over the last decade has become rather popular in various applications. Increasing use is being made of polyvinylidenefluoride (PVDF), a piezoelectric polymer. Often, one of the advantages of piezoelectric transducers is that the measurand is converted into a frequency which can be measured with great accuracy. Because the resonance frequency for certain quartz platelets is a function of the temperature, quartz thermometers can be constructed. Another device in which the piezoelectric effect can be used is based on surface acoustic wave propagation. The speed of propagation can be modulated by many parameters, such as strain, temperature, fluid density, causing, in turn, phase changes which can be detected with great accuracy. Some allied crystals show pyroelectricity which means that a change of polarization occurs when the temperature changes. Very sensitive transducers for the measurement of temperature can be made with these materials. Polymers The conductivity, permittivity or mass of certain polymers changes slightly when they are inserted into certain gas atmospheres [7]. The electrical properties can be measured by constructing sandwich capacitors or by covering interdigital electrode patterns with the polymer. Sensitivity to CO, CO2 , CH4 and moisture has been demonstrated. Polymers are increasingly being used, often together with silicon read-out, for applications such as detection of atmospheric pollutants and measurement of humidity. Metal oxides Much work is in progress in the field of gas-sensitive metal oxides [8]. At present the mechanisms are not very well understood; none the less, there are a few materials on the market that under certain circumstances can be used to fabricate reliable sensors. Materials such as SnO, ZrO2 , WO3 and ZnO, with or without catalysts, show sensitivities to H2 , H2 O, O2 , CO and CH4 . Once our understanding and the technology of metal oxides have improved, they might provide us with a new class of useful, low-cost gas sensors. III–V and II–VI semiconductors Materials such as GaAs, GaP, AlSb, InSb, InAs, CdS, CdSe, ZnO and ZnS are semiconductors. In most cases, when a semiconductor is required for the fabrication of a transducer, silicon is used because the technology is very well known and allows batch fabrication. However, sometimes silicon does not have the appropriate physical effects. Therefore, when a direct band gap or a piezoelectric semiconductor is required, GaAs is used, instead of Si

66

Smart Sensor Systems

[9]. When a high-mobility material is required InSb is a much better choice than Si. When a larger band gap is desirable the use of CdS may be preferable to that of Si. It is also possible to deposit these III–V and II–VI materials on Si substrates, thereby creating opportunities for developing new devices. Thick- and thin-film materials There are several techniques for depositing thin layers of resistive, piezoelectric, semiconducting or magnetic materials on suitable substrates. These layers often display the same effect as the bulk material which offers the advantage of being easily combined with electronic circuits in hybrid packages [10]. For almost all of the signal domains, well-functioning transducers have been made. Thin-film NiCr strain gauges, platinum temperature sensors, capacitive aluminum displacement sensors, thick-film thermistors, thin Ni–Fe film magnetic recording heads, thick-film pH sensors, thin-film thermocouples, etc. have now been comercialized. Because thin and thick films often do not require expensive processing instruments, these techniques are often suitable for use in the fabrication of transducers when only a small series is required. Optical glass fibers Optical glass fibers are being investigated in connection with their use in optical communication systems. It has been proven that transmission along fibers is influenced by a number of perturbations; consequently research is being focused on materials and structures that do not show these effects. One spin-off of this research was that scientists working in the transducer field have discovered that glass fibers can also be used to construct very sensitive and convenient transducers [11]. Temperature changes and mechanical perturbations cause polarization and phase changes which can be easily detected. For instance, chemical sensors can be made as the optical properties of the cladding influence the transmission properties of the fiber.

3.4.3 Silicon Over the last four decades the progress in silicon planar technology has exceeded the most daring predictions. The result is that now we have a large number of very sophisticated VLSI components with an amazingly good performance/price ratio at our disposal. As mentioned above, the development in the transducer field was less dramatic, so that at present the introduction of microelectronics in many new application areas is being hampered by the lack of transducers with a good performance/price ratio. It seems, therefore, sensible to apply silicon planar technology to the transducer field as well, for instance, to develop chips that are sensitive to, say, pressure, temperature, flow, etc. [12]. The use of silicon not only makes it possible to apply the highly developed and sophisticated batch production methods of integrated circuits to the transducer field, but also makes it feasible to combine sensors and integrated circuits on one single chip. Such sensors are sometimes called ‘smart sensors’ or ‘intelligent transducers’, as introduced in Chapter 1. Work on silicon transducers started many years ago. Since the 1960s, silicon has been used for the detection of light and there is an extensive literature on these devices. In early work, silicon was also used for the measurement of temperature, pressure and magnetic field. Today

67

Silicon Sensors: An Introduction

many research groups are now active in this field, which is one of the reasons for writing this text [13]. If we wish to use silicon as a transducer material, it is important to find out which of the physical effects that occur in silicon can be used in the conversion of the signal form. Many physical effects occur in silicon, but predictably the element silicon does not display the same variety of effects as do all the other solid state materials combined. Yet on studying the effects in silicon we have found that this element is rather versatile. In Table 3.4 the most important effects which occur in silicon are presented [14]. The effects are divided into those used in self-generating transducers and those used in modulating transducers. A careful study of this table might at first glance lead one to believe that some effects in the table are not properly placed. For instance, the Nernst effect is placed in the box of a self-generating transducer which converts a thermal signal into an electrical signal. The Nernst effect is a thermoelectric (or thermomagnetic) phenomenon observed when a sample allowing electrical conduction is subjected to a magnetic field and a temperature gradient normal to each other. An electric field will be induced normal to both. Mobile energy carriers, such as electrons in the conduction band will move along temperature gradients due to statistics and the relationship between temperature and kinetic energy. If there is a magnetic field transversal to the temperature gradient and the carriers are electrically charged, they experience a force perpendicular to their direction of motion (the same as the direction of the temperature gradient) and to the magnetic field. Thus, a perpendicular electric field is induced. The main energy flow is from thermal to electrical and the measurand is the thermal gradient. Because the input energy also carries the input signal, the transducer is of the selfgenerating type. The magnetic field is a necessity to ensure the conversion but is, in general, not an input signal. However, when for one reason or another we wish, in an innovative application of the Nernst effect, to measure a magnetic field with this effect, the transducer has to be regarded as a modulating transducer. The measurand modulates the conversion from thermal energy into electrical energy. Silicon shows a number of very useful effects, but from Table 3.4 it is clear that silicon cannot be used when a self-generating transducer is required to convert signals from the mechanical or magnetic signal domain. Silicon is not a piezoelectric material because of its symmetrical lattice structure and, moreover, it is also nonmagnetic. To overcome this disadvantage it is sometimes possible to deposit layers on top of the silicon substrate, employing Table 3.4 Physical and chemical effects in silicon Signal domain

Self-generating effect

Modulating effect

Radiant

Photovoltaic effect

Mechanical

Acoustoelectric effect

Thermal

Seebeck effect Nernst effect

Photoconductivity Photoelectric effect Piezoresistivity Lateral photovoltaic Lateral photoelectric TCR

Magnetic

Chemical

Galvanic effect

Hall effect Magnetoresistance Suhl effect Electrolytic conduction

68

Smart Sensor Systems

Figure 3.6 I–V characteristics of a photodiode with and without illumination

processing steps which are compatible with the standard silicon planar process. For example, a piezoelectric ZnO film can be deposited on silicon in order to construct a piezoelectric transducer. In the magnetic signal domain a similar solution is possible. Even when silicon shows the required effect, it is possible that the frequency range, the light spectrum and the sensitivity would not be appropriate. However, in these cases as well, deposited layers could be the solution.

3.5 Examples of Silicon Sensors 3.5.1 Radiation Domain Many silicon-based radiation sensors rely on the generation of electron–hole pairs, often within a p–n junction depletion layer [15]. When electron–hole pairs are generated in the depletion region, the built-in electric field separates them to where they are majority carriers, with less chance to recombine. This creates a shift in the I–V characteristics of a diode as shown in Figure 3.6. Four examples of junction-based radiation sensors are given in Figure 3.7.

Figure 3.7 Junction-based radiation sensors

69

Silicon Sensors: An Introduction

φ φ φ

Figure 3.8 CCD array: (a) wiring, (b) shifting of the potential well and (c) driving signal

The penetration depth of the light in silicon is highly dependent upon the wavelength, particularly in the visible range. Shorter wavelength light, with higher energy photons (blue light), has high absorption and is all absorbed close to the surface of the silicon. As the wavelength increases the absorption rate is reduced until we reach infrared, where silicon is transparent and the light passes through. More details can be found in Chapter 4. A major breakthrough for digital photography has been the charge coupled device (CCD). The CCD itself is an extremely simple device which is the reason it has been possible to develop high density arrays [16]. The CCD started life in 1969 as an attempt to make a memory array at the Bell labs. Its inventors were William Boyle and George Smith. It soon became clear that the charge could be generated using the photoelectric effect and the CCD was born. The simplicity of the device made it easy to produce large arrays with a simple read-out. The sequence of read-out is given in Figure 3.8. In addition to radiation measurement, radiation sensors are also often applied to other domains such as position. The PSD (position sensitive detector) determines a position or rotation through measuring the location of a light spot on a p–n junction where the contacts are all on the edge. This is illustrated in Figure 3.9.

Figure 3.9 Simple PSD device

70

Smart Sensor Systems

Figure 3.10 (a) Capacitive pressure sensor and (b) piezoresistive pressure sensor

3.5.2 Mechanical Domain The two main applications for silicon mechanical sensors are pressure and acceleration, although recent years have seen an increase in commercial silicon gyroscopes. The pressure sensor is usually based on a membrane where either the bending of the membrane or the stress on the surface is used to measure stress [17, 18]. This basic device is given in Figure 3.10. The accelerometer is based on a mass and a spring and here the read-out can also be based on displacement or stress. An example of a capacitive-based device is given in Figure 3.11. The device which gave a boost to silicon sensors in the automotive industry was the airbag. To detect a crash an accelerometer is used whereby a high deceleration is interpreted as a collision. The advantage of silicon is the ability to introduce a self-test. The self-test is a technique where the mass can be moved through integrated actuation and the movement measured to test the operation of the device. Some early devices used thermal activation. Smaller devices, such as these shown in Figure 3.12, lend themselves very well to electrostatic activation. In this case the extra electrodes are used to move the mass electrostatically and the normal read-out circuitry is used to test whether the mass moves correctly. For this safety critical application, this addtional feature was a major breakthrough.

3.5.3 Thermal Domain It is often observed that many sensors for other domains have an unwanted temperature sensitivity. This may appear to indicate that a temperature sensor is easy to achieve. However, the

Figure 3.11 Capacitive read-out vertical accelerometer

Silicon Sensors: An Introduction

71

Figure 3.12 (left) Analog Devices ADXL50 (Copyright Analog Devices, Inc. All Rights Reserved) (right) an activated airbag

development of an accurate temperature sensor is more complicated. The platinum resistor is often used as a temperature sensor because of its stability over a wide temperature range. The thermopile can be used to measure temperature differences, which will be discussed below. Within electronic circuitry many elements are temperature sensitive. This has been put to good use in a CMOS temperature sensor where the sensor element is based on a p–n junction [19]. This device is illustrated in Figure 3.13. A more detailed discussion of temperature sensors can be found in Chapter 7. Although the most obvious form for the thermal domain is to measure temperature, many thermal sensors are used to measure other parameters such as flow and vacuum. The flow sensor uses a simple principle where the chip is heated by a resistor and the temperature difference up wind and down wind is measured. The difference is a direct measure of the flow, although the heat conduction of the gas and fluid in the flow needs to be taken into

Figure 3.13 Fully integrated CMOS temperature sensor

72

Smart Sensor Systems

Figure 3.14 Thermal flow sensor

account. An example of one of these devices is given in Figure 3.14. This can be extended to a two-dimensional device for wind measurement. The example given in Figure 3.15 [20]. A range of applications of thermal techniques to measure nonthermal parameters can be found in Chapter 6.

3.5.4 Magnetic Domain The basis of many of magnetic sensors is the Hall effect, which is the deflection of carriers perpendicular to a magnetic field [21]. In its simplest form this can be read out using a Hall plate, as illustrated in Figure 3.16. In addition to determining the direction and magnitude of magnetic field, Hall plates are often applied to measuring current, rotation and position. An example of a current sensor is given in Figure 3.17. A simple but effective example of a silicon sensor is the Hall sensor for measuring rotation. This is shown in Figure 3.18. The tooth wheel attached to the axis modulates the magnetic

Figure 3.15 Fully integrated smart wind sensor

Silicon Sensors: An Introduction

Figure 3.16 Basic Hall plate for measuring magnetic field

Figure 3.17 Magnetic sensor technique for measuring current

Figure 3.18 Rotation sensor using a Hall device

73

74

Smart Sensor Systems

Figure 3.19 Trapping of elements at the surface of the sensor using a special coating

field through the Hall sensor thus giving pulses which can be converted into a measure of rotation. Detailed discussion of integrated Hall sensors is given in Chapter 9.

3.5.5 Chemical Domain In the chemical domain, many devices have to create a chemical reaction or trap ions/ molecules at the surface. The trapping at the surface is shown schematically in Figure 3.19. Some chemical sensors rely on a chemical reaction to detect the desired elements. An example of this is the Clark cell shown in Figure 3.20 [22, 23]. The membrane is designed to allow oxygen to pass through. When a voltage is applied between the two electrodes, the oxygen in the electrolyte reacts with the silver electrode to produce AgCl. The higher the oxygen concentration the higher the current. This is an effective technique for measuring oxygen but the lifetime of the sensor is limited by the reaction. However, for many applications this is not a problem. An alternative approach is to use what is called a physical-chemosensor (see Chapter 5 for more details). In this case physical measurementns are used to obtain chemical parameters [24]. For example, viscosity or density measurements of a liquid can give information on concentration. Such a device has been developed in Delft where density measurements using surface acoustic waves (SAW) have been used to measure alcohol concentration in water. The SAW devices generate waves in the membrane which are received at the other end of the chip, as shown in Figure 3.21. The input electrode, on the left-hand side, generates mechanical movement in the layer below, which is a piezoelectric material. The movement travels in the form of a wave to the right-hand side, where the movement is converted back to an electrical

Figure 3.20 The Clark cell for measuring oxygen levels

Silicon Sensors: An Introduction

75

Figure 3.21 Planar and cross-sectional view of a SAW device

signal. If this travels across a thin membrane in contact with a fluid, the density of the fluid will affect the propagation speed of the wave. This in turn can be measured.

3.6 Summary and Future Trends 3.6.1 Summary In this chapter the importance of sensors in modern society has been shown. The use of silicon offers many opportunities to increase functionality while reducing the size of the system. For each of the signal domains physical effects can be found in silicon or in some cases, alternative materials combined with silicon. The future of silicon sensors will make further use of the developments in the IC industry as the size of the system is further reduced while functionality is expanded.

3.6.2 Future Trends The silicon sensor device is already miniaturized and for many applications further miniaturization is either not necessary or will lead to deteriorating characteristics. However, in the case of some devices, further scaling into the nanoscale will open new possibilities. For the true application of silicon sensors it is important to consider not only the sensing device but also the whole system. As sensors are being applied in harsh and/or remote environments, more autonomy will be required. Figure 3.22 gives a number of issues which will require attention as the devices become more autonomous. The issue listed are as follows:

r Communication – increased autonomy will require wireless communication. r Supply – the use of batteries may limit the lifetime of devices where access is difficult. Transmitting power to the device, or scavenging energy from the environment, is a potential solution.

76

Smart Sensor Systems

Figure 3.22 Autonomous microsystems

r Low-power sensors – when the power supply is limited, increased emphasis will be focused on reducing the sensor power consumption, including device management.

r Self-configurable – particularly important in remote locations, where the sensor system may have to adapt in hardware or software to a changing environment, or to compensate for a damaged device. r Self-test, self-calibration – the introduction of self-test for airbag sensors was a breakthrough for this application. However, the next step will be where the device itself decides when to apply the test and how to react to the result. r Reliability and packaging – this is a very important issue for the development of new sensors, particularly in harsh environments. r Harsh environments – silicon sensors are increasingly being applied in harsh environment, in terms of temperature or chemically active.

References [1] Lion, K. (1969). Transducers: problems and prospects, IEEE Transactions on Industrial Electronics and Control Instrumentation, IECI-16, 2–5. [2] Middelhoek, S. and Noorlag, D.J.W. (1981). Silicon micro-transducers, Journal of Physics E: Scientific Instruments, 14, 1343–1352. [3] Middelhoek, S. (1983). Integrated sensors. In Proceedings of the Third Japanese Sensor Symposium, Tsukuba Science City, Japan, 9–10 June, pp. 1–10. [4] Middelhoek, S. and Hoogerwerf, A.C. (1985). Smart sensors: when and where?, Sensors and Actuators, 8, 39–48. [5] Middelhoek, S. and Noorlag, D.J.W. (1981–1982). Three-dimensional representation of input and output transducers, Sensors and Actuators, 2, 29–41. [6] Ziegler, H. (1984). A low-cost digital temperature sensor systems, Sensors and Actuators, 5, 169–178. [7] Gutierrez Monreal, F.J. and Mari, C.M. (1987). The use of polymer materials as sensitive elements in physical and chemical sensors, Sensors and Actuators, 12, 129–144. [8] Heiland, G. and Kohl, D. (1985). Problems and possibilities of oxidic and organic semiconductor gas sensors, Sensors and Actuators, 8, 227–233. [9] Lam, D.K.W., Syrett, B.A. and Stubbs, M.G. (1986). Monolithic integration of GaAs photoconductors with a field effect transistor, Electronics Letters, 25, 753–755. [10] Prudenziati, M. and Morton, B. (1986). Thick-film sensors: an overview, Sensors and Actuators, 10, 65–82. [11] Fluitman, J. and Popma, Th. (1986). Optical waveguide sensors, Sensors and Actuators, 10, 25–46. [12] Middelhoek, S., Angell, J.B. and Noorrlag, D.J.W. (1980). Microprocessors get integrated sensors, IEEE Spectrum, 17, 42–46.

Silicon Sensors: An Introduction

77

[13] Middelhoek, S. and Audet, S.A. (1987). Silicon sensors: full of promises and pitfalls, Journal of Physics E: Scientific Instruments, 20, 1080–1086. [14] Middelhoek, S. and Noorlag, D.J.W. (1982). Signal conversion in solid-state transducers, Sensors and Actuators, 2, 211–228. [15] Culshaw, B. (1986). Photodetectors and photodetection, Sensors and Actuators, 10, 263–286. [16] Theuwissen, A.J.P. (1995). Solid-state imaging with Charge-coupled Devices, Kluwer, Dordrecht. [17] Sander, C.S., Knutti, J.W. and Meindl, J.D. (1980). A monolithic capacitive pressure sensor with pulse-period output, IEEE Transactions on Electron Devices, ED-27, 927–930. [18] Clark, S.K. and Wise, K.D. (1979). Pressure sensitivity in anisotropically etched thin diaphragm pressure sensors, IEEE Transactions on Electron Devices, ED-26, 1887–1896. [19] Pertijs, M.A.P. (2005). Precision temperature sensors in CMOS technology, PhD thesis, TU Delft. [20] Makinwa, K.A.A. Huijsing, J.H. (2002). A smart wind sensor using thermal sigma-delta modulation techniques, Sensors and Actuators, A97–A98, 15–20. [21] Chien, C.L. and Westgate, C.R. (1980). The Hall Effect and its Applications, Plenum, New York. [22] Engels, J.M.L. and Kuijpers, M.H. (1983). Medical applications of silicon sensors, Journal of Physics E: Scientific Instruments, 16, 987–994. [23] Koudelka, M. (1986). Performance characteristics of a planar “Clark-type” oxygen sensor, Sensors and Actuators, 9, 249–258. [24] Venema, A., Nieuwkoop, E., Vellekoop, M.J., Nieuwenhuizen, M.S. and Barendsz, A.W. (1986). Design aspects of SAW gas sensors, Sensors and Actuators, 10, 47–64.

4 Optical Sensors Based on Photon Detection Reinoud F. Wolffenbuttel

4.1 Introduction Optical sensors are used for the measurement of radiation properties, such as intensity, polarization and spectral distribution (color when restricted to the visible spectral range). The two types of optical sensors available are: thermal detectors (=absorbing detectors) and photon detectors (=quantum detectors). The emphasis in this chapter is on silicon photon detectors, because of their high performance in applications in the visible spectral range and their compatibility with integrated circuit (IC) fabrication [1, 2]. A thermal detector is basically a tandem transducer in which the energy associated with the impinging radiation is first absorbed and the temperature rise due to the heat generated is measured, using one of the contact temperature sensors described in Chapter 7. The main advantage of the thermal detector is the spectral uniformity, which depends on the absorbing layer only. The photon detector enables the conversion of photons into electron–hole pairs, the concentration of which can be directly electrically measured. Figure 4.1 shows the typical spectral response curves of a thermal detector (bolometer) and a silicon photon detector. The responsivity is basically the sensitivity specification. The spectral response of the thermal detector can be designed for wideband operation, since this property is determined by the absorber and can be engineered independently from the thermal measurement for constant spectral response over a wide spectral range. Ultimately, the spectral response uniformity is determined by interference at the protective window and results in a curve as shown in Figure 4.1(a). The main disadvantage of the thermal detector is the slow response due to thermal heat capacity of the detector. The indirect type of band gap in silicon causes the absorption probability to increase from a minimum value at the indirect band gap (at EG = 1.12 eV) up to a maximum for photons carrying an energy that is sufficient to enable a direct transition from valence band to conduction

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 Reinoud Wolffenbuttel. Printed by John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

Smart Sensor Systems

100

Responsivity [mA/W]

Responsivity [V/W]

80

80 60 40 0.2

1

10 Wavelength [µm] (a)

500 400 300 200 100 0 0.2

0.4

0.6

0.8 1 1.2 Wavelength [µm] (b)

Figure 4.1 Typical spectral response of the: (a) thermal detector and (b) silicon pn junction photon detector

band (at 3.4 eV, see next section). The silicon bandgap enables direct photon detection at photon energies in excess of the indirect bandgap, which is shown in Figure 4.1(b). Although the sensitivities of the thermal and photon detector are comparable (see Figure 4.1 at a 200  load), the actual figure of merit is the detection limit, which specifies the minimum optical signal level that can be reproducibly measured. When considering this parameter the photon detector demonstrates superior performance. A typical value of the detectivity of a silicon pn junction photon detector is D* = 1012 cm Hz1/2 /W (see Section 4.6.2), which compares favorably to the thermal detector with D* = 108 cm Hz1/2 /W. An additional advantage of the photon detector is its fast response. Operation of a photon detector is based on the capability of photons entering the semiconductor material to bring charge carriers into an excited state, provided that the photon carries sufficient energy to cross an available energy gap. The efficiency of the charge generation is usually expressed in terms of the internal quantum efficiency: η = number of charge carriers collected (i.e. measured) per photon entering the absorption volume [electrons/photon = dimensionless]. The external quantum efficiency also considers optical effects, such as reflection at the detector surface. Although the quantum efficiency is a convenient measure for describing photon detector sensitivity from the perspective of device physics, the responsivity, R [A/W], as used in Figure 4.1, is a more meaningful measure for specifying device performance from the viewpoint of the user. Nevertheless, these two parameters both specify detector sensitivity and are interchangeable. The range of typical values is: 0.3 < R < 0.5 A/W or 0.5 < η < 0.9. The excess charge due to photon absorption of light of certain intensity yields a measurable electrical output. The most straightforward approach is the silicon intrinsic photon detector, in which the energy gap is the intrinsic bandgap of the semiconductor material. In a photoconductor the photogenerated excess charge results in a change in conductivity of a layer (see Section 4.4), whereas the charge is collected using a pn junction in a photodiode to yield an externally measurable photocurrent (see Section 4.5). The energy associated with a photon of wavelength λ is equal to Eph = hP c/λ, where hP denotes Planck’s constant and c the light propagation velocity in vacuum. This characteristic can be considered both an advantage and a limitation of any intrinsic photon detector. It enables the design of the photon detector for a strongly reduced sensitivity to background radiation, as compared to a thermal detector, by selecting a material with band gap energy much

Optical Sensors Based on Photon Detection

81

higher than the thermal energy of the background radiation: EG ≫ Eth,bg = kB T bg , with kB the Boltzmann constant and T bg the background temperature. In case of ambient temperature Eth,bg = 1.38 × 10−23 J/K × 300 K/(16 × 10−20 C) = 0.026 eV. The silicon indirect bandgap at EG = 1.12 eV enables photon detection not affected by background radiation, which is helpful in the detection of a low-intensity visible source [3, 4]. However, the same argument may also be interpreted as a fundamental limitation. In the intrinsic photon detector a cut-off wavelength results at: λmax = hP c/EG . In the case of silicon, λmax = 1110 nm. This wavelength-dependent spectral response makes application in the midinfrared (mid-IR) spectral range impossible. The alternative is an extrinsic photon detector, in which impurities are introduced to provide a donor or acceptor level within the band gap at such an energy level that electrons can be excited into the conduction band (or holes into the valence band) by photons carrying an energy equal to or in excess of the ionization energy, Ei , of that particular impurity used. Using phosphorus as the donor (or boron as the acceptor) yields Ei = 0.044 eV, hence: λmax = 28.3 µm. This property enables photon detection in the far-infrared spectral range, but has severe disadvantages. Firstly, the detector should be operated at liquid helium temperature to prevent the detector temperature from degrading noise performance. Secondly, this spectral range includes the peak blackbody emission of a background at room temperature, which results from Wien’s displacement law as: λpk = cW /T = 2898 × 10−6 K m/300 K = 9.7 µm, where cW denotes the Wien constant [5]. Finally, the absorption coefficient, α, in an extrinsic detector depends on the dopant concentration used and is typically a factor of 103 lower than what can be achieved in an intrinsic detector. Although dopant types are available that limit the spectral range to the mid-IR spectral range, extrinsic photon detection in silicon is rarely used and IR detection is generally based on thermal detectors (see Chapter 7) or on photon detection using special small band gap materials, such as PbS, PbSe, InAs, InSb, InGaAs or HgCdTe [3]. This chapter is restricted to the discussion of intrinsic photon detectors in silicon for use in the ultra-violet, visible and near-IR spectral ranges. The process from impinging radiation to electrical response can be considered a sequence of effects: (1) (2) (3) (4) (5)

Light is incident on the detector surface. Light is transmitted through a coating into the silicon absorption volume. Photon absorption takes place with electron–hole pair generation. Excess charge is generated. Charge is converted into a measurable electrical output signal.

After a brief introduction of the key optical properties in silicon, the opto-electrical properties of silicon photon detectors are discussed in this sequence.

4.2 Photon Absorption in Silicon The absorption of light in a silicon substrate is determined by the wavelength- dependent complex index of refraction, nSi * (λ) = nSi (λ) − jkSi (λ) shown in Figure 4.2, with nSi as the

Smart Sensor Systems

Refractive index

82

7 6

nSi

5 4 3 2

kSi

1 0

600

400

200

1000

800

Wavelength [nm]

Figure 4.2 Silicon index of refraction [11]

index of refraction and kSi as the extinction coefficient. The absorption coefficient, α(λ), is as follows: α(λ) = 4π kSi (λ)/λ [6–11] and is shown in Figure 4.3. The strongly increasing extinction coefficient, kSi , for wavelengths below 380 nm (energy in excess of about 3 eV) is associated with a high value of the absorption coefficient and causes the material to strongly absorb UV light (metallic regime), whereas silicon behaves almost as a transparent material (dielectric) for wavelengths beyond 800 nm. Consequently, short-wavelength visible light penetrates only very shallowly into silicon and long-wavelength light penetrates much more deeply. Typically, a photon at 400 nm is absorbed within a layer of 0.1 µm thickness and a photon at 650 nm penetrates 100 µm into bulk silicon. These optical properties of crystalline silicon are directly related to the silicon energy band structure shown in Figure 4.4 [10, 11]. The most characteristic feature in the energy momentum relation is the difference between the wave vectors at the highest energy state in the valence band, kv , as compared with the lowest energy state in the conduction band, kc . Such a band diagram is typical for an indirect bandgap material, such as germanium and silicon. The

800 600 400 300 107

10-3

106

10-2

105

10-1

104

100

103

101

102

102 T=300K

101 100

0.8 1

2

3

5

8

Penetration depth [µm-1]

Absorption coefficient [cm-1]

Wavelength [nm]

103 104

Photon energy [eV]

Figure 4.3 Silicon intrinsic absorption coefficient [11]

Optical Sensors Based on Photon Detection

83

E

Ec

Eg ∆kmin

hν-Ep

∆kmax Ev

k

Figure 4.4 Simplified silicon band gap diagram

wave vectors kv and kc define the momentum of electron in the valence band or conduction band respectively (P = hP k/(2π )). The indirect bandgap energy is at EG = 1.12 eV and a direct transition is possible in the case where a photon with energy equal to 3.4 eV is absorbed. In silicon kv ≈ 0 and kc = 0.85(π /aSi ), where π /aSi denotes the first Brillouin zone edge, with aSi = 0.543 nm the silicon lattice constant. Incident photons carrying an energy that exceeds the bandgap are in principle able to excite electrons from valence to conduction band, provided that momentum is simultaneously also preserved. In case the photon carries exactly the indirect bandgap energy, EG = 1.12 eV, a wave vector should be supplied equal to: k = kc − kv = 0.85(π /aSi ) = 4.9 × 109 m−1 . A photon at 1.12 eV (λ = hP c/Eg = 1110 nm) supplies a wave vector equal to: kph = 2π /λ = 5.7 × 106 m−1 , which is highly insufficient. Therefore, the wave vector that is required at a given photon energy to conserve both energy and momentum, and thus to enable an electron transition, must be supplied by the lattice. Basically, a phonon with the proper amount of momentum should be consumed. The amount of momentum required decreases with surplus photon energy (E = hP ν − Eg + Eph ), where Eph is the energy released by the consumption of a photon. The different photon modes, the band curvatures at the extremes of the valence band (heavy and light holes) and the conduction band yield an absorption coefficient, α(λ), proportional to (hP ν − Eg + Eph )3/2 /(hP ν)3 [10, 11], which should also be considered. The photon flux in an absorption volume decreases with penetration depth x according to: (x) = to exp(−αx)

(4.1)

where to is the flux entering the absorption volume at the coating–silicon interface, as shown in Figure 4.5. Since each photon absorbed generates η electron–hole pairs, the amount of charge generated per unit time at depth x is proportional to the local rate of absorption (i.e. the decrease in flux at depth x): dQ(x)/dt = −qηd(x)/dx = α(x). Assuming the extreme case of photon flux of one monochromatic wavelength to (λ), with absorption and photocharge

Smart Sensor Systems

84

Φr

Φi surface coating

silicon layer

+ Φto + +

+

+ Φ(x)

x=0

x

+

Figure 4.5 Light transmission into a silicon detector with electron–hole pair generation at absorption

collection restricted to a layer between the surface at x = 0 and a boundary at x = d results in a photocurrent:

Iph (λ) = qη(λ)

d 0

  α(λ)(x)dx = qη(λ) to (λ) 1 − exp (−α(λ)d)

(4.2)

where q is the unit charge. Obviously, α(λ), results in a wavelength dependence in case of nonzero exp(−α(λ)d) (i.e. when α(λ)d is smaller than about 3). Usually the wavelengthdependent spectral response is described in terms of the photon absorption rate N λ (number of photons at wavelength λ absorbed per unit time) and detector gain, G: Iph (λ) = qη(λ)GNλ

(4.3)

In Equation (4.2) gain G = 1 and N λ = to (λ)(1−exp(−α(λ)d). The total photocurrent generation results from integration over the entire spectrum of the incident light. The efficiency of the photocharge collection over a well-defined absorption volume and any gain therein is highly specific for the photon detector considered and is discussed in Sections 4.4–4.6. In Equation (4.2) the case for photon flux entering the silicon after transmission and reflection of incident light at the air–detector interface is considered. This introduces another wavelength dependence, which is discussed in the next section.

4.3 The Interface: Photon Transmission Into Silicon The polished front surface of silicon prime wafers is of high optical quality. However, processing introduces surface roughness and scattering. Moreover, a direct silicon–air interface is not available. Exposure of a bare wafer to ambient air already introduces a silicon oxide layer of several nanometers thickness. IC processing introduces films of such thickness that interference effects are introduced. These optical effects at the air–silicon interface need to be considered in the calculation of the transmission of incident light through the coating into the bulk silicon and for the overall response of a photon detector. Without optical coating, the reflection, R(λ), at the air–silicon interface is governed by Snell’s law and is expressed for

Optical Sensors Based on Photon Detection

first oxide

Transmittance [%]

second oxide

nitride

85

80

70

60

n well p- epilayer p+ substrate

air-silicon interface first oxide only two oxide layers total

50 400 (a)

450

500

550 (b)

600 650 700 Wavelength [nm]

Figure 4.6 Light transmission into silicon in case of CMOS processing with several oxide layers and a nitride film on top

normal incidence as [12]: R(λ) =



n ∗ (λ) − 1 n ∗ (λ) + 1

2



2  n Si (λ) − 1 + kSi (λ)2 (n Si (λ) + 1)2 + kSi (λ)2

(4.4)

At λ = 450 nm, nSi = 4.8 and kSi = 0.3 (Figure 4.2), reflection R(λ) = 44 % and transmission T(λ) = 56 %. The transmission at the direct air–silicon interface is represented by the monotonic lower curve in Figure 4.6(b). Transmission is low, basically because of the large difference between the air and the silicon index of refraction. A general technique for improving performance is based on the deposition of a thin film with an index of refraction in between those of air and silicon. In principle this approach is very compatible with the technology used for the fabrication of practical devices in silicon, which usually involves the application of oxides and nitrides for masking and surface passivation. A CMOS process typically involves the deposition of several silicon oxide (SiO2 ) layers plus a subsequent silicon nitride (Si3 N4 ) layer and results in a vertical device structure, which is shown schematically in Figure 4.6(a) [1, 2]. Note that the vertical scale in Figure 4.6(a) is nonlinear. The substrate thickness exceeds 500 µm, whereas the total thickness of the stacked top layers is limited to about 5 µm. The thin-film coating does introduce interference effects, which is demonstrated by the curves in Figure 4.6(b). When using IC technology, the thickness of an oxide or nitride coating of the silicon photon detectors is sufficient to significantly affect the reflection of light in the near-IR, visible and UV parts of the spectrum [11]. In the relatively simple case of a silicon detector coated with an oxide layer, the transmission coefficient T(λ) from air through this silicon oxide layer of thickness dox into bulk silicon can analytically be derived as [12]: T (λ) = 1 − R(λ) =

4n 2ox   2 [n ox (1 + n(λ)) cos (δ) + k(λ) sin (δ)]2 + n 2ox + n(λ) sin (δ) − n ox k(λ) cos (δ) (4.5)

Smart Sensor Systems

86

where nox denotes the refraction index of the silicon oxide layer (nox = 1.46), nSi *(λ) = nSi (λ) − jkSi (λ) the silicon complex index of refraction and δ = 2π nox dox /λ the phase change per traversal through the oxide film. In case of oxide coating only, the index of refraction increases monotonically along the path of the impinging light and transmission is improved over the entire spectrum (gray curves in Figure 4.6(b)). Interference between transmitted light and light reflected at the oxide–silicon interface results in a wavelength-dependent transmission. Increasing the silicon oxide layer thickness increases the number of interference peaks within a given spectral band. The transmission of light through an air–nitride–oxide–silicon structure at normal incidence is generally calculated numerically using the multiplication of the matrices that describe the reflection and transmission at each of the specific layers [13, 14]. The index of refraction of the nitride is greater than that of the oxide. Hence, the transmission at destructive interference can be improved using a silicon nitride thin film in between the silicon dioxide top film and the silicon wafer. When including the wavelength dependence of the transmission through the detector coating, Equation (4.3) can be rewritten as: Iph (λ) = qη(λ) (1 − R(λ)) GNλ

(4.6)

where gain G = 1 and N λ = i (λ)(1 − exp(−α(λ)d) and external quantum efficiency ηext (λ) = η(λ)(1 − R(λ)). This expression directly relates the detector response to the spectrum of the incident light. The type of surface passivation layer is not restricted to thermal silicon oxide. Fabricationcompatible materials routinely used in standard microelectronic processing in silicon are: crystalline Si, thermally grown SiO2 , LPCVD polysilicon, amorphous silicon, silicon nitride (low-stress and stoichiometric), oxides (LTO, PSG, BSG, BPSG), PECVD oxynitrides and thin-film metals [11, 15]. Reliable optical data on crystalline silicon are available. However, the optical characterization of thin films is often strongly depending on the details of the deposition technology. Generally, thin films demonstrate a higher value of the extinction coefficient (i.e. higher absorption) especially in the blue–UV spectral range. In many applications, integrated detectors are covered with a thin conducting polysilicon layer (e.g. to be used as control gate). The optical properties of polysilicon in the visible part of the spectrum are similar, but not equal, to those of crystalline silicon, as is shown in Figure 4.7. It is important to note that the two polysilicon layers have very different characteristics. The lower polysilicon film is characterized by optical properties closer to those of crystalline silicon, which is due to subsequent layer deposition and anneal steps. The larger thermal budget accumulated by the lower polysilicon film is mainly due to the influence of the deposition and the in situ anneal required to realize the second polysilicon layer. The intermediate SiO2 layers are usually PECVD-deposited at about 350 ◦ C, so that the influence of their deposition on the total thermal budget can be neglected. Consequently, the structure of the lower polysilicon layer is recrystallized to a larger extent than that of the upper, i.e. more amorphous, polysilicon film. Hence, the optical characteristics are very sensitive to subsequent processing and significant differences between IC processes. Dedicated software for analyzing optical properties is available and can be used either to minimize spectral dependence (antireflective coating) or to design for a specified spectral response of the photon detector [16, 17]. The intention of this section was to demonstrate that

87

6.5

Extinction coefficient

Refractive index

Optical Sensors Based on Photon Detection

280 nm top polySi 100 nm SiO2

6

250 nm bottom polySi 100 nm SiO2

5.5

Si substrate

5 top

4.5

poly

top

10-2

poly

10-3

bottom polySi

crysta

crysta lline S i

10-4

Si

poly

Si

Si

bot tom

4

10-1

lline S

i

10-5

3.5 400

500

600

800

700

400

Wavelength [nm]

(a)

500

(b)

600

700

800

Wavelength [nm]

Figure 4.7 Wavelength dependence and process dependence of the index of refraction of polysilicon, with n* = n − jk [11]

the accuracy of such a tool depends markedly on the material database and should incorporate the details in the actual processing. Thin-film metal layers are used in silicon photon detectors, despite the high absorption. The reflectance of some frequently used metals in silicon photon detectors are shown in Figure 4.8 [18, 19]. Although gold is generally the material of choice for high reflectivity in the visible and infrared spectral range, aluminum is more suitable in multilayer interference filters for selective UV light detection, based on a stack of alternating silicon oxide and aluminum films [20]. Another application is in Fabry–Perot interferometers, where thin silver and aluminum layers are used for the realization of a mirror on either side of a deposited dielectric layer [21].

4.4 Photon Detection in Silicon Photoconductors

Reflectance [%]

Photon detection involves the direct conversion of photons into electron–hole pairs upon absorption and measurement of the electrical signal. Devices primarily differ in the way these excess electron–hole pairs result in a measurable change in an electrical quantity. Usually a 100 90 80 70 60 50 40 30 20 10

Al Au

Ag 0.2

0.5

0.8 1

2

3 5 8 10 Wavelength [µm]

Figure 4.8 Reflectance of some of the metals used in silicon photon detectors

Smart Sensor Systems

88

classification into two groups of photon detectors is used. The first is the photoconductor, where excess charge is measured by means of the electrical conductance of the layer in which absorption has taken place. The second is the pn junction-type of photon detector, in which electron–hole pairs are separated and collected using the electric field caused by space charges at the pn junction. This device is usually referred to as the photodiode or solar cell depending on the mode of operation (current readout for intensity measurement or the photovoltaic mode for opto-electrical power conversion respectively). The basic pn junction photon detector has a limited detectivity, which is discussed in Section 4.6. Modifications, such as the phototransistor, the avalanche photodiode and the photo-capacitance, possess the ability to provide gain and hence have the potential to improve detectivity. These are discussed separately in Section 4.7. The mathematical description of both the photoconductor and the pn-junction photon detector operation is essentially similar and involves solving the continuity equation and the insertion of the result in the definitions for electron current density, J n , and hole current density, J p . Assuming a one-dimensional device with parameter x the depth into the silicon: Jn (x) = qµn E(x)n(x) + qDn

∂n(x) ∂x

∂ p(x) Jp (x) = qµp E(x) p(x) − q Dp ∂x

(4.7)

with µn the electron mobility, n(x) the electron concentration at depth x, Dn the electron diffusion coefficient, µp the hole mobility, p(x) the hole concentration at depth x and Dp the hole diffusion coefficient (the Einstein relation applies: D/µ = kB T/q). In the case that no charge is stored in the system (e.g. no depletion layer), the total current density, J total = J n (x) + J p (x), can be assumed to be constant irrespective of x (the charge neutrality assumption). These expressions indicate a drift current due to an internal electric field, E(x), and a diffusion current due to a gradient in carrier concentration. In the case of a single homogenously doped layer with ohmic contacts at either side and no significant charge generation or charge recombination mechanism, the diffusion term can be disregarded and Ohm’s law remains: J total /E = q(µn n + µp p). An n-type layer of uniform doping concentration N D results in: n = N D and p = (ni 2 /N D ) ≪ N D , with ni 2 as the material and temperature dependent intrinsic carrier concentration. Hence: J total /E ≈ qµn N D . Similarly, a p-type layer of uniform doping concentration N A results in: J total /E ≈ qµp N A . In a photoconductor charge is generated by incident photons. The resulting distributions of the carrier concentrations in the case of generation rate G and recombination rate R are described by the continuity equations. Inserting the current density equations yields: 1 ∂ Jn (x) ∂n(x) = G(x) − R(x) + ∂t q ∂x = G(x) − R(x) + µn E(x)

∂ E(x) ∂n(x) ∂ 2 n(x) + n(x) + Dn ∂x ∂x ∂x2

1 ∂ Jp (x) ∂ p(x) = G(x) − R(x) + ∂t q ∂x = G(x) − R(x) + µp E(x)

∂ E(x) ∂ 2 p(x) ∂ p(x) + p(x) − Dp ∂x ∂x ∂x2

(4.8)

Optical Sensors Based on Photon Detection

89

where µn denotes the electron mobility and µp is the hole mobility. In the steady-state situation, ∂n(x)/ ∂t = ∂p(x)/∂t = 0. Solving this equation provides n(x) and p(x) which can subsequently be used to predict the device characteristics. The mathematical description of the pn-junction-based photon detector is more complicated, since the presence of a junction space charge region implies that both drift and diffusion currents need to be considered. However, the basic approach remains the same and is discussed in Section 4.5. The differences are in the presence of a significant electric field and in the boundary conditions.

4.4.1 Photoconductors in Silicon: Operation and Static Performance The photoconductor is essentially a slab of silicon of certain nominal resistivity as defined by doping. Photogenerated excess charge carriers add to the carrier concentration and, hence, modulate the resistivity. The resulting change in resistance can be externally measured using the current, I det , drawn from a fixed-value voltage source, V exc . Analytical expressions can be derived when assuming a one-dimensional problem (no lateral gradients in excess charge generation). Consider a photon flux to [photons m−2 s−1 ] of wavelength λ entering an n-type silicon absorbing layer of constant doping concentration N D at the surface (x = 0), as shown in Figure 4.9. As discussed in Section 4.2, silicon is characterized by a wavelength-dependent absorption coefficient, α(λ), and the photon flux at depth x is described by: (x) = to exp(−α (λ) x). In the following the wavelength dependence is not explicitly mentioned (i.e. α(λ) = α). The generation rate at depth x results in: G(x) = −d(x)/dx = α(x) [electron–hole pairs m−3 s−1 ]. Photon absorption therefore results in excess charge at the actual absorption depth. Equilibrium is restored by recombination and diffusion of these excess charge carriers. The recombination rate of excess charge carriers is expressed as: R(x) = n(x)/τ = (n(x) − N D )/τ n , where n(x) denotes the excess charge concentration at depth x and τ n the excess carrier lifetime. In the particular device depicted in Figure 4.9, V exc is assumed small enough to disregard the effect of the electric field (E(x) = 0 and ∂E(x)/∂x = 0). Diffusion is assumed to take place in the x-direction only. An imbalance between the electron concentration at depth x (= n(x)) and depth x + dx (= n(x + dx) results in diffusion described by Fick’s (second) law

W

Φto d

x=0 – + Φ(x)

x dx x=b L Idet

Uexc

Figure 4.9 Schematic structure of the basic photoconductor

Smart Sensor Systems

90

of diffusion: dn(x)/dt = Dn d2 n(x)/dx2 , with Dn [m2 s−1 ] as the electron diffusion coefficient. Similar considerations apply to the excess hole concentration and yield the continuity equations: ∂ 2 n(x) ∂ 2 n(x) n(x) − ND ∂n(x) = α  exp(−αx) − + D = G(x) − R(x) + Dn o n ∂t ∂x2 τn ∂x2 ∂ p(x) ∂ 2 p(x) p(x) ∂ 2 p(x) = G(x) − R(x) + Dp = α o exp(−αx) − + Dp 2 ∂t ∂x τp ∂x2

(4.9)

This set of second-order differential equations can, in principle, be solved analytically. It is generally true that the minority carrier lifetime is much shorter than the majority carrier lifetime. In a p-type photoconductor, such as the vertical device shown in Figure 4.10(a), τ n ≪ τ p and only the continuity equation for holes needs to be considered. Alternatively, the continuity equation for electrons applies to the lateral photoconductor in the n-layer (n-well in CMOS), which is shown schematically in Figure 4.10(b). Operation of the photoconductor is, therefore, defined by majority charge carriers. The solution of the continuity equation depends on the boundary condition imposed by the actual device structure. In the case that the entire volume of p-silicon is used (p− epilayer plus p+ bulk in Figure 4.10(a)), the excess charge density at the top and bottom surfaces are set by the respective surface recombination velocities St and Sb (p(0) = (Dp /St ) × (dp/dt)|x=0 and p(b1 ) = −(Dp /Sb ) × (dp/dt)|x=b1 ). This p-type vertical detector is characterized by electrodes on the front and backside surfaces. The voltage, U exc , is connected between the NMOS drain/source layer (SP) and the backside contact and results in a current flow in the same direction as the photo-excitation. The disadvantage of this approach is the electrodes. A transparent top electrode is required. This can be achieved using a special material, such as indium tin oxide (ITO). An alternative is to use a shallow layer of very high p-type concentration. Contacting the bottom electrode remains poorly compatible with the kind of planar processing that is commonplace in IC fabrication.

top SP layer electrode

isolation electrode

lefthand electrode

righthand SN layer electrode

SN layer oxide

x=0

oxide n well

n well

p- epilayer

x=b2 x

p+ substrate

p- epilayer

x

p+ substrate

x=b1 bottom electrode (a)

(b)

Figure 4.10 Schematic cross-sectional view of: (a) the vertical and (b) the lateral CMOS-compatible p-type photoconductor

Optical Sensors Based on Photon Detection

91

The n-type lateral photoconductor shown in Figure 4.10(b) is a more IC-compatible structure and uses an n-type layer of doping concentration N D in the p− epilayer, which is of doping concentration N A . The lateral photoconductor has the electrodes at the sides of the element and is electrically contacted using the PMOS drain/source layer (SN). The lateral current flow is normal to the photo-excitation direction, which ensures efficient lateral removal of excess charge. Hence, any vertical charge transport is minimized (d2 n(x)/ dx2 = 0) and the diffusion term in Equation (4.7) can be disregarded. The boundary condition for the top surface of the lateral photoconductor is similar to that of the vertical device (n(0) = (Dn /St ) × (dn/ dt)|x = 0 ), but the electron concentration at the lower boundary is determined by the depletion layer due to the pn-junction and can be expressed as: n(b2 ) = npo = ni 2 /N D , with ni the intrinsic carrier concentration. It should be noted that the absorption volume is significantly reduced: b2 ≪ b1 . In addition, as is shown in the next section, the depletion layer further reduces the usable width of the conductive layer. As a consequence it is more difficult to ensure full absorption of the incident light within the photoconductive layer, especially for the spectral components of longer wavelength (λ > 600 nm – see Figure 4.3). In many applications the IC compatibility prevails. Therefore, the lateral structure in Figure 4.10(b) is further elaborated here. The steady state (dn(x)/dt = 0) yields: n(x) = α × τn × to exp(−αx)

(4.10)

Since each absorbed photon results, in principle, in one electron plus one hole, a similar expression can be derived for the dose of excess holes: p(x) = α × τp × to exp (−αx) .

(4.11)

The electrical conductivity of a slice of n-type silicon of thickness x at depth x within the n-layer of thickness b2 results from the electron current density equation (Equation (4.9) with σ o the dark conductivity) as:   2   ni x Wx  = σo + σ (x)  σ (x) = q µn (ND + n(x)) + µp + p(x)   ND L d →   n i2 W d    σo = q µn ND + µp ND L  W σ (x) W = q µn n(x) + µp p(x) = qα(x)(µn τn + µp τp ) exp(−αx) x L L

(4.12)

Any excess-charge induced change in mobility is usually disregarded, which is acceptable in a crystalline material, but not in polycrystalline or amorphous material, due to the modulation of the depletion layer at the grain boundaries. This expression confirms the majority carrier operation mentioned before. Since µp τ p ≪ µn τ n in the n-doped layer the photo-induced change

Smart Sensor Systems

92

in conductivity is expressed as: σ =

 x

lim σ (x)x =

x→0

b2

σ (x)dx

0

W = qµn τn to L

b2

(4.13)

W α exp (−αx) dx = qµn τn to (1 − exp (−αb2 )) L

0

Assuming an absorption layer of sufficient thickness to ensure total light absorption (αb2 ≫ 1) yields: σ = qµn τn to

W L

(4.14)

The sensitivity of a photoconductor can be defined by the change of conductivity per unit of equivalent incident photoelectric charge. Rearranging Equation (4.14) yields: σ /qto = (µn τ n )(W/L). The first term, µn τ n , is a figure-of-merit of the photoconductive material and the second, W/L, is a geometric parameter available to the designer. High detector gain can be achieved by designing for a maximum (W/L) ratio. A high (W/L) ratio is achieved in a meandering structure, as shown in Figure 4.11. Although the change of conductivity per unit of equivalent incident photoelectric charge is suitable for characterizing the sensitivity, a photoconductor is usually specified in terms of its photoconductive gain, G* . This type of gain is defined as the number of charge carriers transported through the photoconductor for each photon absorbed within the detector volume. Hence, the charge accumulation over time t results as: Q = G* × qto LW × t. Consequently, G* can be expressed as: G∗ =

Q/t i det Vexc σ Vexc qµn τn to (W/L) Vexc µn τn = = = = qto L W qto L W qto L W qto L W L2

(4.15)

The applied excitation voltage results in a lateral field. The drift velocity of charge carriers in the electric field Eexc = V exc /L is defined as vdr = µEexc and yields: G∗ =

τn τn Vexc µn τn (vdr L/µn ) µn τn = = = L2 L2 L/vdr ttransit Φto

wf

Photosensitive Electrodes area

L

Figure 4.11 Schematic view of the meandered photoconductor for high gain

(4.16)

Optical Sensors Based on Photon Detection

93

where ttransit denotes the transit time for an electron to cross the photoconductive layer in the electric field that is due to the voltage applied across this layer. The gain G* can, therefore, be expressed in terms of the lifetime relative to the transit time. This can be interpreted as follows. In the case of a lifetime much longer than the transit time, a photogenerated electron will make several traversals through the photoconductor, with each traversal contributing to the photoconductivity. Consequently, designing for minimum spacing between the electrical contacts is the most effective technique for achieving high sensitivity, as was already mentioned for the meandered structure in Figure 4.11. As is demonstrated in the next section, long lifetime also adversely affects the dynamic performance of the photoconductor. Practical values for gain in excess of G* = 100 are possible in silicon, but are not always desirable.

4.4.2 Photoconductors in Silicon: Dynamic Performance An important performance parameter of a photoconductor is the dynamic behavior, which is usually specified in terms of the response time. This directly results from the continuity Equation (4.9). Considering the general time-depending case yields:   n(x, t) = −α(λ)o exp (−α(λ)x) × τ 1 − exp (−t/τ ) (4.17) For analyzing the dynamic response it is assumed that the absorbing layer thickness, tdet , is sufficient to entirely absorb the incident photon flux (exp(−αtdet ) ≪ 1). Hence, the electron dose [electrons/m2 ] results:   n(t) = o × τ 1 − exp (−t/τ ) (4.18)

Therefore, any stepwise change in to is observed as the response of a first-order system with a time constant τ = τ n . Hence, a long majority carrier lifetime, as is required for high gain, adversely affects the dynamic response of the detector. High sensitivity and fast response are, therefore, difficult to combine in a photoconductor. The pn junction photon detector is more flexible in this respect, as is shown in the next section.

4.5 Photon Detection in Silicon pn Junctions The pn junction photodiode mainly differs from the photoconductor in the way the photogenerated excess charge carriers are collected and made available as an output signal. The schematic cross-section of a simple front-side illuminated photodiode in CMOS is shown in Figure 4.12. The device is characterized by an abrupt junction between an n-type impurity layer (the n-well with donor concentration N D ) and a p-type epilayer/substrate. The CMOS devices are fabricated on a p-substrate of relatively high doping concentration on which a p-epilayer is grown with lower acceptor concentration N A . The basic junction is between the n-layer and p− -epilayer. An external voltage, V rev , is applied in such a way that the junction is reverse biased and a depletion layer of width wdepl , which extends from the junction into either layer, is generated. The pn junction photodiode is basically a combination of three photon-absorbing layers with different excess charge collection mechanisms. Although the nondepleted part of the

Smart Sensor Systems

94

Vrev Jph xo surface multi-layer xns +

x SP

Jn Jp Jd

+ xnd

+

xj wdepl

+

xpd

Jd Jn Jp

+ +

p-substrate

p- epilayer

SN

+

n-well

+

xpb

Figure 4.12 Schematic cross-sectional view of a reverse-biased pn junction used for photon detection. Three photocurrent components can be identified due to the presence of a depletion layer of width wdepl

n-well in between xns and xnd is similar to the vertical photoconductor, there is essentially only one electrical contact available at which the excess majority charge carriers are collected. The lower boundary of this nondepleted n-well, xnd , is defined by the upper boundary of the space charge region. Excess minority charge carriers diffuse towards the depletion layer and are collected at the substrate contact. The consequence is the direct measurement of the photocurrent density, J n , rather than a conductance. The photogenerated charge is directly removed at the boundary of the space charge region, which implies that the excess carrier lifetime is equal to the transit time and, hence, G* = 1. The same applies to the nondepleted part of the p-type layer in between xpd and xpb . In the depletion layer the opto-electrical conversion is without gain as well, G* = 1. Photogenerated charge carriers are transported over the depletion layer with a very short transit time due to the high electric field and fed to the electrical contacts. Despite the absence of opto-electrical conversion gain, the pn junction photon detector has significant advantages as compared to the photoconductor, which are discussed in the next sections.

4.5.1 Defining the Depletion Layer at a pn Junction A key parameter in photodiode performance is the width of the depletion layer and the position of the boundaries in n-well and p− -epilayer. The calculation of these dimensions in an actual

Optical Sensors Based on Photon Detection

95

silicon detector requires a simplified, yet concise discussion of the semiconductor physics that describes device operation. A large number of free electrons are available in an n-type layer, which are neutralized by the donor impurities of concentration N D . Similarly, there are a large number of holes in the p-type material that are neutralized by the acceptor impurities of concentration N A . The n-type silicon basically has an extrinsic state just below the conduction band. The Fermi level is the energy level located approximately in the middle between the valence band and the conduction band. Because of the extra conduction state below the conduction band, the Fermi level is decreased by the amount EFn = kB T ln(N A /ni ). Similarly, the Fermi level is shifted upwards by the amount EFp = kB T ln(N D /ni ) in the case of a p-type material, because of the extrinsic state just above the valence band. Bringing these materials into direct contact to form a pn junction at xj results in electrons from the n-type material crossing the junction and filling the holes in the p-type material. Although donor states are available for electrons in the n-type material, many more are available in the p-type material. As a consequence, the part of the n-type layer closest to the junction is depleted of electrons, and the part of the p-type material adjacent to the junction is depleted of holes. The electrons filling the holes in the p-type layer result in a negative space charge in the p-type layer, whereas the holes that are left behind form a positive space charge in the n-type layer. This dipole layer is usually referred to as the space charge region. At the pn junction the Fermi level remains constant. As a consequence the shifts in Fermi level associated with the doping-induced shifts in the Fermi level must be accommodated by the space charge region. This results in a voltage across this layer equal to:  kB T 1 E Fn + E Fp = ln Vbi = q q



NA ND n 2i



(4.19)

which is usually referred to as the build-in voltage with ni the intrinsic carrier concentration. Charge neutrality requires that the total charge in the n-type layer is equal to that in the ptype layer. Assuming an abrupt junction with layers of constant doping concentrations gives a positive space charge ρ(x) = qN D in the n-type layer starting at x = xnd , and a negative space charge ρ(x) = −qN A in the p-type layer up to x = xpd . Using Figure 4.12 yields: q ND (xj − xnd ) = q NA (xpd − xj )

(4.20)

The width w = xpd − xnd depends primarily on the doping concentration of the two layers and the reverse voltage applied and follows from the Poisson equation. For a one-dimensional abrupt junction, the Poisson equation is expressed as: q ND ε −

ρ(x) q NA ∂ E(x) ∂ 2 V (x) = =− = 2 ∂x ∂x ε ε 0

for xnd ≤ x < xj for xj ≤ x < xpd

for x < xnd and for x ≥ xpd

(4.21)

Smart Sensor Systems

96

The expression for the electric field results from the space charge distribution by integration, while considering that the electric field is zero at the edges of the space charge region: q ND (x − xnd ) for xnd < x ≤ xj ε  q NA  x − xpd for xj < x ≤ xpd E(x) = − ε 0 for x < xnd

and

(4.22)

for x ≥ xpd

This results in an expression for the maximum electric field at the junction: E max = E(xj ) =

q NA (xpd − xj ) q ND (xj − xnd ) = ε ε

(4.23)

where ε denotes the dielectric permittivity. The potential distribution results from the integration of the electric field distribution, while assuming the n-well at zero-potential, as:   q ND (x − xnd )2 − for xnd ≤ x < xj ε 2  2    2 (4.24) xpd (x − xj ) q NA x − x j q ND (xj − xnd )2 for xj ≤ x < xpd − V (x) = − ε 2 2 ε 2 0 for x < xnd The potential at the p-type substrate can be found as:  2    2 xpd (xpd − xj ) q NA xpd − xj q ND (xj − xnd )2 − V (xpd ) = − ε 2 2 ε 2 q = − (NA (xpd − xj )2 + ND (xj − xnd )2 ) 2ε

(4.25)

Equating this expressing to Equation (4.19) for the build-in voltage drop (V(xpd ) = V bi ), while using Equation (4.20) yields: NA = NA + ND



ND xpd − xj = NA + ND



xj − xnd

wdepl = xpd − xnd

2ε Vbi q



1 1 + NA ND



  1 2ε 1 + Vbi q NA ND    1 2ε 1 = + Vbi q NA ND

(4.26)

This equation defines the boundaries between the three different layers and the photocurrent densities are derived from the respective continuity equation. The band bending represented

Optical Sensors Based on Photon Detection

97

by V bi can be electronically modulated using an external voltage across the pn junction, as shown in Figure 4.12. At the indicated polarity a reverse voltage is applied and the depletion layer width is increased. The maximum electric field also increases, which can be demonstrated by replacing the term V bi in Equation (4.26) with V bi + V rev and inserting the result in Equation (4.23): wdepl = xpd − xnd =

E max = E(xj ) =



2ε (Vbi + Vrev ) q

q ND (xj − xnd ) = ε





1 1 + NA ND



(4.27)

2q ND NA (Vbi + Vrev ) ε NA + ND

Since the positions of the boundaries are now known, the different photocurrent components can be derived. In the following section, the expressions for photocurrent density (i.e. the area-normalized photocurrent) are derived.

4.5.2 Electron–hole Collection in the Depletion Layer In the depleted layer the transit time is sufficiently short to disregard carrier diffusion and recombination. The current density due to holes collected at the p-type layer contact (or electrons collected at the n-type layer contact), J d , is directly proportional to the photon absorption within the depletion layer and yields:

Jd = qto

xpd

α exp(−αx)dx = −qto (exp(−αxpd ) − exp(−αxnd ))

(4.28)

xnd

A sufficiently high reverse voltage results in a depletion layer extending up to the detector surface (xnd = xnd = 0); this expression simplifies to Equation (4.2). Depleting the p− -epilayer in a CMOS process is possible with acceptable values for V rev . However, this is not the case for the depletion of the entire substrate. As a consequence electrons photogenerated in the bulk substrate and diffusing towards the depletion layer are a significant part of the photocurrent detected at the n-well contact.

4.5.3 Electron–hole Collection in the Substrate When assuming the entire n-well depleted from the junction up to the surface, the minority charge carrier transport in the nondepleted p-type bulk (i.e. assume E(x) = 0 and ∂E(x)/∂x = 0) is described by the continuity relation as: n(x) − n po ∂ 2 n(x) ∂ 2 n(x) ∂n(x) = G(x) − R(x) + Dn = α  exp(−αx) − + D to n ∂t ∂x2 τn ∂x2 (4.29)

Smart Sensor Systems

98

with τ n the electron lifetime in the p-substrate. Solving the continuity relation while assuming boundary conditions (n(xpd ) = npo = 0 and p(xpb ) = npo = 0) and disregarding recombination (R(x) = 0) yields for xpd < x < xpb : n p (x) =    exp(−xpd (1 + αL n )/L n ) − exp(−xpb (1 + αL n )/L n ) x ατn to exp − 1 − α 2 L 2n exp(−2xpd /L n ) − exp(−2xpb /L n ) Ln    exp(−xpd (1 + αL n )/L n ) − exp(−xpb (1 + αL n )/L n ) x + + exp(−αxpd ) exp exp(2xpd /L n ) − exp(2xpb /L n ) Ln (4.30) where Ln = (Dn τ n )1/2 denotes the diffusion length of electrons in the p-type layer. State-of-theart IC processing ensures that αLn ≫1 over the entire visible spectral range and thus Equation (4.30) simplifies to: n p (x) =

   exp(−αxpd ) − exp(−αxpb ) x −to exp − α Dn exp(−2xpd /L n ) − exp(−2xpb /L n ) Ln    exp(−αxpd ) − exp(−αxpb ) x + exp(−αxpd ) exp + exp(2xpd /L n ) − exp(2xpb /L n ) Ln

(4.31)

Depending on wafer size xpb > 500 µm and exp(−αxpb ) ≪ exp(−αxpd ). Moreover, exp(−xpb /Ln ) ≪ exp(−xpd /Ln ). When assuming front-side illumination Equation (4.31) yields: n p (x) =

  exp(x/L n ) −to exp(−x/L n ) − +1 exp(−αxpd ) α Dn exp(−2xpd /L n ) exp(2xpb /L n )

(4.32)

The current density J p can be calculated from the continuity relation:  ∂n p (x)  qto = exp(−αxpd ) ∂ x x=xpd αL n   exp(xpd /L n ) exp(−xpd /L n ) qto exp(−αxpd ) ≈ − × exp(−2xpd /L n ) exp(2xpb /L n ) αL n exp(−xpd /L n )

Jp = −q Dn

(4.33)

An expression is found for the photocurrent due to direct charge collection in the depletion layer in addition to the collection of photogenerated charge in the bulk that diffuses towards the depletion layer by adding Equation (4.28) for xnd = 0 and Equation (4.33) for xpd ≪ Ln , which yields: Jd+p

  1 exp(−αxpd ) = Jd + Jp = qto 1 − exp(−αxpd ) + αL n exp(−xpd /L n )      exp(−αxpd ) 1 ≈ qto 1 − ≃ qto 1 − exp(−αxpd ) 1 − αL n 1 + αL n

(4.34)

Optical Sensors Based on Photon Detection

99

The efficient collection of especially long-wavelength light (i.e. with such a value for the absorption coefficient that α(λ)xpd ≪ 1) requires a high-quality material with a large minority carrier diffusion length.

4.5.4 Electron–hole Collection Close to the Surface The assumption of a depletion layer extending into the n-well up to the surface is rather unrealistic. The surface is an obvious discontinuity of the regular lattice of the silicon crystal and a source of recombination traps. Moreover, constant doping concentration up to the surface is difficult to achieve. The net effect is an electric field in a narrow region directly adjacent to the surface in which minority charge carriers are driven towards the surface where recombination takes place. These effects can be included in the responsivity calculations by assuming a small depletion layer at the surface with high surface recombination velocity, which effectively drains away the part of the incoming photon flux absorbed therein. In Figure 4.12 the boundary of this depletion layer is included as xns . Any nondepleted part of the n-well is sandwiched in between two depletion layers and photogenerated charge ends up in any of these depletion layers by diffusion. Calculation of this component, J s , is similar to the calculation of the photogenerated charge in the bulk and uses the continuity relation for holes in the n-well: ∂ p(x) ∂ 2 p(x) ∂ 2 p(x) p(x) − pno = G(x) − R(x) + Dp = α to exp (−αx) − + Dp 2 ∂t ∂x τp ∂x2 (4.35) with τ p the hole lifetime in the n-well. The solution of this continuity relation when assuming simplified boundary conditions (p(xns ) = pno and p(xnd ) = pno ) and disregarding recombination (R(x) = 0) is:    exp (−αxns ) − exp (−αxnd ) −to x     exp − pn (x) = α Dp exp −2xns /L p − exp −2xnd /L p Lp    exp (−αxns ) − exp (−αxnd ) x     exp + + exp (−αxns ) (4.36) Lp exp 2xns /L p − exp 2xnd /L p

with Lp = (Dp τ p )1/2 as the diffusion length of holes in the n-well. The terms exp(−xns /Lp ) and exp(−xnd /Lp ) are small, but, unlike the case of the bulk-generated minority charge carriers, in the same order of magnitude. Therefore, none of these can be disregarded and Equation (4.36) simplifies to:    −to x exp (−αxns ) − exp (−αxnd )   exp − pn (x) = α Dp 1 − 2xns /L p − 1 − 2xnd /L p Lp    x exp (−αxns ) − exp (−αxnd )   exp + exp (−αxns ) + Lp 1 + 2xns /L p − 1 + 2xnd /L p        x x −to exp (−αxns ) − exp (−αxnd ) − exp − + exp (−αxns ) exp = α Dp 2 (xns − xnd ) /L p Lp Lp (4.37)

Smart Sensor Systems

n-well contact

SP layer

substrate contact

SN layer

R [A/W]

100

0.6

0.4 oxide

n-well

η=

1

0.2 p- epilayer p+ substrate (a)

0 400

500

600 700 800 Wavelength [nm] (b)

Figure 4.13 Responsivity of an actual n-well–p-substrate photodiode. The quantum efficiency is reduced at wavelengths shorter than 400 nm due to surface absorption, and at wavelengths beyond 700 nm due to finite value of the minority carrier diffusion length in the p-substrate

The density of the photocurrent that is generated in the nondepleted n-well and drained into the surface depletion layer, J s results in:    exp (−αxns ) − exp (−αxnd ) ∂ pn (x)  (4.38) = q Js = q Dp to ∂ x x=xns α (xnd − xns ) This expression indicates that photon collection in the n-well is basically a topological problem. If the depletion layer does not extend far from the junction into the n-well, a large fraction is lost. This is prevented when the n-well is depleted as far as possible up to the surface. The photocurrent density flowing into the n-well contact is found by combining Equations (4.34) and (4.38), which yields:   exp (−αxns ) − exp(−αxnd ) exp(−αxpd ) (4.39) − Jtot = qto exp(−αxns ) − α(xnd − xns ) 1 + αL n

The remaining challenge is finding realistic values for the term xns . These can be derived by changing the boundary conditions for solving the continuity expression, Equation (4.35) from p(xns ) = pno to p(xns ) = (Dp /St )(dp/dt)|xns ) and by using the appropriate data on surface recombination velocity, St . Practical values are in the range of xns = 0.02 µm to 0.05 µm. A typical responsivity curve is shown in Figure 4.13.

4.5.5 Backside-illuminated Pin Photodiode The significantly reduced responsivity for wavelengths beyond 700 nm due to the finite diffusion coefficient in the substrate is clearly shown in Figure 4.13(b). However, the degrading performance at wavelengths below 400 nm is less evident. This is partly due to the pessimistic assumptions made with respect to the doping profile of the n-well. In a practical device the doping concentration increases towards the surface. The effect on collection efficiency directly follows from the current density equation for the neutral part of the n-well. When assuming flat-band conditions (no bending of the energy band diagram at the surface due to the surface

Optical Sensors Based on Photon Detection

101

n-well contact

SP layer

substrate contact

SN layer oxide n-well

p- substrate

backside p-layer

Figure 4.14 Backside-illuminated pin photodiode for improved response in the UV and near-IR

potential – see Section 4.7.3) and low surface recombination velocity, the electron current density towards the surface must be zero (excess charge carriers have nowhere to go). Hence: Jn = qµn ND (x)E(x) + q Dn

Dn 1 ∂ ND (x) ∂ ND (x) = 0 → E(x) = − ∂x µn ND ∂ x

(4.40)

A positive doping gradient towards the surface results in a field which repels electrons from the surface. Although this electric field is relatively weak, it is sufficient to ensure efficient collection in the n-well–p-epilayer junction up to the surface depletion layer boundary at xns [22]. The complication results from ensuring flat band. Using the polysilicon gate is counterproductive due to the optical absorption within this gate. Special processing on the oxide is more effective, but is poorly compatible with CMOS processing. The solution is to separate the CMOS process from the surface processing required for optical purposes by using backside illumination, as shown in Figure 4.14. The backside processing can, in principle, be optimized to suit optical requirements. The coating thickness can be designed for a particular transmission and the backside p-type doping profile for a well-defined doping gradient. Furthermore, ion implantation can be used with a dose sufficient to ensure flat band. The disadvantage is the long diffusion of excess charge carriers towards the n-well–substrate junction. This problem is solved when using a very low doped substrate. In that case the entire substrate can be depleted using moderate levels of the reverse voltage. When the substrate doping concentration, N A , is in the same order of magnitude as the intrinsic doping concentration, ni , the substrate is intrinsically doped and is generally referred to as a pin diode. Depleting the substrate down to the backside basically eliminates the wafer diffusion photocurrent and thus also improves long-wavelength response. High-resistivity wafers are not directly compatible with CMOS processing; thus other approaches are being used. Since the electrical interconnect of the backside-illuminated diode is now on the backside of the system, the CMOS wafer is often bonded onto another support. This could be another silicon wafer with an interconnection pattern. The advantage is the protection of the CMOS devices. Moreover, Micro-ElectroMechanical System (MEMS) technologies are subsequently used for thinning the CMOS wafer to 10 µm to 50 µm in thickness

Smart Sensor Systems

102

to enable efficient charge collection with moderate values of the reverse voltage, while using standard CMOS substrates. Through-wafer micromachining for backside contact is also used to improve system integration. The resulting system features a nearly perfect spectral response over the entire visible spectrum and is used for metrological purposes [23].

4.5.6 Electron–hole Collection in Two Stacked pn Junctions The photodiode structure shown in Figure 4.12 is not the only possible device in a CMOS process. When including the drain/source implantation, two stacked junctions result and, consequently, two stacked photodiodes, as shown in Figure 4.15. The expressions for the photocurrents in the upper and lower junction are not fundamentally different form those already derived in the previous section on the single junction photodiode. Hence, the results can be applied with the obvious adaptations in variables. The double-junction detector is basically a three-terminal device with the n-well draining the photogenerated electrons collected at the upper and lower junction. The shallow p-type (SP) layer contact is used to read the photocurrent of the upper junction only and the substrate that of the lower junction. The loss in photogenerated charge due to recombination in the bulk substrate is accounted for in the response of the lower-junction photodiode, whereas the surface recombination adversely affects the detectivity of the upper photodiode. The essential difference between the single pn junction and the stacked photodiodes is that the stacked junctions compete for the collection of the photogenerated charge in between the junctions. Basically, the upper diode is efficient in collecting shallowly generated charge,

Ju+Jl Ju

n-well

xo surface multi-layer + xps xupd SP xju

x

SN

+

SP

+ +

+

+

xlnd xjl xlpd

+ + +

Jld Jln Jlp

+

p-substrate

p- epilayer

xund

Jup Jud Jun

+

Jl

xpb

Figure 4.15 Schematic cross-sectional view of a reverse-biased pn junction used for photon detection. Three photocurrent components can be identified due to the presence of a depletion layer of width W depl

Optical Sensors Based on Photon Detection

SP layer SN layer

SP contact

R [A/W]

n-well contact

103

0.3 lower 0.2

oxide 0.1

n well

upper

p- epilayer 0 p+ substrate (a)

400

500

600 700 800 Wavelength [nm] (b)

Figure 4.16 Responsivity of the SP–n-well junction (upper) and the n-well–p-substrate junction (lower) with V rev = 1 V applied across each junction

whereas the lower junction efficiently detects the bulk-generated charge. Short-wavelength visible light is shallowly absorbed, because of the high value for the absorption coefficient, whereas the longer-wavelength light penetrates more deeply into the material. As a consequence the upper junction reveals a relatively high responsivity for blue light, whereas the lower more efficiently detects red light. Figure 4.16 shows the typical response curves for photodiodes fabricated in a CMOS process. The wavelength-dependent response in stacked photodiodes can be used for color sensing, which is demonstrated in the application example in Section 4.8.1. Comparing Equations (4.28) and (4.34) reveals that both depleted and nondepleted absorption volumes result in efficient and well-defined photogenerated charge collection, provided that the minority carrier lifetime is sufficiently long. The essential advantage of charge collection in a depleted layer is in the dynamic performance. Charge collection is governed by the drift velocity of minority carriers in the electric field, as defined by Poisson’s equation and a reverse voltage can be selected to yield a transit time that is orders of magnitude smaller than charge collection by diffusion. The reverse-biased pn junction has a strongly reduced junction capacitance, which also contributes to the fast response. The maximum reverse voltage level is, in principle, limited by breakdown; however, a specially designed avalanche-type photodiode (discussed in Section 4.7.2) can be operated at breakdown to achieve charge-carrier multiplication and hence provide opto-electrical gain. The drawback of a reverse-voltage operated photodiode is the increased leakage current. The leakage current directly determines the shot-noise level of the diode and limits detectivity. The detection limit of the optical system is determined by the combined effect of the detector and the readout circuits. A major design issue is, therefore, where to implement gain in the opto-electrical system; in the photon detector or the readout circuit. Providing guidelines for addressing this question requires the analysis of the noise behavior of the photodiode, while including that of the readout circuit.

4.6 Detection Limit The key performance specification of a sensor is the detection limit. In an optical sensor the detection limit is the minimum light intensity that can be reproducibly measured. The

Smart Sensor Systems

104

detectivity D* is used for specifying detection limit. This parameter is the inverse of the detection limit and is normalized on detector area and bandwidth. The detection limit is affected by the following three factors: (1) Environmental, such as stray light and temperature; (2) Detector characteristics; (3) Readout. These are discussed in this section.

4.6.1 Noise in the Optical Signal The optical measurement includes two major fundamental sources of uncertainty. The first is the influence of optical power due to unwanted background radiation. The second is the random variations in the stream of photons entering the detector. Both contribute to the detection limit in the photon detector. Typically, a photon detector is used to measure the optical power of a source or a scene in the presence of a background at a certain temperature. This temperature could be room temperature, which in that case is an important issue in infrared detectors, but less so for silicon-based photon detectors operating in the visible spectral range. However, this could also be the diffused reflection of an illuminating source by the background. In that case the lamp temperature has to be considered. The background optical power directly follows from Planck’s radiation law as: Popt,bg = A

2hυ 3 υ 2hυ 3 υ ≈ A exp (−hυ/(kB T )) c2 (exp (hυ/(kB T )) − 1) c2

(4.41)

In this calculation the entire optical system must be included. A simplified system is considered here with a lamp of peak emission within the silicon spectral range (central frequency, υ ≈ 6 × 1014 Hz), which remains constant over the silicon bandwidth (υ ≈ 8 × 1014 Hz). Moreover, A denotes the aperture area of the lens,  the field of view ( ≈ ϕ 2 , with ϕ the opening angle). Assuming A ≈ 10−9 m2 and T lamp = 1500 K yields: Popt = 50 mW. The optical system must be able to distinguish between the reflected background power and the power of the intended radiation. As is mentioned in Section 4.2, incident radiation of optical power Popt and wavelength λ produces electron–hole pairs at an average rate given by: eh = Popt /hP υ in an ideal photon detector. In the quantum-mechanical description of radiation, the optical power is due to the cumulative energy of a stream of photons (Popt = ph × Eph ) = ph × (hP υ). However, this incident stream of photons is due to a random process and obeys Poisson statistics. The absorption of photons is also a random process. Some photons penetrate more deeply than others of the same wavelength. The decrease in photon flux described in Equation (4.1) is an averaging of the radiation–crystal interactions. Finally, the collection of the photon-generated electron–hole pairs is also a random process. As described in the previous section, some minority charge carriers need to diffuse over a substantial length of detector material before being collected at the junction. The combined effect of these random processes is described

Optical Sensors Based on Photon Detection

105

by the shot noise expression for photocurrent: i n2 = 2q Iph B

(4.42)

where B denotes the bandwidth of the optical signal. Usually, the noise spectral power sn = in 2 /B [A2 /Hz] is used. Since the shot noise spectral power is proportional to the photodiode current, it is important to determine the minimum current in the photodiode in the absence of light. This property is generally referred to as the dark current or leakage current and depends on material properties and diode biasing.

4.6.2 Photon Detector Noise The equivalent noise sources of the photoconductor and photodiode are derived in this section and also compared to the typical equivalent input noise level of readout circuits. The photoconductor is basically a resistor of nominal (nonilluminated) resistance Ro = 1/σ o with the noise performance determined by the well-known expressions for thermal noise: u 2n = 4kB TRo B

or i n2 =

4kB TB = 4kB T σo B Ro

(4.43)

where B denotes the bandwidth of the optical signal. The two parts of this expression are equivalent. The first defines the equivalent noise voltage and the second the equivalent noise current of the resistance. The conventional technique for photoconductance readout involves an excitation voltage and the measuring of the resulting current (Figure 4.9). Hence, the current-to-voltage converter (trans-resistance circuit) is used for readout. The leakage current in a pn junction without illumination is essential for estimating the shot noise level and can be found from the continuity expression (Equation (4.29)) with G(x) = 0 as:          q Dp pno q Dn n po q Vrev q Vrev − 1 = Jso exp −1 (4.44) exp + Jdark = Ln Lp kB T kB T where J so denotes the reverse saturation current density of the diode. Typical values are in the range 10 nA/m2 < J so < 10 µA/m2 . However, it should be noted that for a very small junction area or for long, high-aspect ratio junctions, I so is primarily determined by the perimeter length. Equation (4.44) reveals a fundamental dilemma in photodiode readout design. When short-circuiting the junction (V rev = 0, which is generally referred to as the photovoltaic mode of operation), the dark current is limited to the saturation current: I dark = −I so , whereas the dark current increases exponentially with reverse voltage. Hence, the benefits of an increased depletion layer width in terms of improved responsivity by applying a reverse voltage (V rev > 0 V in Figure 4.11, the photocurrent mode of operation), as discussed in Section 4.5, are at the expense of an increased dark current and consequently at the expense of an increased shot noise level. In an AD = 100 × 100 µm2 photodiode, the dark current is typically equal to: I dark = J dark AD = 10−28 exp(qV rev /kB T). The noise current at V rev = 1 V is typically equal to: i n2 = 1.6 × 10−30 A2 /Hz

(4.45)

Smart Sensor Systems

106

The detection limit follows when the SNR and noise bandwidth specification, B, are included. 2 = i n2 × B × In the case of SNR = 10 dB and B = 100 Hz, the detection limit is at: i ph,det SNR = 16 × 10−26 A2 , thus iph,det = 0.4 pA. In optics the measurand is optical power. Therefore, it is customary to specify noise level in terms of Noise Equivalent optical Power (NEP), which is equal to total noise power divided by the opto-electrical conversion, the responsivity, R:  2 1/2 i B (4.46) NEP = n R Moreover, the reciprocal specification is used for the detection limit in terms of optical power and also includes detector area AD (traditionally in [cm2 ]) and bandwidth to facilitate the performance comparison of detectors of different sensitive area. This figure-of-merit of the detector is usually indicated as D* with:   AD 1/2 (AD B)1/2 ∗ D = =R (4.47) NEP i n2 Whether the exponential increase in leakage current with reverse voltage is actually a performance limitation depends largely on the noise generated in the readout circuits. Photovoltaic readout involves direct voltage measurement for which a voltage amplifier is conventionally used. The current-to-voltage converter presented in the next section is typically used for the photocurrent readout of a reverse-biased photodiode.

4.6.3 Photon Detector Readout The equivalent circuit diagram of a photovoltaic operated photodiode is shown in Figure 4.17. It is composed of a series bulk resistance, which gives rise to thermal noise as represented by noise voltage un , with noise spectral power suns = 4kB TRs , and a parallel impedance, Z p , which is composed of the small-signal dynamic resistance, rd , parallel to a very large leakage resistor, Rp > 10 M, and the diffusion capacitance Cd : Z p = rd /(1 + jωrd Cd ), with rd = ∂U d /∂I d = ∂[(kB T/q) × ln(I d /I s )]/∂I d = kB T/(qI d ), with I s the I d -independent saturation current. The thermal noise in the bulk resistance dominates noise performance, where un is in the range of (1 to 100) pV/Hz1/2 , typically. Ud

Ud Uns

Rs

(b) Rs

Zp

Ind

Zp

Figure 4.17 Sources of noise in a photovoltaic operated photodiode

Optical Sensors Based on Photon Detection

107

Id

Id Uns Rs

(b) Rs

Ind

Zp Urev

Zp

Urev

Figure 4.18 Sources of noise in a reverse-biased photodiode

The equivalent circuit diagram of the reverse-biased diode is shown in Figure 4.18. Although the same components are included in the circuit, their values are significantly different. The differential resistor rd is in the reverse-biased diode set by the negative value of the voltage applied: 1/rd = ∂I d /∂U d = ∂[I s exp(qU − /kB T)]/∂U d = ∂(I s × 0)/∂U d = 0, since U−/(kB T/q) → −∞. Hence, rd → ∞. The charge storage in a reverse-biased junction is determined by the space charge layer boundaries of the depleted region and specified by Cb and Z p = Rp /(1 + jωRp Cb ). Since Rp ≫ Rs , uns is insignificant and the noise is set by the diode shot-noise, ind . Considering the very low leakage currents in state-of-the-art diodes at moderate values of the reverse voltage, in,eq = (2qI d )1/2 , which yields (10 to 100) fA/Hz1/2 , typically. It should be noted that Z p is capacitive throughout most of the practical frequency range. The operational amplifier (opamp)-based implementation of the trans-resistance circuit including the opamp equivalent input noise sources is shown in Figure 4.19. The signal source is assumed to be ideal. The equivalent input noise sources of the opamp are specified as: un1 and in1 . The noise power at the output is expressed as: 2 2 R2 u 2o1 = u 2n1 + i n1 Rf2 + u 2nR = u 2o2 = i n,eq f

(4.48)

The equivalent input current source follows as: 2 i n,eq =

u 2n1 u 2nR 2 + i + n1 Rf2 Rf2

(4.49)

Rf -Ii

+ in1

unR Uo

un1

Figure 4.19 Trans-resistance amplifier for photodiode readout

Smart Sensor Systems

108

Assume the following highly competitive noise specifications for the operational amplifier: equivalent input noise voltage spectral power sun = 10−17 V2 /Hz and equivalent noise current spectral power sin = 10−26 A2 /Hz. The circuit is designed for a trans-impedance U o /I i = 105 V/A using Rf = 100 k, which results in an equivalent input spectral noise power (4kB T = 1.65 × 10−20 J) equal to: 2 i n,eq = 17.5 × 1026 [A2 /Hz]

(4.50)

The noise due to the feedback resistance dominates noise performance. Increasing the feedback resistance up to Rf = 1 M provides both conversion gain and reduces the equivalent input noise current to: sin = 2.75 × 10−26 A2 /Hz. The problem of this solution is twofold. Firstly, due to the junction capacitance of the reverse-biased diode, peaking in the spectral response of the readout occurs [24]. This effect can be avoided when using a small capacitor parallel to the feedback resistance. Secondly, the photodiode noise remains orders of magnitude lower (compare Equation (4.50) with Equation (4.45)) and is ultimately limited by the equivalent input noise current of the opamp. The detrimental effect of the exponential increase of the dark current with reverse voltage is, therefore, often of limited practical importance. Nevertheless, high-performance photon detection would benefit from a reduced dependence on the equivalent input noise level of the readout circuits. The noise performance is somewhat different in the case of photovoltaic readout. The (1 to 100) pV/Hz1/2 of the photodiode is in series with the opamp equivalent input noise voltage of 3 nV/Hz1/2 . The feedback components provide additional noise. Consequently, also in the case of photovoltaic readout, the noise performance is spoilt by the readout. A similar conclusion can be derived for photoconductor readout. The fact that readout dominates noise performance calls for the implementation of gain in the photon detector itself, which is discussed in the next section.

4.7 Photon Detectors with Gain In principle, there are three approaches available for providing gain in the photon detector itself: (1) Phototransistor (2) Avalanche photodiode (3) Time integration of photon-generated charge The merits and limitations of these techniques are discussed in this section.

4.7.1 The Phototransistor The bipolar transistor is well known for providing current gain. Hence, using the photodiode as a base–emitter or a base–collector junction and adding the third terminal would, in principle, create interesting options for the realization of a photon detector with internal gain. The CMOS process does provide a (parasitic) vertical pnp transistor when using the SP (drain/source) within an n-well as emitter, the n-well as base and the p-epilayer as (common) collector. Lateral npn and pnp transistors are also feasible. If these transistors are of insufficient performance, one could consider using a BiCMOS or a dedicated bipolar process for on-chip integration of the opto-electronic system.

Optical Sensors Based on Photon Detection

109

Cb'c B

C B

ub'

inc

B Iph

C

rb'c

Cb'e

inb1

inb1

gmub'e

rb'e ue

E

inc E

Figure 4.20 Phototransistor including noise sources

Figure 4.20 indicates that current gain equal to the common–emitter current gain β F is indeed provided by leaving the base terminal open, while measuring the collector current. Unfortunately, the noise current is amplified by the same factor and thus the collector shot noise should also be considered. Moreover, the dynamic performance of the npn phototransistor is seriously reduced as compared to the basic pn junction, due to capacitive loading within the device. For these reasons the phototransistor is not frequently used.

4.7.2 The Avalanche Photodiode The avalanche photodiode is similar to the conventional pn junction, apart from the fact that the doping levels are relatively high. The operation of the avalanche photodiode is based on impact ionization within the depletion layer. The basic device structure is shown in Figure 4.21.

Vrev Jph xo surface multi-layer P++ xpd β+ xnd

xpb

p-substrate

N+

+ + α + α β+ + α + +

Figure 4.21 The avalanche photodiode

wdepl

Smart Sensor Systems

110

The doping concentration of the p++ layer exceeds 1019 cm−3 and n+ is in the order of 2 × 1018 cm−3 (this is generally referred to as the single-sided abrupt junction). Under these conditions and V rev ≫ 10 V, Equation (4.27) reduces to: 2ε Vrev ≈ 0.1 µm q ND  2q ND = E(xj ) ≈ Vrev ≈ 107 V/m ε

wdepl ≈ E max



(4.51)

At such extremely high values for the electric field, electron–hole pairs in the depletion layer gain sufficient energy to cause impact ionization. The probability of an electron generating an extra electron–hole pair is characterized by the electron ionization coefficient α, whereas the hole ionization coefficient is defined as β. Since the total photocurrent should remain constant throughout the depletion layer the following set of equations result: ∂ In (x) = α In (x) + β Ip (x) ∂x ∂ Ip (x) = −α In (x) − β Ip (x) ∂x

(4.52)

In (x) + Ip (x) = M × Ipn where M denotes the avalanche multiplication factor and I pn represents the collected photocurrent in a low-field pn junction without avalanche gain. The electron current in the p++ layer leaving the depletion layer (i.e. electrons entering the depletion layer) I n (0) = I pn , whereas the hole concentration I p (0) = M × I pn ,. Similarly in the n+ layer I n (wdepl ) = I pn , and I p (wdepl ) = M × I pn . The coefficients α and β are generally unequal and strongly depend on the electric field and, thus, on the position x in the depletion layer. Nevertheless, when assuming α = β an adequate expression for avalanche multiplication is obtained: ∂ In (x) = α In (x) + β Ip (x) = α In (x) + α(M × Ipn − In (x)) = α × M × Ipn ∂x

(4.53)

Hence: In (x) − In (0) = M × Ipn

x

αdx

(4.54)

0

Considering that I n (0) = I pn and I n (wdepl ) = M × I pn , the expression for avalanche multiplication results as: wdepl wdepl   αdx → αdx = In (wdepl ) In (wdepl ) − In (0) = M × In (0) (4.55) 0 0   In wdepl 1 w = M= In (0) 1 − 0 depl αdx

Optical Sensors Based on Photon Detection

111

The avalanche multiplication (Equation (4.55)) becomes infinite if the integral becomes unity, which represents avalanche breakdown. For high sensitivity the device should be operated as close as possible to avalanche breakdown in order to maximize gain. Maximizing gain does not necessarily imply the best detection limit. The noise in the avalanche photodiode depends on both the shot noise in the photocurrent and the variations in the multiplication factor M due to random variations in the actual positions where impact ionization in the space charge region takes place. The shot noise power is, therefore, multiplied with the power gain M 2 and the impact ionization position uncertainty is incorporated in the expression for the equivalent spectral noise using the excess noise factor, F(M): i n2 ( f ) = 2q M 2 F(M)Ipn

(4.56)

Practical values of F(M) are in the range M 0.3 < F < M 1 . As a consequence the noise spectral power increases with avalanche gain by more than a factor of M 2 , whereas the signal gain is proportional to M 2 . Since the signal-to-noise ratio within the photodiode decreases with avalanche gain, it seems legitimate to wonder what the benefits are. The answer is given in the comparison between Equations (4.56) and (4.45). As is concluded in the previous section, the noise in photodiode readout is dominated by the circuit. Therefore, increasing the photodiode signal level does improve detectivity despite the fact that the photodiode equivalent spectral noise current increases by a factor that is higher than the signal gain. This can be demonstrated by referring again to the current-to-voltage converter in Figure 4.19 with the feedback resistance Rf = 1 M, which is characterized by an equivalent input-referred spectral noise current sin = 2.75 × 10−26 A2 /Hz. The photodiode spectral noise current due to dark current is equal to sid = 1.6 × 10−30 A2 /Hz. Hence a signal of 1 Hz bandwidth and an amplitude of 40 fA (Ps = 1.6 × 10−28 A2 ) is well below noise level (Pn,d + Pn,c = (1.6 × 10−30 + 2.75 × 10−26 ) A2 ≈ 2.75 × 10−26 A2 ) when using a conventional photodiode. Using an avalanche photodiode with reverse voltage close enough to avalanche breakdown to give M = 50 results in a signal power M 2 × Ps = 4 × 10−24 A2 and a noise power M 3 × Pn,d = 2 × 10−25 A2 ≫ Pn,c (worst case, F(M) = M, is assumed). The avalanche gain has lifted the signal above the noise level of the readout and the overall noise performance is limited by the photodiode. Obviously, an optimum results if the gain is set to such a value that the photodiode 3 × Pn,d = Pn,c = spectral noise is equal to the input-referred spectral noise of the readout: Mopt −26 2 2 2.75 × 10 A . Hence, M opt = 25.8 with SNR = Mopt Ps /2Pn,c = 23 dB in this particular opto-electronic system. The maximum avalanche multiplication is limited in a practical diode to M ≈ 100 due to lateral fluctuations in doping concentration. Since the avalanche breakdown voltage depends on doping concentration, the reverse voltage applied must be below the breakdown voltage minus some margin to avoid localized breakdown. This in turn limits the achievable gain. The avalanche multiplication takes place close to the junction in the bulk. The very high doping concentrations in the p and n layers result in a relatively short minority carrier lifetime. As a consequence the avalanche photodiode has a relatively strong spectral dependence with a peak response in the (500 to 600) nm range. Special structures have been reported with a shallow junction and a selective UV/blue response [25]. Applications are mainly in medical imaging based on scintillating materials on top of a detector array.

Smart Sensor Systems

112

unC2

unC1

=

C

ind

Iph

C

Iph

ind

Rp

=

C

C

(a)

Rp

(b)

Figure 4.22 Using a capacitor for integration of photon-generated charge

4.7.3 Time Integration of Photon-generated Charge Time integration can be used for enhancing SNR in low-bandwidth signal readout. When considering the photodiode with dc photocurrent and equivalent noise source ind with ideal capacitor C, as shown in Figure 4.21. The integrated charge due to the dc signal after time ti is equal to: Q = I ph × ti and results in a voltage V C = Q/C = I ph × ti /C. The equivalent noise voltage can be approximated using Figure 4.22(a) as: u 2nC

ωmax ∞ q Iph ti 2q Iph d f 1 2 2 i nd |Z (ω)| dω = = = 2 2 2 2 2π C f 2π C ωmin

(4.57)

2π/ti

This expression suggests an unlimited improvement of SNR with integration time. However, an ideal capacitor is assumed. A practical integrator is modeled as a lossy capacitor, as shown in Figure 4.22(b), and its noise behavior is expressed as: u 2nC

=

∞ 0

i n2

4kB T |Z (ω)| dω = 2π 2

∞

Rp2

df kB T = 2 1 + ( f Rp C) C

(4.58)

0

The noise voltage squared is determined by the combined effect. For I ph = 1 nA, C = 100 fF, ti = 20 ms and 4kB T = 1.65 × 10−20 J the result is:  q Iph ti kB T −8 −8 −8 2  + = 4.1 × 10 + 51 × 10 = 55 × 10 V  Pn =   C 2π 2 C 2 → SNR = 28.6 dB 2  Iph × ti2   −4 2  Ps = = 4 × 10 V C2 (4.59) Hence the kB T/C noise can usually be disregarded. In that case the signal power is proportional to ti 2 , the noise power is proportional to ti , and SNR = Ps /Pn = (2π 2 I ph /q)ti . An array of photodiodes with charge integration in the junction capacitance of the reverse-biased junction is the basis of the well-known CCD imager. The alternative is an array of MOS-based capacitive photon detectors Figure 4.23(a) shows the NMOS transistor without a drain or a source and operating as capacitive detector. When assuming equal work function for the polysilicon gate and the p-type silicon epilayer, the energy bands are flat in the case of gate–substrate voltage V GS = 0 V (dashed lines in Figure 4.23(b)). Applying a negative potential to the gate with respect to the silicon results in depletion of the silicon from the surface and bending of the energy bands

Optical Sensors Based on Photon Detection

113

Vacuum level SP layer

poly-Si gate

substrate contact wdepl

Ec

qφSi p- epilayer p+ substrate

EF,p-Si EF,polySi Ev polysilicon

(a)

gate oxide

p- silicon

(b)

Figure 4.23 NMOS photon detector: (a) structure and (b) energy band diagram

as shown by the solid lines in the figure. The work function of polysilicon (qφ Si ) is assumed to be equal to that of silicon. A further increase in the amplitude of the negative potential beyond the threshold voltage results in inversion. In this state any available free electrons are attracted and the part of the p-epilayer directly below the surface effectively turns into n-type. Consequently, the device provides depleted volume under the gate area that is suitable for storage of photon-generated minority charge carriers in the p-epilayer/substrate. Any stored charge is immediately released when switched to a positive gate potential and can be measured as a current pulse. Operating the NMOS photon detector, therefore, involves applying a negative gate potential during charge integration and release of the charge by applying a positive pulse to the gate (for reset or charge transfer). The PMOS transistor can be used for charging photon-generated carriers in a similar way.

4.8 Application Examples 4.8.1 Color Sensor in CMOS The two vertically stacked pn junctions that come with a CMOS process can be used for the simultaneous measurement of light intensity and color without using an optical filter. The shallower junction is between the drain/source p-type layer and the n-well, whereas the deeper junction is between the n-well and the p-epilayer [26]. The different spectral response curves of these photodiodes are shown in Figure 4.16 and are discussed in Section 4.5.5. The absorption volume in between the p+ –n-well junction and the n-well–p− -epilayer junction is shared between the two junctions. The reverse voltage between the two respective junctions can be controlled in such a way that the depletion layers meet and the entire n-well is depleted. The upper absorption volume contributes to the shallow junction photocurrent and the lower absorption volume contributes to that of the lower diode. As impinging blue/UV light is already fully absorbed within a depth less than 0.5 µm, such short wavelength light contributes to the photocurrent of the upper junction only. Similarly, longer wavelength light predominantly generates photocharge at larger depths and thus contributes mainly to the lower-junction photocurrent. The depth of the actual boundary between the space charge layers can be electronically programmed, by changing the reverse voltages applied across the junctions. Increasing the

Smart Sensor Systems

114

Colour response Ru/Ru+l Ratio

R [A/W]

Intensity response Ru+l 0.6

0.4

0.4

η

=1 0.2

0.2

0

0.6

0 400

500

600 700 800 Wavelength [nm] (a)

400

500

600 700 800 Wavelength [nm] (b)

Figure 4.24 Responses of the color sensor: (a) adding the photocurrent provided by upper and lower junction using the n-well current to give the intensity signal and (b) normalizing the upper junction photocurrent to the intensity signal to provide the color signal

reverse voltage applied across the upper junction and simultaneously lowering the voltage across the deeper junction increases the longer wavelength response of the upper junction at the expense of that of the lower junction. The n-well contact supplies both the p+ -layer–n-well photocurrent, I u , plus the n-well–p-epilayer photocurrent, I l , and thus is a good measure for the responsivity to total incident photon flux, Ru + l . The photocurrent measured at this terminal is, therefore, the intensity signal. The responsivity of the upper junction (the drain/source contact), Ru , divided by the responsivity at the n-well contact, Ru + l , is a good measure for the spectral information and is referred to as the color signal: Ru /Ru + l . Figure 4.24 shows these spectral response curves. Several circuits are suitable for the readout of I u /(I u + I l ) or I l /(I u + I l ). A very convenient realization is based on the voltage switching AD converter, which provides a digital output code directly proportional to the color signal. The schematic block diagram and timing diagram are shown in Figure 4.25. The reverse-biased junction capacitors are used as storage elements. Two conversion cycles are needed to measure both signals. In the first phase, I u plus I l are integrated and during the second phase only the current provided by the lower junction is integrated. Four states can be distinguished. During the first two states, S2 is closed. During state(1), S1 closes and both junctions are charged to V DD = 5 V. Subsequently, S1 is opened and during state(2) the junctions are discharged by I u + I l until the lower threshold voltage of the comparator is reached. During state(3) and state(4), S2 remains open and the junctions discharge using I l only. Two 16-bit counters are used to store the data from which the intensity and color signal can be calculated. This technique does not allow an accurate definition of the space charge regions of the upper and lower photodiode, but the color signal is sufficiently pronounced so that it can be used for object identification based on color. Figure 4.26 shows the photograph of the optical system, which is used to identify illumination with different light sources. The sensor performance enables the identification of indoor or outdoor operation, which can be used by an operator to adapt the brightness of a display of a tool or instrument.

Optical Sensors Based on Photon Detection

Vd

115

Clock

Clock

S1

Juction voltage Comp.

Dl

Latch

Du Iu

Il Cjl

Cju

Comp. Latch

:2 Fout

S2 Counter

S2 open S1 closed

S2 closed S1 closed

Figure 4.25 Readout circuit of the color sensor

4.8.2 Optical Microspectrometer in CMOS An integrated optical microspectrometer can be fabricated in CMOS using the Fabry–Perot interferometer, which is in principle composed of two highly-reflective parallel mirrors spaced a well-determined distance apart with the reflecting part facing each other. The space in between the mirror surfaces is essentially a resonance cavity. An optical microsystem has been fabricated and is composed of 16 Fabry–Perot resonators of different fixed cavity spacing on top of 16 integrated photodiodes. After fabrication of the circuits for channel selection and readout, the lower thin-film metal layer is deposited and patterned. Subsequently, oxide layers are deposited to space the mirrors, thus ensuring parallel mirrors. Four subsequent masked oxide etch steps are applied to fabricate cavities of 16 different thickness. The 16 channels are designed to cover the entire visible spectral range. The fabrication of each of these Fabry–Perot

Figure 4.26 Microphotograph of the color sensor

Smart Sensor Systems

116

read-out SP layer electrode Ag mirror

ground electrode Al mirror

PECVD oxide n well p- epilayer p+ substrate

Figure 4.27 CMOS post-processing for fabrication of a Fabry–Perot element

resonators shown in Figure 4.27, is compatible with a standard CMOS process, thus enabling, the on-chip integration of circuits for selection and readout of the array photodiodes covered by the different resonators. The resulting device is shown in Figure 4.28 [27]. An additional channel is used for the compensation of dark current and the scattering, which is due to the roughness of the mirrors. The PECVD oxide is deposited on top of the lower mirror surface. For this reason silver cannot be used for the lower mirror and a silicon(CMOS)–aluminum-oxide–silver stack is used instead. A critical factor in the fabrication of this microspectrometer is the etching of the PECVD silicon oxide into 16 different thicknesses using four masks. Basically a first mask is used to etch 50 % of the oxide over 50 % of the elements. Subsequently a second mask is applied and an additional 25 % of oxide is removed over 50 % of the elements already attacked in the first

Figure 4.28 16-channel CMOS microspectrometer using integrated Fabry–Perot elements

Optical Sensors Based on Photon Detection

117

Responsivity [mA/W]

14 12 10 8 6 4 2 0 360

440

520

600

680

Wavelength [nm]

Figure 4.29 Spectral response curves of the 16-channel CMOS micro spectrometer

etch in addition to 50 % of the elements with oxide coating which have not yet been thinned. A third and fourth mask are applied to remove another 12.5 % and 6.25 % of the oxide thickness. A careful mask design results in 16 elements, each with a different thickness of the oxide coating. The complication is the timed etching of oxide, which requires accurate characterization of the etch rate. Figure 4.29 shows the result of the first-generation of these devices, which were designed to cover the entire visible spectrum. However, these were over-etched.

4.9 Summary and Future Trends 4.9.1 Summary In this chapter the merits and performance limitations of CMOS-compatible photon detectors in the 300 nm to 1000 nm spectral range are discussed. Firstly, the general issues that determine the spectral response are discussed. These are: (1) The wavelength dependence due to the thin-film coating of the silicon absorber; (2) The wavelength dependence of the silicon absorption coefficient; (3) The wavelength dependence introduced by the collection of photogenerated excess charge carriers. Subsequently, two types of detectors have been considered: (1) Photoconductors; (2) Pn junction-based photon detectors. Although photoconductors can provide gain, these feature a less favorable detection limit and high gain is associated with poor dynamic performance. Therefore, the emphasis is put on pn junction-based photon detectors. The simplest realization is the photodiode. Two charge collection regimes are identified: drift in depletion layer and diffusion in nondepleted parts of the silicon. The latter introduces performance limitations, which are overcome in pin-type of photodiodes and backside-illuminated photodiodes.

118

Smart Sensor Systems

A major limitation of the basic photodiode is that it does not provide gain, which results in a detection limit generally set by the readout circuit. Hence, pn junction based devices are discussed that are intrinsically suitable for providing gain. These are: (1) The phototransistor; (2) The avalanche photodiode; (3) The combination of photodiode with capacitor for charge integration. The avalanche-operated photodiode is especially suitable for matching the photodiode noise performance to that of the readout circuit. The viability of CMOS-based opto-electronic systems is demonstrated using two realized designs: (1) A color sensor; (2) A microspectrometer.

4.9.2 Future Trends The most-demanding challenges of device research in the field of silicon photon detectors are in the ultraviolet (UV) spectral range. The absorption coefficient limits penetration to 10 nm and surface effects dominate performance. MEMS technologies are increasingly being used to improve detector performance, to facilitate system integration or to enable implementation of optical detectors in an application. The spectral response in the visible spectral range can be almost perfect when applying the techniques discussed in Section 4.6. As a result, silicon photon detectors are increasingly investigated in combination with scintillating materials for operation in spectral range where silicon is not very suitable, such as X-ray. Many applications are in the medical field. Fabrication of photon detector and readout circuits in an IC-compatible process (preferably CMOS) does not generally provide the best possible detector response in a particular application. The vertical doping profiles are selected for operation of the active devices and do not necessarily provide high opto-electrical efficiency. As is shown, the spectral performance is particularly sensitive to details in the vertical doping profile in the UV/blue and near-IR spectral range. Other ‘costs’ are the need for an additional metal layer to provide shielding of the circuits for light, which would otherwise cause increased leakage current, and the enlarged die area. Therefore, the CMOS opto-electronic system is likely to find application only if the benefits do actually pay off. This has already been demonstrated for array-type systems, such as the CMOS camera, but may also prove decisive in high-resolution microspectrometers. The second group of opto-electronic systems that is likely to benefit from the on-chip cointegration in a CMOS process comprises those that require either a huge amount of (analog) signal pre-processing, or are vulnerable to parasitics. The third group of promising CMOS opto-electronic systems are application-driven and require a single photon detector of modest performance to serve a potentially high-volume application, such as a simple color sensor. Such a system would have to compete with the more-established approaches, which involve the deposition and patterning of color dyes in post-IC processing steps. Such post-processing steps pay off in array detectors (CMOS cameras), but not necessarily if only one detector is required.

Optical Sensors Based on Photon Detection

119

Although the indirect band gap in silicon does not allow efficient light emission, the material is very suitable for the fabrication of integrated optics systems [28].

Problems 4.1 Relation between quantum efficiency and responsivity The external quantum efficiency of a silicon photon detector is specified as η = 0.8 for λ = 500 nm. Calculate the equivalent responsivity, R, when Planck’s constant is given as hP = 6.6 × 10−34 J/s and the unit charge q = 16 × 10−20 C. 4.2 Gain in a photoconductor Dimension an n-type silicon photoconductor for maximum sensitivity, σ /qto . Also calculate the photoconductive gain, G* , and the cut-off frequency of the detector at these dimensions when the following properties and design constraints are given: r µn = 0.15 m2 V−1 s−1 ; r τ n = 2.5 × 10−3 s; r Detector area AD = 1000 × 1000 µm2 ; r Minimum feature size (space between lines or line width) = 10 µm; r V exc = 10 mV. 4.3 Expressing detection limit in terms of NEP and D* Calculate the detection limit in an opto-electronic system in terms of the noise equivalent power (NEP) and detectivity D* composed of a photodiode and current-to-voltage converter for readout. Also calculate the SNR in the case of illumination with a plane-wave front of monochromatic light source of 1 mW/m2 of optical intensity. The following specifications are provided: r Detector noise spectral power: i 2 = 1.6 × 10−30 A2 /Hz; n,det

r r r r r

2 Input-referred equivalent noise spectral power of the readout: i n,det = 10−25 A2 /Hz; Detector responsivity R = 0.3 A/W; Trans-resistance Rf = 1 M; Noise bandwidth B = 100 Hz; Detector area AD = 10−2 cm2 .

References [1] Wolffenbuttel, R.F. (ed.) (1996). Silicon Sensors and Circuits: On-chip Compatibility, Chapman & Hall, London. [2] Simpson, M.L., Nance Ericson, M., Jellison, G.E., Dress, W.D., Wintenberg, A.L. and Bobrek, M. (1999). Application specific spectral response with CMOS compatible photodiodes, IEEE Transactions on Electron Devices, 46, 905–913. [3] Kruse, P.W. (1977). The photon detection process. In Topics in Applied Physics, Optical and Infrared Detectors, ed. R.J. Keyes, Springer, Berlin, Vol. 19. [4] Bube, R.L. (1992). Photoelectric Properties of Semiconductors, Cambridge University Press, New York. [5] Kingston, R.H. (1978). Detection of Optical and Infrared Radiation, Springer Series in Optical Sciences, Springer, Berlin, Vol. 10. [6] Philipp, H.R. and Taft, E.A. (1960). Optical constants of silicon in the region 1 to 10 eV, Physical Review, 120, 37–38.

120

Smart Sensor Systems

[7] Philipp, H.R. and Ehrenreich, H. (1963). Optical properties of semiconductors, Physical Review, 129, 1550–1560. [8] Barta, E. (1977). Optical constants of various heavily doped p and n-type silicon crystals obtained by Kramers–Kronig analysis, Infrared Physics, 17, 319–329. [9] Tacke, M. (1992). Principles of photo-electric conversion. In Sensors a Comprehensive Survey, Vol. 6, ed. G˝opel, W., Hesse, J. and Zemel, J.N., VCH, pp. 78–95. [10] Singh, J. (1995). Semiconductor Optoelectronics: Physics and Technology, McGraw-Hill, New York. [11] Wolffenbuttel, R.F. (2001). Silicon photodetectors with a selective spectral response. In Sensors Update, Vol. 9, ed. Baltes, H., Hesse, J. and Korvink, J., Wiley-VCH, pp. 69–101. [12] Born, M. and Wolf, E. (1975). Principles of Optics, 5th edn, Pergamon, New York. [13] Thelen, A. (1989). Design of Optical Interference Coatings, McGraw-Hill, New York. [14] MacLeod, H.A. (1986). Thin-film Optical Filters, Hilger. [15] Poenar, D.P. and Wolffenbuttel, R.F. (1997). Optical properties of thin-film silicon-compatible materials, Applied Optics, 36, 5122–5128. [16] www.tfcalc.com [17] www.thinfilmcenter.com [18] Rossberg, D. (1996). Optical properties of the integrated infrared sensor, Sensors and Actuators, A54, 793–797. [19] Ordal, M.A. (1983). Optical properties of the metals Al, Co, Cu, Au, Fe, Pb, Ni, Pd, Pt, Ag, Ti and W in the infrared and far infrared, Applied Optics, 22, 1099–1119. [20] Popovic, R.S., Solt, K., Falt, U. and Stoessel, Z. (1990). A silicon ultraviolet detector, Sensors and Actuators, A21–A23, 553–558. [21] Correia, J.H., de Graaf, G., Kong, S.-H., Bartek, M. and Wolffenbuttel, R.F. (2000). Single-chip CMOS optical micro-interferometer, Sensors and Actuators, A82, 191–197. [22] Chamberlain, S.G., Roulston, D.J. and Desai, S.P. (1978). Spectral response limitation mechanisms of a shallow junction n+ p photodiode, IEEE Transactions on Electron Devices, ED-25, 241–246. [23] Geist, J., Zalewski, E.F. and Schaefer, A.R. (1980). Spectral response self-calibration and interpolation of silicon photodiodes, Applied Optics, 19, 3795–3799. [24] Greame, J.G. (1995). Photodiode Amplifiers – OPAMP Solutions, McGraw-Hill, New York. [25] Pauchard, A., Besse, P.-A., Bartek, M., Wolffenbuttel, R.F. and Popovic, R.S. (2000). UV-selective avalanche photodiode, Sensors and Actuators, A82, 128–134. [26] de Graaf, G., Riedijk, F. and Wolffenbuttel, R.F. (1997). Color sensor system with a frequency output and an ISS or I2 C bust interface, Sensors and Actuators, A61, 441–445. [27] Correia, J.H., Bartek, M. and Wolffenbuttel, R.F. (2000). High-selectivity single-chip spectrometer in silicon for operation in the visible part of the spectrum, IEEE Transactions on Electron Devices, 47, 553–559. [28] Zimmermann, H. (2000). Integrated Silicon Opto-electronics, Springer, Berlin.

5 Physical Chemosensors Michael J. Vellekoop

5.1 Introduction Sensors that apply physical effects to determine chemical or biochemical measurands have generated significant interest in the past decade. In comparison to direct chemical sensors, where chemical-sensitive films are applied, physical chemosensors and biosensors have a simpler design and easier fabrication, a lower contamination sensitivity, and better aging properties. In this chapter, an introductory overview is given of the design and application of integrated physical chemosensors and biosensors. Several definitions of chemical sensors and biosensors can be found in the literature. The definition given by Schultz and Taylor [1] reads: ‘measurement devices which utilize chemical or biological reactions to detect and quantify a specific analyte or event’. Goepel and Schierbaum [2] say ‘chemical sensors are (miniaturized) devices which convert a chemical state into an electrical signal’. In a study by the National Materials Advisory Board [3], chemical sensors are defined as ‘devices or instruments that determine the detectable presence, concentration, or quantity of a given analyte’. Others as well add the term ‘real-time measurement’. Although these definitions seem similar, some distinct differences can be observed. The first one mentions the use of a chemical reaction, while the second definition talks about ‘a chemical state’. The third definition includes ‘instruments’, while the other two refer to ‘devices’. In any case, a (bio)chemical sensor contains different parts. The scheme of such a sensor is given in Figure 5.1. Molecules in a fluid (target analyte) adhere to a recognition site (the chemical or biochemical interface), which is located on a transducer. The interface consists of a selective, chemically-sensitive layer. The adherence causes a change that is converted into an electrical signal by the transducer. Interface electronics modify the transducer output into a proper electrical signal.

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 Michael Vellekoop. Printed by John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

Smart Sensor Systems

122

Figure 5.1 Schematic representation of a chemical sensor including a chemical interface

5.1.1 Thin-film Chemical Interfaces A vast number of different transducers for direct chemical sensing have been developed and are commercially available. Some examples are: transducers using surface plasmon resonance, surface acoustic waves, sheet resistance, capacitance, calorimetry, and the chemFET. To provide these transducers with a chemical sensing function, a chemical interface is applied on top of the transducer. This interface, which is normally a thin film of a chemically active material, must meet several specifications: it must be sensitive and selective, robust, stable, and contamination-resistant, it must have a long lifetime, and it should show low aging. In addition, the fabrication process must be reproducible and cost-effective. In practice, many interfaces have been tested for sensor applications in research institutions, but the commercialization of sensors that use a thin chemical interface is hampered because of these strict requirements [4–10]. Another disadvantage of direct chemical sensing is the fact that a new chemical interface needs to be developed for every application; it is seldom a generic technique. This makes a breakthrough to commercial products difficult to accomplish because of economic reasons; the production volumes are often too low to allow for sufficient research investment.

5.1.2 Total Analysis Systems In the early 1990s, a Swiss group initiated a new concept in the field of (bio)chemical analysis: the concept of micro total analysis systems or µTAS [11, 12]. They stated that the requirements posed on direct chemical sensors are so high, ‘that realization in the near future is hardly possible’ and suggested to combine measurement techniques from the field of analytical chemistry with miniaturization technology (microtechnology), in order to increase analysis speed and decrease the amount of sample needed for analysis. Fluid sampling, pumping, mixing, heating, selection, separation, reacting, detection, and data handling should all be done on one single chip, the so-called lab-on-a-chip. Since 1994, µTAS has been the topic of a symposium and it is acknowledged as a field of its own [see for example [13]. Meanwhile, it has become clear that, when the term total analysis system is taken too literally, the development of a commercial product is also not feasible. Integration of so many functions into one chip is, again, hardly possible. Minor parts that affect the performance of major parts produced in the same chip determine the overall quality of the system. Therefore, the integration of (bio)chemical sensors that use a biochemical interface into a µTAS device

Physical Chemosensors

123

Figure 5.2 Schematic diagram of a physical chemosensor lacking a chemical interface

might be a risk for the functioning of the whole system. Separate components of a µTAS have been developed into products by several companies. Examples can be found on the web.∗ Another approach towards fast chemical or biochemical sensing is the use of physical chemosensors. This will be explained in the next section.

5.2 Physical Chemosensing We define physical chemosensors as devices that determine chemical properties of a fluid by measuring physical properties of, or phenomena in, that fluid. A chemical or biochemical interface where adsorption or desorption of molecules takes place is not required. The schematic representation of a physical chemosensor is shown in Figure 5.2. The attractive aspect of this approach is the less complex design of the sensor, which could allow commercial devices to develop dramatically faster than direct chemical sensors [14–16]. It is interesting to see that according to the definition of Schultz and Taylor, these physical chemosensors would not be called chemical sensors because a chemical or biological reaction does not take place. However, the definitions of Goepel and Schierbaum, and of the NMA Board, comprise what we call physical chemosensors. Some physical chemosensors are selective while others are not. In the latter case, (physical) separation techniques can be applied to attain the required selectivity (Figure 5.3). Because of this pre-separation, the sensors used can be nonselective (for example, the thermal conductivity gauge applied in a gas chromatograph). These separators have evolved greatly because of the developments in the field of µTAS. Examples of on-chip separation methods are electrophoresis, chromatography (affinity or ion-exchange), mass spectrometry, centrifuge, dielectrophoresis, or microfilters. The advantage of the on-chip integration of such separation methods is that generally much less time is necessary to complete a separation. Whereas an hour or several hours are needed for the separation in classical separation methods, on-chip separators need only a few minutes or even less than a minute. This is possible because onchip devices require much smaller sample volumes. Analytical and separation techniques have become so much faster that they can now be applied in sensors. Another method to increase selectivity is the simultaneous measurement of several physical parameters, which yields a ‘fingerprint’ of the fluid and can be related to (bio)chemical



e.g. Affymetrix (www.affymetrix.com), Agilent (www.agilent.com), Caliper (caliperls.com), Cepheid (cepheid. com), C2V (microproducts.nl), Micralyne (micralyne.com), Nanogen (nanogen.com), Xensor Integration (xensor.nl), Lionix (Lionixbv.nl).

Smart Sensor Systems

124

Figure 5.3 Enhancing selectivity by applying pre-separation techniques

properties (Figure 5.4). An example that will be discussed below is the simultaneous measurement of engine oil permittivity and viscosity to determine oil degradation.

5.3 Energy Domains Physical chemosensors can utilize five different energy domains: optical, thermal, mechanical, magnetic, and electrical. A (nonexhaustive) list of physical properties and effects that are useful for physical chemosensing in the different domains is given in Table 5.1. Optical principles are often used thanks to the field of analytical chemistry, where optical devices have been applied successfully for a few decades already. The majority of optical sensors in (bio)chemical analysis can be found in absorption and fluorescence measurement, for example, in chromatography or capillary electrophoresis separation setups. Many optical sensors have been realized in silicon technology, the photodiode being the oldest and best known. Other examples are the spectrometer [17], color sensor [18], UV detector [19], and IR sensor [20]. Spectral selectivity can also be obtained by adding optical filters to a detector. A limiting factor for the integration of optical sensing systems is often not the sensor, but the light source, lenses, and filters. Wave-guiding structures and the evanescent-wave fluorescenceexcitation of chemicals are subjects of research in several groups [e.g. 21]. Examples that will be described below are commercial pulse-oximetry medical devices, infrared adsorption for oil-degradation monitoring, a biological cell-shape sensor using a diode array, and optical thin film filters in high throughput screening (HTS) devices.

Figure 5.4 Enhancing selectivity by applying multi-physical-parameter sensing

Physical Chemosensors

125

Table 5.1 Examples of physical chemosensors in different energy domains Energy domain

Physical property/ effect

Optical

Fluorescence Light absorption Optical projection

Device

Application

Photodiode, color sensor Biochemical analysis Photodiode, optical wave guide Oximetry, (bio)chem. analysis Photodiode array Cell selection, particle detection Spectrometry Fabry-Perot or IR spectrometer Biochemical analysis, gas analysis Thermal Thermal conductivity Thermal conductivity detector Gas-type, liquid composition, gas chromatograph Thermo-mechanical Pressure/displacement sensor Gas composition Heat capacity Temperature/pressure sensor Gas composition Mechanical Viscosity SAWs/ shear oscillating crystal Oil condition/Food industry Density Specific weight sensor Oil condition/Food industry Sound velocity Ultrasonic transducer Food industry, body fluids Sound reflection Ultrasonic transducer Vein inspection Condensation SAW resonator Gas analysis, humidity Mass Mass spectrometer Molecular analysis Magnetic Magnetic nanoparticles Magnetic biosensor Biological assays on target molecules Electrical Conductivity Conductivity sensor Biochemical analysis in CE Capacitance Capacitor Fluid condition, humidity Potential Voltage probe DNA analysis Electron capture Electron capture detector Gas analysis Dielectrophoresis DEP sorter Cell sorting, bead sorting (DEP) Impedance Single cell trap, Coulter counter Cell condition, cell type

Thermal effects for physical chemosensing are the thermo-mechanical principle (e.g. the thermal expansion coefficient of liquid mixtures) and heat capacity (amount of energy required to increase the temperature of a gas mixture at a fixed volume or pressure). However, the best-known and most widely used device is the thermal conductivity detector (TCD) for gas and liquid applications. In a portable gas chromatograph, the large heavy stainless steel parts have been replaced by small lightweight components. The thermal conductivity sensor consists of a heated microbeam that is provided with a temperature sensor (e.g. thermistors or thermopiles). If the concentrated gas packages that leave the separation column have a thermal conductance that differs from the carrier gas, the thermal resistivity between the microbeam and the ambient changes results in a temperature change of the microbeam [22]. The first example of an integrated system making use of such a device was the all-silicon gas chromatograph [23]. Many portable gas chromatographs contain such a TCD nowadays. Another application of the TCD is the measurement of CO2 or He concentration in air, which is possible because the thermal conductivity of CO2 (16.6 × 10−3 W/mK) and He (156 × 10−3 W/mK) deviates significantly from air (26.2 × 10−3 W/mK). By monitoring some of the mechanical parameters of a liquid mixture, such as the density, viscosity, and sound velocity, information about the composition is obtained. Surface

126

Smart Sensor Systems

acoustic wave devices have shown to be very useful for measuring these parameters in liquids. By applying different wave types which have different wave-polarizations, sensitivity to various liquid properties can be ‘designed’. Love-wave devices have been used for viscosity sensing [24] and Lamb-wave devices for viscosity, density, and sound velocity, which gives information about the composition of the liquid [25]. The presence of water in alcohol can be determined with a resolution of 10−4 volume percent [26]. For viscosity sensing, a temperature controlled QCR (quartz crystal resonator) has shown very interesting results [27]. In this study, a heater was integrated into one of the driving electrodes. In this way, measurements at different temperatures can be performed yielding extra information on the fluid. Another acoustic application is the imaging of intravascular plaques. Atherosclerotic plaques that rupture are a main cause of unstable angina pectoris and acute myocardial infarction. Early diagnosis can be achieved by intravascular acoustic imaging. An acoustic transducer (30 MHz) is placed at the tip of a catheter and, via the groin, is brought to the heart, to make an acoustic image of the plaque on the vascular wall [28]. Micro mass-spectrometers form a generic technique that will be applicable for a wide range of purposes. The drawback is the complexity of the system, which contains so many different parts [29]. Also, the application of the magnetic domain is possible, for example the use of magnetic beads in microchannels as a means to control flow rate or even valves [e.g. 30]. Philips Research has developed a biosensor that measures the magnetic field of magnetic nano-particles that bind to target molecules in a biological assay [31]. Electrical methods include the measurement of conductivity, capacitance, and potential. Renewed interest in conductivity detection in micro capillary electrophoresis (CE) devices has led to different detector configurations [32–34]. An example of a contactless four-electrode conductivity detector for CE devices is given in the next section. Cell analysis through impedance measurement and impedance spectroscopy is an up-andcoming field (e.g. Coulter counter). Capacitance measurements are applied to detect changes in dielectric properties, for example, in oil degradation monitoring or in food production.

5.4 Examples and Applications In this section, several examples and applications of physical chemosensors will be described. The first group of examples concern in situ applications in which the sensors are placed at the measurement location. They include: a blood oximeter connected to the human body, a thermal conductivity gauge for the measurement of gas concentrations, an engine-oil monitoring system using permittivity and viscosity sensors, an infrared sensor system for the determination of oil degradation, and an acoustic oscillator system applied as an electronic nose. The second group of examples are microfluidics devices, in which sample fluids are inserted into a microdevice (chip) for measurement, analysis, or monitoring. The manipulation of these fluids on the chip requires microfluidics design of the channels and sensors, which is described together with the fabrication technology of those devices. The examples given are: contactless conductivity detection in capillary electrophoresis devices, high speed screening arrays, a projection cytometer for detection of microparticles and cells, an on-chip Coulter counter, and dielectrophoresis-based particle sorters.

Physical Chemosensors

127

Figure 5.5 Absorption spectra of haemoglobin (Hb) and oxyhaemoglobin (HbO2 )

5.5 Examples of in situ Applications 5.5.1 Blood Oximeter A device has been developed that solely uses optical means to determine a patient’s blood oxygen content: the oximeter. It is now one of the most successful physical chemosensors in the medical field. The absorption spectra of haemoglobin (Hb) and oxyhaemoglobin (HbO2 ) differ (Figure 5.5), which makes it possible to measure the ratio of both concentrations in blood by measuring the absorption of light of two different wavelengths, e.g. 660 nm and 805 nm. Such a setup can consist of two separate systems, but a color sensor consisting of a single silicon chip can also be used [18, 35]. In pulse oximetry, the heart beat is monitored simultaneously. Several companies offer pulse oximeters, which are attached to a finger or the ear lobe and are applied widely in hospitals to monitor patients.†

5.5.2 Thermal Conductivity Detector The thermal properties of fluids can be used to analyze these fluids and fluid mixtures. In a thermal conductivity detector (TCD), a hotspot is kept at an elevated temperature by a supply of electrical power. A change in the thermal conductivity of the surrounding fluid – which can be a gas or a liquid – leads to a change in the thermal resistance between the hotspot and the ambient. The temperature of the hotspot changes accordingly. An integrated version of such a device is shown in Figure 5.6 [22]. A cantilever beam was etched free in a silicon substrate. The tip of the cantilever contains a resistive heater. The temperature difference between the tip and the heat sink can be measured by applying a thermopile. If a constant temperature is maintained for the cantilever tip, the power needed to attain this temperature is relative to the heat loss of the tip. Because of the thin cantilever, only a small amount of energy is needed to elevate the temperature. In addition, the thermal resistance of such a thin cantilever is high, †

e.g. www.oximeter.org.

Smart Sensor Systems

128

Figure 5.6 Silicon thermal conductivity detector using a thin cantilever beam [22]

+

P

Cflm

Gbeam

Ggas

Tflm

− Figure 5.7 Electrical analogue of a thermal conductivity detector [22]

which reduces thermal loss. The electrical analogue of the thermal conductivity detector is shown in Figure 5.7. A TCD for leak detection of hydrogen at fuel cells was developed by Bosch [36]. In order to simplify the technology, they did not apply a cantilever or bridge structure but a closed membrane. For the measurement of membrane temperature and ambient temperature, Pt structures were used. The detection limit of this sensor was 0.2 % hydrogen in air, which is sufficient for leakage detection in automotive or fuel-cell applications because the lower explosion limit amounts to 4 % hydrogen in the air. Xensor Integration has developed a universal TCD, which can be applied for several measurement problems.‡ An example of a medical application is the measurement of He concentration in the air, which is used to determine the lung capacity of patients. The measurement is possible because the thermal conductivity of He is six times higher than that of air. Helium traces in the air with values as low as 700 × 10−6 can be measured with these devices. In Table 5.2, the thermal conductivity of different gases is given. The TCD principle can also be applied for liquids. In [37], this is applied to determine the deterioration of mineral oils.



www.xensor.nl.

Physical Chemosensors

129

Table 5.2 Conductivity of different gases at room temperature Gas

κ (300 K) [W/mK]

Air N2 O2 CO2 H2 He

26.2 × 10−3 26.1 × 10−3 26.6 × 10−3 16.6 × 10−3 182 × 10−3 157 × 10−3

5.5.3 Engine Oil Monitoring System The deterioration of engine oil is affected by various parameters such as individual driver operating conditions, environmental conditions, oil quality, and fuel quality. The lifetime of the oil can range from a few thousand to 50 000 km, depending on the load being transported. For reliable determination of the optimum oil change interval, the condition of the oil can be monitored by a sensor system which measures physical oil parameters [38, 39]. It is shown that simultaneously measuring viscosity and permittivity gives insight into different causes of oil deterioration such as fuel dilution, water dilution, soot contamination, or oil oxidation (see Figure 5.8). The viscosity is measured by a microacoustic sensor: a quartz microbalance. The oil permittivity is measured capacitively. As the oil deteriorates, the measured viscosity increases because of the oxidation of the base oil, build-up of suspended insoluble matter, and dissolved resinous material (combustion residues). Since the permittivity of engine oil and fuel are similar, fuel dilution will only yield a viscosity change. Soot contamination (diesel engines) influences both viscosity and permittivity. Small amounts of water dilution have a significant influence on the permittivity. Interestingly enough, the microacoustic viscosity sensor is not influenced by the change in viscosity of the bulk fluid due to water dilution. This phenomenon is described in ref. [40].

Diesel Engines: Soot Contamination

Viscosity

Gasoline Engines: Oxidation

Water Dilution

Fuel Dilution Permittivity

Figure 5.8 Oil-condition monitoring by viscosity–permittivity measurements

Smart Sensor Systems

130

Fresh oil

Transmittance [%]

100

Aged oil

80 60 40

Reference 20 0 4000

Signal 3500

3000

2500

2000

1500

1000

–1

Wavenumber 1/λ [cm ]

Figure 5.9 FTIR Transmission spectrum of both fresh and artificially aged (8 days) engine oils and the transmittance of the optical filters used by the sensor to compare the absorption values between the oxidation band (1/λ = 1710 cm−1 ) and the reference band (1/λ = 1970 cm−1 )

5.5.4 Oil-condition Sensor Based on Infrared Measurements Fourier-transform infrared spectroscopy is a well-known method for the analysis of gas and liquid compositions. The IR absorption spectrum depends on the concentration of the molecules present in the sample. For online monitoring, FTIR spectroscopy instrumentation is too expensive and bulky. Therefore, sensor systems focusing on specific wavelengths need to be developed. An example is the monitoring of engine oil deterioration [20]. In this study, the absorption of engine oil at a fixed wavelength was investigated. Oxidation is a measurement for the aging and deterioration of oil; the characteristic adsorption wavelength for oxidized oil is 5.85 µm. In Figure 5.9 it can be seen how the transmission of aged oil decreases at 5.85 µm (1710 cm−1 ). As a reference, a second measurement was performed at 1970 cm−1 (5 µm), where the oxidation of the oil has no influence on the IR absorption.

5.5.5 Electronic Nose The topic of the electronic nose and tongue has attracted considerable attention. The mimicking of the human senses still appeals to the imagination. Several systems which use an array of chemical interfaces have shown the ability to distinguish between wines and French cheeses. The reproducibility of these systems has not been proven yet; they need regular calibration. An overview of different principles for electronic noses and a list of commercial suppliers can be found on the website of the Nose-network and Gospel network.1 Metal oxide sensors, conducting polymer sensors, and quartz crystal microbalance sensors with organic

1

http://www.nose-network.org/, http://www.gospel-network.org/

Physical Chemosensors

131

active films are mainly used. Mass spectrometry is another method available on the market, but these are still larger systems that need some analysis time. Another system which uses a surface acoustic wave resonator was presented in ref. [16]. A sample is fed to a fast gas chromatograph (GC). As the separated gases leave the GC column, they adsorb (and desorb) at the SAW resonator surface, which results in a resonator frequency shift. Because the device lacks chemical interfaces, it is very fast. The whole process, including separation, takes less than 10 s.

5.6 Microfluidics Devices Physical chemosensors and biosensors are also applied in on-chip analysis systems. For onchip analyses of liquids and gases, not only the sensors, but also the channels, heaters, valves, reaction chambers and other elements must be realized on the chip. The fluids are led to a certain location where they are mixed or heated or where they make contact with a specific sensor, etc. Integrated flow-cell systems where small amounts of fluids are manipulated are what we call microfluidics devices. In sheath-flow microfluidics devices, multiple flows are combined within a single channel. The sample flow contains the liquid of interest that needs to be analyzed. The second liquid (the sheath liquid) is the carrier liquid, which has the function of focusing the sample liquid and controlling the location of the sample liquid with respect to the sensor interface. A flowcell which generates a sample flow and allows adjustments of the effective aperture in two dimensions is shown in Figure 5.10 [41]. In this device, the sheath flow setup consists not only of a sample flow (that enters the chip through a hole in the silicon plate) and a transparent carrier flow (which, in Figure 5.10, enters the chip from the left), but also of two additional side ports through which carrier liquid can be added or removed from the main channel. In Figure 5.11, the three-dimensional setup of the flow cell is depicted. If liquid is added through the side ports, the width of the sample flow will be decreased; if liquid is removed, the width of the sample flow increases, as can be seen in the simulation in Figure 5.12. The height of the sample flow depends on the ratio of the injected sample flow and the carrier flow rates. Increasing the relative sample flow-rate results in a higher ‘penetration’ of the sample flow into the channel. In this flow system, the height and width of the sample flow can be controlled. Since the sample flow remains in contact with the bottom of the channel, we call this a noncoaxial sheath-flow setup. One of the applications of such noncoaxial fluidic devices is the analysis of particles and cells. In order to verify whether particles stay within the sample flow during their journey through the analysis part of the device, a test was performed where the sample flow was switched to a side channel. The polystyrene particles (diameter 20 µm) stay within the sample flow and do not enter the carrier flow, which confirmed the usefulness of this noncoaxial sheath fluidics for particle analysis. For the fabrication of microfluidics devices, different materials are available. Silicon technologies for sensor fabrication have become developed. Whether or not to use a silicon micro- (or nano-) system and whether or not to combine electronic circuitry and sensor devices either in a single chip or in a single package has been an interesting topic of discussion for a long time now [42]. The fact is that miniaturization of chemosensors and biosensors yields not only the known benefits of microsystems in general (small, reliable,

Smart Sensor Systems

132

Figure 5.10 Photographs of noncoaxial sample flows in a sheath-flow device using side ports for adding or removing carrier liquid from the main channel. The effect on the width of the sample flow is clearly visible. The channel width at the location of the electrodes amounts to 160 µm

Sheath inlet Focusing Horizontal section control port

Sample inlet Outlet

Figure 5.11 Noncoaxial sheath flow cell: this flow cell creates a noncoaxial sheath flow and allows controlling of the sample flow dimensions; here the control ports are used to widen the sample flow

Physical Chemosensors

133

Increase relative sample flow-rate

Remove liquid through control ports

Add liquid through control ports

Decrease relative sample flow-rate

Figure 5.12 Sample-flow width and height are controlled dynamically by adding or removing sheath liquid through the side ports

potential low-cost, IC-compatible) but also some field-specific ones such as high-throughput handling, simultaneous testing, and (quasi) real-time monitoring. Wafer-to-wafer bonding technologies have become highly significant because of the need for microchannels in which the analytes and carriers can be transported and manipulated. The channels etched in one wafer are capped by a second wafer using anodic bonding (e.g. glassto-silicon) or direct bonding (e.g. silicon-to-silicon, see photo in Figure 5.13). The anodic bonding is a less complex process yielding higher bond strengths and greater reliability. A glass-to-glass anodic bonding process (see Figure 5.14) [43, 44] is expected to find its way into many fluidic devices because of its simplicity, relatively low bonding temperature (350 to 400) ◦ C, high bond strength (20 MPa), and because of the possibility to integrate metal microelectrodes. A thin film of silicon or silicon nitride is deposited on one of the glass wafers after which anodic bonding of two glass wafers is possible.

Figure 5.13 Silicon-to-silicon bonded structure by direct bonding at a low temperature (120 ◦ C). The channel is 7 µm wide and 600 nm high

Smart Sensor Systems

134

Figure 5.14 Glass-to-glass bonded wafers using anodic bonding. The wafers are each 0.5 mm thick. The channel is 60 µm wide and 20 µm high

A wafer-bonding technology that has appeared in the recent past is SU-8 resist bonding. SU-8 is an epoxy available with different viscosities, which allows resist thicknesses of more than 500 µm [45]. Therefore, fluid channels can be directly defined in this layer, which means that channel etching in glass or silicon can be omitted. A wafer-bonding process with a high yield and reliable bonding over the whole wafer surface is described in [46]. In Figure 5.15,

metal

(a)

exposed SU-8 wafers are aligned

(b)

150°C wafers are bonded

Figure 5.15 SU-8 double-channel construction using an intermediate silver layer. After the patterning of SU-8 on both wafers and the deposition of a silver layer on the top wafer, alignment and bonding are performed

Physical Chemosensors

135

a cross-view of an SU-8 bonding structure is shown, where two channels are built on top of each other, separated by a silver film. Another important development for fluidic devices is the use of plastics [e.g. 13]. The advantages of plastics are the simple fabrication and the low production costs of such devices. A disadvantage is that the technology does not allow easy integration of functionality on the plastic chip (such as microelectrodes). Information on other parts of microsystems, such as pumps, channels, valves, reaction chambers, mixers, splitters, and electrophoresis channels, can also be found in other conference proceedings [47] and a vast amount of publications in several journals. In the following sections, examples of microfluidics devices for physical chemosensing will be described.

5.6.1 Projection Cytometer Cytometers are instruments used for particle analysis that are based on the optical properties of the particle. Different integrated sheath-flow chambers have been presented in the literature (the early ones in [48, 49]), but the optical sensor is often not located on the chip. By integrating the optical sensor, the measurement system becomes much smaller. On-chip optical analysis methods have been developed for measuring not only the size of microparticles but also the shape and optical properties. The integrated cytometer that is based on optical projection consists of an optically transparent flow channel with a light-source positioned above it. On the bottom of the flow channel, a one-dimensional strip-photodiode is placed perpendicular to the direction of the flow. When a particle passes the photodiodes, it partially blocks the light. A cross-section of the shadow is registered by the photodiodes. The channel width and height are typically 100 µm. A sheath flow assures that the particles flow close to the sensor (Figure 5.16) [50]. The optical sensors used consist of strip-shaped photodiodes. They have a junction of 50 µm wide and 2 µm long (Figure 5.17). They detect the projection of a passing particle, which allows a two-dimensional measurement of the particle shape. In the case of semitransparent particles, optical properties can be determined at the same time.

light beam

glass

particle photodiodes

silicon

Figure 5.16 Schematic diagram of the particle shape sensor

Smart Sensor Systems

136

Figure 5.17 The particle shadow (projection) is detected by the two strip-photodiodes

A lock-in amplifier was used to modulate (25 kHz) a laser source (532 nm wavelength) and to demodulate the signal being generated by the integrated photodiode. When a particle (or cell) passes the photosensitive area of the sensor, its optical properties are expected to change the signal. A digital storage oscilloscope was used to record the pulses that were generated in this way. Figure 5.18 gives the photodiode response to transparent and nontransparent polystyrene particles that flow through the channel. Interestingly, the transparent particles show an increase of photocurrent instead of a drop. This is due to the lens effect, as illustrated in Figure 5.19. The light is refracted inwards at the particle and when they leave the particle, the light rays are again refracted. It makes the optical system very promising for the detection and analysis of particles with different optical properties, such as cells. In Figure 5.20, a measurement series of yeast cells and of Chinese hamster ovary (CHO) cells are shown [51]. Because of the transparency of the cells, the photocurrent yields a positive peak. The reproducibility of this measurement series appears to be less high in comparison to the polystyrene

Sensor Readings Sensor Output (a.u.)

1 0.5 0 0

2

4

6

8

10 12 14 16 18 20

-0.5 -1 -1.5

Time (ms) Figure 5.18 The ten measurements printed on top of each other for 20 µm diameter silver-coated particles (left) and 24 µm diameter plain particles (right) demonstrate good repeatability

Physical Chemosensors

137

Incoming light

Incoming light

Projected light

Projected light

Figure 5.19 The different pulse shapes obtained with the silver-coated particle (left) and the plain, transparent particle (right) can be explained by their optical properties

beads. This is due to a larger size distribution of the cells and to the fact that cell surfaces are less smooth than polystyrene particles. The CHO cells have a larger diameter (about 15 µm) than the yeast cells (about 4 µm), therefore the peak response of CHO cells is higher. The measurement shows that different cells give a different optical response, which allows cell recognition. Photos of the optical chips are shown in Figure 5.21. The chip on the left contains a fluidic channel that was etched in the glass plate. Because of the isotropic etching process, the crosssection of the channel has a curved shape, which affects the optical performance. The chip on the right was made by SU-8 wafer bonding of the silicon and glass wafer. The channels were

sensor signal [a.u.]

1

0.5

0

−0.5

−1

0

10

20 time [ms]

30

40

Figure 5.20 Measurement series of yeast cells (left, diameter circa 4 µm) and CHO cells (right, diameter circa 15 µm). Since they are transparent, the cells yield a positive peak

Smart Sensor Systems

138

Figure 5.21 Cytometer chip (1.5 cm × 2 cm). Silicon-to-glass bonded structures (anodic bonding in the left chip, SU-8 bonding in the chip on the right)

defined in the SU-8 resist film resulting in a rectangular channel shape, which yields better optical properties.

5.6.2 Coulter Counter The Coulter counter is an instrument used for measuring particle-size distribution in medical and industrial applications. W.H. Coulters patent was granted in 1953 [52]. A conductive liquid in which the particles have been suspended is pumped through a small aperture. The electrical resistance over the aperture is monitored and, when a particle passes the aperture, an increase is measured in the resistance that is proportional to the volume of the particle. For an ideal homogeneous electrical field and a spherical particle, the change in resistance becomes [53]:       rp r  π Ach − rp2  − p  (5.1) R = 2ρl arctan   Ach π A 2 ch −r π

p

where ρl is the impedance of the sample liquid, rp is the radius of the particle, and Ach is the cross-sectional area of the aperture (channel). The formula shows that the ratio between particle size and the area of the aperture determines the sensitivity of the instrument. In the derivation of this formula it was assumed that the length of the Coulter aperture equals the diameter of the particle. In practice, the aperture length is typically larger, which reduces the observed change in impedance. In Figure 5.22, a schematic overview of the Coulter counter is shown. The integrated Coulter counter contains a flow-cell to generate a sheath flow that allows an adjustment of the effective aperture in two dimensions by tuning the flow rate of a conductive sample flow and a nonconductive sheath flow (see Figure 5.23). This approach has two advantages. Firstly, the compromise of the size of the Coulter aperture is circumvented, since the chance of clogging depends on the physical dimension of the channel, while the sensitivity is determined by the dimensions of the sample-liquid flow (effective aperture), which can be much smaller (to as little as a few percent of the channel width). This means that a high sensitivity can be achieved while keeping the chance of

Physical Chemosensors

139

27 26

33

28 30 31

24

h

25

32

20

20

21

23

22

Figure 5.22 Schematic overview of the Coulter counter from the original patent US 2,656,508 (1953) http://www.uspto.gov

clogging low (see Figure 5.24). The second advantage is that the dimensions of the aperture can be adapted to the size of different samples. The horizontal and vertical dimensions of the Coulter aperture are controlled by the external flow rates of the sample liquid and sheath flow. These control mechanisms allow the dimensions of the effective Coulter aperture to be adapted without the need to exchange any parts. Figure 5.25 shows the potential of the method: in a measurement series using 20 and 24 µm diameter particles, the measurements match the specification of the particles (Plain polystyrene microspheres, with a diameter of 24 µm ± 2 %, Polymer Laboratories, and silver-coated polystyrene microspheres, with a diameter of 20 µm ± 0.5 %, Microparticles GmbH.) The sample flow was a 5 % NaCl solution (7.8 S/m); the carrier liquid was deionized water [54].

Figure 5.23 Conductive sample liquid (dark) surrounded by nonconductive carrier liquid (transparent). In the smaller part of the channel the four Coulter counter electrodes have been realized

Smart Sensor Systems

140

Relative impedance change versus aperture size

Delta (R)/R (%)

50 40

With liquid aperture

30

Without liquid aperture

20 10 0 0

5000

10000

15000

20000

aperture dimensions (µm^2)

Figure 5.24 Theoretical relation between aperture dimensions and the relative change in impedance for a 25 µm spherical particle in a 160 µm × 100 µm channel and in a 40 µm diameter sample flow

5.6.3 Dielectrophoresis-based Devices Dielectrophoresis is the effect that a polarizable particle experiences a force when it is exposed to a nonuniform electric field [55]. For the description of the particle behavior in a fluidic channel, dielectrophoretic and hydrodynamic forces are taken into account. By using a dipole approximation for a polarized particle in an electric field and utilizing the so-called Stokes approximation for the hydrodynamic drag, the forces acting on a particle read: FDEP = 2π εl R 3 K ∇ E 02

(5.2)

FDRAG = 6π η R(vl − vp )

(5.3)

50 Measurements

Counts

40

Specification

30

20µm 20

24µm 10

0 0,18

0,3

0,42 0,54

0,66 0,78

0,9

1,02

Sensor signal (a.u.)

Figure 5.25 Histogram of 50 measurements with particles of 20 µm diameter (left) and 24 µm (right); the solid line represents the supplier’s specification of the particles

Physical Chemosensors

141

where K = (εp − εl )/(εp + 2εl ) is the so-called Clausius–Mossotti factor and εl and εp are the permittivities of the liquid and of the particles, respectively. R is the particle radius, E0 is the local electric field, η is the viscosity of the liquid, and ν l − ν p is the difference of liquid and particle velocity. The equilibrium between these expressions and the buoyancy force are used in a model to determine the trajectory of a particle in a channel of given electric and flow field distribution.

DEP separator One method to separate different particles in the flow is to apply dielectrophoretic (DEP) forces by introducing a set of periodical electrodes at the top and bottom of the flow channel. Polystyrene particles in a watery environment experience a repelling force (negative DEP) from the electrodes of the periodic array, which causes the particles to move up and down as they are moved through the channel by hydrodynamic drag forces [56]. Since the DEP effect strongly depends on the diameter of the particles, the amplitude of the vertical displacement is a function of the particle diameter as well. Because of the parabolic velocity profile of the pressure-driven flow, larger particles (for which the amplitude of the vertical displacement is larger) have a lower average transit velocity. This results in longer retention times for larger particles (see Figure 5.26). This device does not use gravity as a parameter for separation. For characterization of the device, deionized water containing polystyrene test particles was continuously pumped through the device using syringe pumps. A DEP trap structure inside the chip periodically released particles into the channel and the retention time was measured by using a stopwatch. With two different frequency generators, the trap structure and the separation electrodes were supplied at 1 MHz and voltages between 5 V (for separation) and 12 V (for trapping) RMS. Figure 5.26 depicts the separation of differently sized particles using the in-channel DEP array. A photo of the 15 × 20 mm2 chip is shown in Figure 5.27. A measurement series of the separation of 8 µm and 15 µm diameter polystyrene particles is shown in Figure 5.28. The difference in retention time is about 2 s (8.5 s for the smaller particles, 10.5 s for the larger particles.)

DEP sorter Several integrated particle sorters that are based on different actuation principles have been presented in the literature. Thermal actuators can be used to locally heat a channel and cause an increase (based on a sol–gel process [57]) or decrease [58] in viscosity of the liquid in parabolic flow profile

vf

_

Particles

Particle trajectories

_

Channel

_

Figure 5.26 Drawing of the DEP separator flow channel with DEP electrodes on the top and bottom of the channel

142

Smart Sensor Systems

Figure 5.27 The DEP separator chip: glass–glass structure bonded by an SU-8 layer

one of the branches of a channel junction; this controls the flow distribution between the two branches. Magnetic actuation has been applied for the sorting of particles, where a strong magnetic field pulls the magnetic [59] or magnetically labeled [60] particles into the desired output channel. Sorters based on mechanic actuation apply either internal [61] or external valves [e.g. 62] to switch the flow to the desired channel branch. Electric actuation has also been extensively used to sort particles [e.g. 63]. A more recent development are optical tweezers [64]. The sorting of microparticles can also be obtained by applying dielectrophoresis. It is attractive for application in microfluidics devices because it requires no moving parts, labeling, or high voltages. The actuators consist of simple electrodes that are fabricated in the flow channel. These electrodes can generate strong field gradients, which is enough to move particles that flow-by in the channel. Different types of DEP particle sorting can be distinguished. The two-dimensional spatial separation of binary mixtures of particles has been demonstrated for several types of particles [e.g. 65–67], even for particles in the sub-micron range. A sorter

Figure 5.28 Retention time measurements of 8 and 15 µm diameter polystyrene particles

Physical Chemosensors

143

Figure 5.29 Photograph of the sorter chip (15 mm × 20 mm)

based on the temporary trapping of particles is presented in [68] and several flow-through DEP sorters have been published [e.g. 69, 70]. Flow-through particle sorters are shown in Figure 5.29 and in Figure 5.30. This sorter makes use of triangle-shaped electrodes located on the top and bottom of the channel through which the particles flow. At the edges of the electrodes, the electrical field between these electrodes is nonuniform and, in the case of negative dielectrophoresis, repels the particles, which results in a movement of the particles sideways. By placing such focusing electrode structures in series, the sorting of particles to a certain branch can be accomplished [71]. On the left side of Figure 5.30 three outlet branches are visible. The design aspects of such devices are electrode geometry (line electrodes, triangle electrodes), location of the electrodes (top and bottom versus bottom only), Joule heating that might occur in the liquid due to the applied voltages at the electrodes, and maximum flow speed. With the sorter shown, polystyrene particles (24 µm diameter) were sorted by a switching voltage on the electrodes of a few volts up to 9V (ac, 1MHz). The maximum flow speed was 8 mm/s in a channel 1mm wide and 70 µm high.

Figure 5.30 Detailed photo of the electrodes of a DEP sorter chip. The channel is 1mm wide and 70 µm high. The sorted polystyrene particles have a diameter of about 20 µm

Smart Sensor Systems

144

Figure 5.31 Silicon high-throughput screening device 1 cm × 2 cm

5.6.4 High-throughput Screening Arrays A fascinating development is the application of combinatorial chemistry, which uses small reactor arrays made in chips called high-throughput screening (HTS) arrays. They allow a large number of tests to be conducted in a very short time. The sample volumes can be as small as 1nl, a factor 106 smaller compared to the widely used 8 × 12 titer plates in which the individual reaction chambers have a volume of about 1ml. The chip permits functional integration in every reactor. In addition to drug screening and DNA research, those HSS devices can be applied in enzymatic analysis and clinical chemistry. A silicon device containing a 5 × 5 array is shown in Figure 5.31. The typical size of a well implemented in silicon is 200 µm × 200 µm × 25 µm, which represents a volume of only 1nl. To read out the optical activity in the wells (fluorescence), a CCD camera is usually placed above the array chip [72]. On the chip, instead of a camera, integrated optical sensors can be integrated in each well. A photodiode provided with a thin-film optical filter of crystalline silicon (Figure 5.32) shows a very high visible-over-UV selectivity [73] (Figure 5.33), which allows analysis of the enzymatic activity by means of NADH (nicotinamide adenine dinucleotide) detection: XH2 + NAD+ → X + NADH + H+

UV light source

Analyte

Photodiode

Liquid volume detector

Figure 5.32 Cross-view of a nanoliter well provided with an integrated photodiode and a crystalline silicon film as UV filter

Physical Chemosensors

Transmittance [dB]

145

0 -5 -10 Simulated results -15 -20 -25 Measurements: -30 'small' grains PolySi -35 'large' grains PolySi -40 350 400 450 500 550 600 650 700 Wavelength [nm]

Figure 5.33 Transmittance of a polysilicon film grown on glass compared to simulations

Many dehydrogenase enzymes can use the coenzyme NADH to transfer hydrogen groups between molecules. NADH emits at 450 nm when it is excited with UV (340 nm). The UV radiation is absorbed in the crystalline silicon film, where the visible light at 450nm is transmitted and detected by the integrated photodiode. A measurement of NADH concentration through fluorescence detection is shown in Figure 5.34.

5.6.5 Contactless Conductivity Detection in CE In capillary electrophoresis systems, a sample is brought into a channel, and by applying a high electric voltage over the liquid in the channel, an electroendoosmotic flow is initiated. At the same time, separation of the ions takes place. As a result, each ion has its typical retention time, which depends on its mobility. For detection laser-induced fluorescence (LIF) is often used. Measurement of the liquid electrical conductivity seems obvious because the separation method applied is based on the electrical behavior of the sample components. For many

Photodiode current [nA]

250 200 150 100 50 0 0

2 4 6 Concentration (NADH molecules) [mMol/l]

8

Figure 5.34 Photodiode current vs NADH concentration

Smart Sensor Systems

146

Figure 5.35 Contactless conductivity detector (insert) in a glass CE device

years, conductivity detection appeared problematic and inaccurate compared to fluorescent detection. Renewed interest in conductivity detection (labeling can be omitted and the conductivity detector can be made much smaller than the current optical measurement systems) has led to the design of a new integrated contactless four-electrode detector with interesting features [74]. The detector has a linear response for a large frequency range and a detection limit of about 20 µM. In Figure 5.35, a photo of a glass-implemented CE device containing an integrated conductivity detector is shown. In Figure 5.36, a measurement series is depicted showing the separation and detection of six different acids (1mM each), obtained with the device in Figure 5.35.

5.7 Conclusions In general, it can be stated that the development of physical chemosensors is less complex than the development of direct chemical sensors. This is attributed to the fact that the manufacturing of a chemical interface can be omitted and that a chemical reaction at the transducer is not required. The sacrifice could be a lower selectivity and a lesser detection limit. However, in many of the measurement problems in the chemical or biochemical field, a very 0.040

1

Output signal [a.u.]

0.035 0.030

2

3

6

0.025 4

0.020

5

0.015 0.010 0.005 0.000 60

80

100

120

140

160

Time [s]

Figure 5.36 Separation of (1) fumaric, (2) citric, (3) succinic, (4) pyruvic, (5) acetic, and (6) lactic acid (1mM each). Applied separation field 250 V/cm

Physical Chemosensors

147

low detection limit is not needed. Sample pre-separation or multiparameter sensing are practical methods to improve selectivity. If physical measurements can yield the required information, the development of a physical chemosensor or a physical biosensor should be considered. For many chemical and biochemical sensors, microfluidics cells have become a necessity. These cells can be on-chip integrated and can allow the manipulation and control of the different fluids and flows. The development of different technologies for microfluidics devices such as glass, silicon, plastics, and SU-8, is still ongoing. The costs and integration of functionality are the main considerations in deciding which technology to use for a certain application. The further development of physical-chemosensor systems and their appliance in new fields will be the challenge for the years to come.

Problems 5.1 In Section 5.6 the use of a noncoaxial sheath flow device was discussed. How would you extend the design of this microfluidics device in order to obtain a sample flow which is coaxially surrounded by carrier flow? 5.2 (a) In an integrated Coulter counter an adaptive noncoaxial sample flow is used to adjust the sensitivity to the particle size that is being measured. The sample flow diameter is set to 50 µm diameter. Calculate, using Equation (5.1), the change in resistance caused by spherical particles that are present in the sample flow, for particles of 5 µm to 40 µm diameter in steps of 1 µm. Plot the results in a figure showing the change in resistance versus the particle diameter. What can you say about the sensitivity of the system for the different particle diameters? (b) What is the dimension of the resistivity of the liquid in Equation (5.1)?

References [1] Taylor, R.F. and Schultz, J.S. (eds) (1996). Handbook of Chemical and Biological Sensors, IOP, Bristol, p. 1. [2] Goepel, W., Hesse, J. and Zemel, J.N. (eds) (1991). Sensors, a Comprehensive Survey, Chemical and Biochemical Sensors, Part I, VCH, p. 2. [3] Expanding the Vision of Sensor Materials (1995). National Academy Press, Washington, DC. [4] Moseley, P.T. and Tofield, B.C. (eds) (1987). Solid State Gas Sensors, Adam Hilger, Bristol, p. 234. [5] Lambrechts, M. and Sansen, W. (eds) (1992). Biosensors: Microelectrochemical Devices, IOP, Bristol, p. 280. [6] Taylor, R.F. and Schultz, J.S.. (eds) (1996). Handbook of Chemical and Biological Sensors, IOP, Bristol, pp. 555-563 and pp. 573-577. [7] Spichiger-Keller, U.E. (1998). Chemical Sensors and Biosensors for Medical and Biological Applications, Wiley-VCH, New York, pp. 6–13. [8] Diamond, D. (ed.) (1998). Principles of Chemical and Biological Sensors, Wiley, New York, p. 8. [9] Ramsay, G. (ed.) (1998). Commercial Biosensors, Wiley, New York, p. 41. [10] Sonnleitner, B. (ed.) (1999). Advances in Biochemical Engineering Biotechnology, Springer, Berlin, p. 54. [11] Graber, N., Luedi, H. and Widmer, H.M. (1990). The use of chemical sensors in industry. In S&A B1, pp. 239–243. [12] Manz, A. Graber, N. and Widmer, H.M. (1990). Miniaturized total chemical analysis systems: a novel concept for chemical sensing. In S&A B1, pp. 244–248. [13] Micro total analysis systems (2005). In Proc. µTAS 2005 Symp. Boston, USA, eds. Jensen, K.F., Han, J., Harrison, D.J. and Voldman, J., TRF. [14] Vellekoop, M.J. (2001). The emergence of physical chemosensors and biosensors. In Proc. Transducers 01, Munich, Germany.

148

Smart Sensor Systems

[15] Jakoby, B. (2000). Microacoustic sensors for automotive applications. In Proc. 2000 IEEE Ultrasonics Symp., San Juan, Puerto Rico. [16] Staples, E.J. (1999). The zNosetm, a new electronic nose using acoustic technology. In Proc. 1999 IEEE Ultrasonics Symp., Lake Tahoe, USA, pp. 417–423. [17] Kwa, T.A. (1995). Integrated silicon spectrometer, Ph.D. Thesis, Delft University Press. [18] Wolffenbuttel, R.F. and de Graaf, G. (1990). Performance of an integrated silicon colour sensor with a digital output in terms of response to colours in the colour triangle. In S&A A21–23, pp. 574–580. [19] Popovic, R.S., Solt, K. Falk, U. and Stoessel, Z. (1990). A silicon ultraviolet detector. In S&A A21-A23, pp. 553–558. ¨ [20] Agoston, A., Otsch, C., Zhuravleva, J. and Jakoby, B. (2004). An IR-absorption sensor system for the determination of engine oil deterioration. In Proc. IEEE Sensors Conference 2004, Vienna, pp. 463–466. [21] Simpson, T.R.E., Revell, D.J., Cook, M.J. and Russell, D.A. (1997). Evanescent wave excited fluorescence from self-assembled phthalocyanine monolayers, Langmuir, 13, 460–464. [22] Meijer, G.C.M. and van Herwaarden, A.W. (1994). Thermal Sensors, IOP, Bristol. [23] Terry, S.C., Jerman, J.H. and Angell, J.B. (1979). A gas chromatograph air analyzer fabricated on a silicon wafer, IEEE Transactions on Electron Devices, ED-26, 1880. [24] Jakoby, B. and Vellekoop, M.J. (1998). Viscosity sensing using a Love-wave device. In S&A A 68, pp. 275–281. [25] Ballantine, D.S., White, R.M., Martin, S.J., Ricco, A.J., Zellers, E.T., Frye, G.C. and Wohltjen, H. (1997). Acoustic Wave Sensors, Theory, Design, and Physico-chemical Applications, Academic Press, New York. [26] Vellekoop, M.J., Lubking, G.W. Sarro, P.M. and Venema, A. (1994). Integrated-circuit-compatible design and technology of acoustic-wave based microsensors. In S&A A44, pp. 249–263. [27] Jakoby, B., Klinger, F.P. and Svasek, P. (2005). A novel microacoustic viscosity sensor providing integrated sample temperature control, Sensors and Actuators A: Physical, 123–124, 274–280. [28] de Korte, C.L., Pasterkamp, G., van der Steen, A.F.W. and Woutman, H.A. (1999). Characterisation of plaque components with IVUS elastography. In Proc. 1999 IEEE Ultrasonics Symposium, Lake Tahoe, USA, pp. 1645–1648. [29] Henry, C.M. (1999). The incredible shrinking mass spectrometers, Analytical Chemistry News & Features, April 1, pp. 264A–268A. [30] Zeuner, A., Richter, R. and Rehberg, I. (1998). Experiments on negative and positive magnetoviscosity in an alternating magnetic field, Physical Review E, 58, 6287–6293. [31] Janssen, X.J.A., van IJzendoorn, L.J. and Prins, M.W.J. (2008). On-chip manipulation and detection of magnetic particles for functional biosensors, Biosensors and Bioelectronics 23, 833. [32] Laugere, F., Lubking, G.W., Berthold, A., Bastemeijer, J. and Vellekoop, M.J. (1999). A novel high-resolution liquid-conductivity detector. In Proc Eurosensors XIII, The Hague, The Netherlands, pp. 211–214. [33] Weber, G., Joenck, M., Siepe, D., Neyer, A. and Hergenroeder, R. (2000). CE with direct and contactless conductivity detection on a polymer microchip. In Proc. µTAS 2000 Symp., The Netherlands, eds. van den Berg, A., Olthuis, W. and Bergveld, P., Kluwer, Dordrecht, pp. 383–386. [34] Solinova, V. and Kasicka, V. (2006). Recent applications of conductivity detection in capillary and chip electrophoresis, Review, Journal of Separation Science, 29, 1743–1762. [35] Goosen, J.F.L., French, P.J. and Sarro, P.M. (2000). Pressure, flow and oxygen saturation sensors on one chip for use in catheters. In Proc. IEEE 13th Annual International Conference on MEMS, Japan, pp. 537–540. [36] Simon, I. and Arndt, M. (2002). Thermal and gas-sensing properties of a micromachined thermal conductivity sensor for the detection of hydrogen in automotive applications, Sensors and Actuators A, 97–98, 104–108. [37] Kuntner, J., Chabicovsky, R. and Jakoby, B. (2005). Sensing the thermal conductivity of deteriorated mineral oils using a hot-film microsensor, Sensors and Actuators A, 123–124, 397–402. [38] Bertrand, P., Scherer, M., Hilberath, T. and Jakoby, B. (2004). Oil condition monitoring sensor for diesel engines. In Proceedings of the International Congress The Diesel Engine: Today and Tomorrow, May 2004 R2004-05-04. [39] Jakoby, B. and Hermann, F. (2003). Chemical sensors for liquid media. In Sensors Applications, Vol. 4: Sensors for Automotive Applications, eds. Marek, J., Trah, H.-P., Suzuki, Y. and Yokomori, I., Wiley-VCH, New York, pp. 516–527. [40] Jakoby, B. and Vellekoop, M.J. (2004). Physical sensors for water-in-oil emulsions, Sensors and Actuators A, 110, 28–32. [41] Nieuwenhuis, J.H., Bastemeijer, J., Sarro, P.M. and Vellekoop, M.J. (2003). Integrated flow-cells for novel adjustable sheath flows, Lab Chip, 3, 56–61.

Physical Chemosensors

149

[42] Wolffenbuttel, R.F.. (ed.) (1996). Silicon Sensors and Circuits: On-chip Compatibility, Chapman & Hall, London. [43] Strato, H.R.C. (1994). Glass technology, recess etching, electrode fabrication and anodic bonding, Thesis report, TU Delft, Electronic Instrumentation Lab, A94-09. [44] Berthold, A., Nicola, L., Sarro, P. and Vellekoop, M. (2000). Glass-to-glass anodic bonding with standard IC technology thin films as intermediate layers, Sensors and Actuators, A82, 224–228. [45] Nguyen, N.-T. and Wereley, S.T. (2002). Fundamentals and Applications of Microfluidics, Artech House, Boston, MA, pp. 99–103. [46] Svasek, P., Svasek, E., Lendl, B. and Vellekoop, M.J. (2004). Fabrication of miniaturized fluidic devices using SU-8 based lithography and low temperature wafer bonding, Sensors and Actuators A, 115, 591–599. [47] Proc. µTAS workshops, Proc. IEEE Sensors Conferences, Proc. Transducers Conferences, Proc. Eurosensors Conferences. [48] Miyake, R., Ohki, H., Yamazaki, I. and Yabe, R. (1991). A development of micro sheath flow chamber. In Proc. MEMS ‘91, pp. 265–270. [49] Sobek, D., Young, A.M., Gray, M.L. and Senturia, S.D. (1993). A microfabricated flow chamber for optical measurements in fluids. In Proc. MEMS ‘93, pp. 219–224. [50] Nieuwenhuis, J.H., Bastemeijer, J., Bossche, A. and Vellekoop, M.J. (2003). Near-field optical particle-shape sensors for application in an integrated cytometer, IEEE Sensors Journal, 3, 646–651. [51] Kostner, S. and Vellekoop, M.J. (2006). Optical detection of different biological cells in an integrated projection cytometer. In Proc. IEEE Sensors Conference, Daegu, South Korea. [52] Coulter, W.H. (1953). Means for counting particles suspended in fluids, US Patent 2,656,508. [53] Koch, M., Evans, A. and Brunnschweiler, A. (2000). Microfluidic Technology and Applications, 1st edn, Baldock: Research Studies Press. [54] Nieuwenhuis, J.H. (2005). Microsystems for particle analysis, PhD thesis, ISBN 3-85465-014-0. [55] Jones, T.B. (1995). Electromechanics of Particles, Cambridge University Press, Cambridge. [56] Kostner, S., Nieuwenhuis, J.H., Svasek, E., Svasek, P., Jachimowicz, A. and Vellekoop, M.J. (2004. Continuous particle separator based on periodical DEP elements. In Proc. microTAS 2004, Malm¨o, Sweden, pp. 9–11. [57] Tashiro, K., Ikeda, S., Sekiguchi, T., Shoji, S., Makazu, H., Funatsu, T. and Tsukita, S. (2001). A particles and biomolecules sorting micro flow system using thermal gelation of methyl cellulose solution. Presented at MicroTAS, Monterey, CA. [58] Schulz, T., Poser, S., Ermantraut, E., McCaskill, J., Mathis, H. and K¨ohler, J.M. (1998). Miniaturized electrocaloric flow controller for analyte multiplexing and cell/particle sorting. Presented at MicroTAS, Banff, Alberta, Canada. [59] Chronis, N., Lam, W. and Lee, L. (2001). A microfabricated bio-magnetic separator based on continuous hydrodynamic parallel flow. Presented at MicroTAS, Monterey, CA. [60] Telleman, P., Larsen, U.D., Philip, J., Blankenstein, G. and Wolff, A. (1998). Cell sorting in microfluidic systems. Presented at MicroTAS, Banff, Alberta, Canada. [61] Fu, A., Spence, C., Scherer, A., Arnold, F. and Quake, S. (1999). A microfabricated fluorescence-activated cell sorter, Nature Biotechnology, 17, 1109–1111. [62] Blankenstein, G. and Larsen, U.D. (1998). Modular concept of a laboratory on a chip for chemical and biochemical analysis, Biosensors & Bioelectronics, 13, 427–438. [63] Schnelle, T., M¨uller, T., Gradl, G., Shirley, S.G. and Fuhr, G. (1999). Paired microelectrode system: dielectrophoretic particle sorting and force calibration, Journal of Electrostatics, 47, 121–132. [64] Hirano, K. and Baba, Y. (2002). Optical recovery of particles on a chip toward cell sorting and bead-bed detection. Presented at MicroTAS, Nara, Japan. [65] Morgan, H., Hughes, M.P. and Green, N.G. (1999). Separation of submicron bioparticles by dielectrophoresis, Biophysical Journal, 77, 516–525. [66] Cui, L., Holmes, D. and Morgan, H. (2001). The dielectrophoretic levitation and separation of latex beads in microchips, Electrophoresis, 22, 3893–3901. [67] Li, H. and Bashir, R. (2002). Dielectrophoretic separation and manipulation of live and heat-treated cells of Listeria on microfabricated devices with interdigitated electrodes, Sensors and Actuators B, 86, 215–221. [68] Voldman, J., Gray, M.L., Toner, M. and Schmidt, M.A. (2002). A microfabrication-based dynamic array cytometer, Analytical Chemistry, 74, 3984–3990. [69] Fiedler, S., Shirley, S., Schnelle, T. and Fuhr, G. (1998). Dielectrophoretic sorting of particles and cells in a microsystem, Analytical Chemistry, 70, 1909–1915.

150

Smart Sensor Systems

[70] Holmes, D., Green, N.G. and Morgan, H. (2003). Microdevices for dielectrophoretic flow-through cell separation, IEEE Engineering in Medicine and Biology Magazine, 22, 85–90. [71] Nieuwenhuis, J.H. and Vellekoop, M.J. (2005). Optimisation of microfluidic particle sorters based on dielectrophoresis, IEEE Sensors Journal, 5, 810–816. [72] van Den Doel, L., Vellekoop, M., Sarro, P., Picioreanu, S., Moerman, R., Frank, H., van Dedem, G., Hjelt, K., Young, I. (1998). Fluorescence detection in (sub)-nano liter microarrays. In Proc. ASCI ‘98, Lommel, Belgium, pp. 58–62. [73] Iordanov, V.P., Lubking, G.W., Ishihara, R., Wolffenbuttel, R.F., Sarro, P.M. and Vellekoop, M.J. (2001). Si based thin-film filter with high visible-over-UV selectivity for biochemical fluorescence analysis. In Proc. Transducers ‘01, Munich, Germany. [74] Laugere, F., Berthold, A., Lubking, G.W., Bastemeijer, J., Guijt, R.M., Baltussen, E., Sarro, P.M. and Vellekoop, M.J. (2001). Experimental verification of an improved method for conductivity detection in on-chip capillary electrophoresis systems. In Proc. Transducers ‘01, Munich, Germany.

6 Thermal Sensors Sander (A.W.) van Herwaarden

6.1 The Functional Principle of Thermal Sensors In this chapter we describe thermal sensors based on silicon and related technologies, having an electrical output signal. Their input signal can belong to any of the six signal domains defined by Middelhoek and Audet [1], i.e., the mechanical, magnetic, chemical, radiant, thermal and electrical signal domains. In thermal sensors, the input signal is transduced into the output signal in two steps: first, the input signal is transduced into a thermal signal, which is then transduced into the electrical output signal. After reviewing the two types of thermal sensors in the sense of transduction types, we will describe the physics of heat transfer mechanisms, in many cases the first transduction step. Then we will discuss temperature-difference sensing elements, with the emphasis on thermopiles, since transistors are discussed in Chapter 7. Finally, the various thermal sensors are discussed with some examples and applications. A more extensive treatment of this subject can be found, for instance, in ref. [2].

6.1.1 Self-generating Thermal-power Sensors An important distinction in thermal sensors is that between thermal-power sensors and thermal-conductance sensors. In thermal-power sensors, the input signal measured by the sensor generates thermal power in the sensor. The thermal power is also used to generate the electrical power for the sensor’s output signal. Because of this, the sensor is called selfgenerating and cannot have an output signal if the input signal is zero. In other words, these sensors have no offset and need no biasing. Their operation takes place in three steps. (1) The nonthermal signal C (any unit) is transduced into the thermal signal heat P (in watts), by means of a sensor-specific transduction action with transduction factor Q (in W/any-unit). P = QC (6.1) Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 Sander van Herwaarden. Printed by John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

Smart Sensor Systems

152

(2) The heat P is converted into the thermal signal temperature difference T (in kelvins), by means of thermal resistance R (in K/W). T = R P

(6.2)

(3) The temperature difference T is transduced into an electrical voltage U (in volts), by means of a temperature-difference sensor with a transfer factor S (in V/K). U = ST

(6.3)

In total, the transfer U/C (in V/any-unit) of a thermal-power sensor is described by U/C = QRS

(6.4)

The psychrometer forms an exception to this three-step operation; in this sensor the nonthermal signal (relative humidity) is transduced directly into a temperature difference.

6.1.2 Modulating Thermal-conductance Sensors In thermal-conductance sensors, the input signal C influences or modulates the thermal conductance G between the sensitive area of the sensor and the ambient. To measure the thermal conductance, the sensor is biased with a heating power P. The signal in these (so-called modulating) sensors is transferred as follows. (1) The nonthermal signal C is transduced into the thermal signal conductance G (in W/K), by means of a sensor-specific transduction action Q (in W/K-any-unit), with Go (in W/K) as the offset of the sensor. G = QC + G o

(6.5)

(2) The conductance G is converted into a temperature difference T, by means of the thermal heat P. T = P/G

(6.6)

(3) The temperature difference T is transduced into an electrical voltage U, by means of a temperature-difference sensor with a transfer factor S. U = ST

(6.7)

For thermal-conductance sensors, the total transfer can no longer be written in a multiplicative form; instead we find U = P S/(QC + G o )

(6.8)

This exposes the offset-containing character of modulating sensors. As for step (3), in some thermal-conductance sensors, the electrical signal has another form, for instance a current or resistance value, depending on the type of temperature-difference sensor used.

Thermal Sensors

153

6.2 Heat Transfer Mechanisms In most thermal-power sensors, the heat is generated in or on the sensor itself, and the nonthermal signal is transduced into the thermal signal in or on the sensor itself. In some sensors, the transport of the nonthermal power to the sensor involves heat transfer mechanisms. In thermal-conductance sensors, on the other hand, the conductance is influenced by the nonthermal signal in the ambient directly around the sensor, not in or on the sensor itself. Here, heat transfer mechanisms are always essential to the operation of the sensor. There are four heat transfer mechanisms [3]:

r r r r

Conduction; Convection; Radiation; Phase transition.

Conduction Conduction is always present, but in thermal-conductance sensors, the first transduction step can be based on it, through the thermal conduction Gcond between the active area of the sensor and the ambient. The thermal conductance between two parallel surfaces of area A at distance D can be described by G cond = κ A/D

(6.9)

where κ is the thermal conductivity of the medium present between the surfaces. The sensor action may be based on the effect of the physical signal on the thermal conductance via modulation of:

r The thermal conductivity κ, which depends on pressure and fluid type. Pressure dependence is used in vacuum sensors, such as the Pirani gauge. Fluid-type dependence is used in thermal conductivity and overflow sensors. r The surface distance D. This property is used in thermal accelerometers, r All three parameters κ, D and A, as applied in the thermal properties sensor.

Convection Convection, the second mechanism for thermal-conductance sensors, is heat transfer to moving fluids, as in flow sensors. Usually in other sensors than these convection is not relevant. Although convection adds to the thermal conduction away from the active area, the physical principle is different from conduction, since the heat is not passed on by stationary molecules from neighbor-to-neighbor, but carried away by a continuously refreshed supply of molecules flowing past. In the simplest formula for laminar flow over a flat plate, the thermal conductance G ′′Conv per square meter is described by 1

1

G ′′conv ≈ 0.664Pr 3 Re 2

κ L

(6.10)

154

Smart Sensor Systems

where L is a characteristic length, for instance the length of a sensor, Pr is the Prandtl number that is a temperature-dependent material constant, and Re is the Reynolds number, which depends upon the flow velocity V, the length L and the kinematic viscosity v (in m2 /s), so that Re = VL/v. The sensor action can be based on the flow-velocity dependence of the Reynolds number, such as that in flow sensors. In rare cases, such as in some Pirani gauges for vacuum measurement near atmospheric pressure, the sensor action is based on the pressure dependence of the viscosity and the conductivity, which also affect the Reynolds number. Radiation Radiation is transfer of heat by means of electromagnetic waves, either through infrared radiation (thermal radiation), or in other forms, such as microwaves or magnetic fields which generate heat in a dissipative layer (hysteresis in a magnetic layer, for instance). It is a selfgenerating effect, and therefore the basis of a thermal-power sensor. Equations (6.11) and (6.12) represent two interesting formulae for infrared radiation. The first represents the heat transfer between two parallel plates, where it is around 300 K (room temperature) but with a small mutual temperature difference T and assumed that one plate is a black body with emissivity ε = 1. For this case, the net heat transfer P′ ir follows from the equation:   Pir′′ W 3 (6.11) = 4εσ T ≈ ε 6 T Km2 where ε is the emissivity or absorptivity of the other plate and σ is the Stefan–Boltzmann constant (σ = 56.7 × 10−9 W/(K4 m2 )). The other formula describes the heat transfer between an infrared sensor with a black detecting area AD (as viewed from the object) and an object with area Aobj (as seen from the sensor) and emissivity ε. When the detector temperature is T D and the object temperature T obj , the exchanged power Pir amounts to:   4 (6.12) − TD4 Pir = (εσ/π)(Aobj /d 2 )AD Tobj

Here, σ is the Stefan–Boltzmann constant and d is the distance between sensor and object. When a sensor with a sensitive area of 1 mm2 at 300 K views an object at 301 K of 55 % emissivity and an object area Aobj = 0.1d2 , the exchanged power is 100 nW. It can be concluded that usually, at room temperature, radiation does not contribute significantly to the heat exchange of a thermal sensor with the ambient. Phase transition Phase transition is the last mechanism of heat transfer. An example is the heat generated by evaporation. Phase transitions are often encouraged by forced convection though, which influences the thermal conductance. Still, this is also a self-generating effect. Just like radiation, phase transitions generate their own thermal power (being negative or positive). A peculiarity of heat transfer by phase transition is that in some situations, heat can be transferred from a cold to a hot object; normally, heat flows from a hot to a cold object. Table 6.1 gives a short overview of the heat transfer mechanisms described above, together with their typical magnitude for different mechanisms, and some remarks. It shows the large variations in magnitude among heat transfer mechanisms. In general, for room-temperature

Thermal Sensors

155

Table 6.1 Overview of heat transfer mechanisms in microsensors and their magnitude for some typical dimensions and conditions of microsensors Type and dimensions

Material

Radiation between black surfaces

W/K m2

Condition/remark

6

Objects around room temperature Objects around 1000 ◦ C

500 Conduction between surfaces 500 µm apart Air at vacuum Air

1 50

Water Silicon

1 200 300 000

Free convection

-

Forced convection in air Forced convection in water Evaporation of water

150 15 000 1000 to 10 000 W/m2a

1 Pa pressure Atmospheric pressure (100 kPa)

Convection for sensors 500 µm long

Phase transition a

often negligible in microstructures 1 m/s flow 1 m/s flow with forced convection

Temperature difference not required for phase transition heat transfer to occur.

structures, much more heat is transferred by conduction and convection than by radiation. However, since the emitted infrared radiation power of objects is proportional to the fourth power of absolute temperature, at high temperatures, the situation is different. In microstructures, free convection is seldom of importance, since it is a size-dependent phenomenon and insignificant in comparison with conduction in small structures. However, at very high temperatures (>300 ◦ C), even in microstructures, free convection can lead to significant effects. Phase transitions such as evaporation should be avoided in self-generating sensors measuring other heat signals, since evaporation can give rise to significant parasitic heating or cooling even in thermal equilibrium. Readers interested in learning more about heat transfer are referred to handbooks such as ref. [3].

6.3 Thermal Structures 6.3.1 Modeling Systematic design In practical sensor structures, thermal effects are induced in the sensor by physical effects that interact with it. The sensitivity and accuracy of the sensors have to be as large as possible, while the influence of other physical effects, such as heat ‘leakage’ along connections and suspensions, and self-heating effects have to be minimized.

156

Smart Sensor Systems

Usually it is possible to design structures in such a way that only a few well-known parameters dominate their behavior. When certain parameters are not well known, their influence ought to be negligible. Therefore, a good thermal structure design is simple and can be described by simple models. The validity of the approximations and assumptions in the model is checked by comparing the results of simulations with experimental results. When the approximations and assumptions are found to be incorrect, it does not automatically mean that a more complex model has to be used. Often it is better to change the thermal structure to avoid the undesirable influence of additional parameters! In thermal sensors, the thermal signal is the temperature difference induced in the sensor by a physical effect. Optimizing the structure is aimed at optimizing the conversion of the power P to the temperature difference T, the thermal resistance: Rth = T/P. This has led to the widespread use of very thin membranes in which the thermal resistance between the physical interaction area and the ambient is maximized. Choice and optimization of structure When designing and optimizing a thermal structure, the choice of the thermal sensing element is an important factor, because its presence influences the thermal resistances and capacitances. For example, with transistors, diodes, or resistors in micromachined structures, connection leads can be made as thin and long as desired so that they have little influence on the thermal resistance between ambient and sensitive areas. On the other hand, a thermopile often forms both the sensing element and the thermal connection between the hot and cold areas of the sensor, and its design directly influences the thermal resistance between the ambient and the sensitive area. An important design aspect of a sensor structure is the physical transduction process on which the sensor is based. Some sensors require large interaction areas, e.g., infrared sensors, while others hardly need any interaction area at all, e.g., true RMS converters. In thermal RMS converters, the root of the mean of the squared (RMS) value of an ac voltage or current is detected in a thermal way. Also crucial for the structure is the packaging of the sensor chip, i.e., whether the sensor will be exposed to harsh conditions, which is often the case for flow sensors, or will be hermetically sealed, such as in case of infrared sensors and true RMS converters. Therefore, sensor vulnerability is critical during both use and production, which influences other important design criteria: the yield and the resulting cost. Another choice involved in selecting a structure is that of technology – the choice between thin-film and silicon membranes. In general, thin-film structures are more sensitive, while silicon structures are more robust. With respect to the interactive area, three basic structures can be distinguished:

r The closed membrane, including wafer-thick devices. r The cantilever beam and the bridge. The latter can be considered as two cantilever beams with their ends connected.

r The floating membrane, which consists of a beam with an enlarged end. The closed membrane has the drawback of a small effective sensitive area, but is robust. The cantilever beam and the bridge form the intermediate case, while the floating membrane has the opposite characteristics: a large effective sensitive area but a high vulnerability.

Thermal Sensors

157

Table 6.2 Electrical equivalents of thermal parameters Thermal parameter Temperature Power, heat flow Heat, energy Resistance Conductance Capacity Thermal resistivity Thermal conductivity Specific heat – –

Symbol

Unit

T P Q R G C ρ th κ cp

K W J (= W s) K/W W/K J/K K m/W W/K m J/kg K

Electrical parameter Voltage Current Charge Resistance Conductance Capacitance Electrical resistivity Electrical conductivity Permittivity Electrical inductance Electrical power

Symbol

Unit

V I Q R G C ρ el σ ε L P

V A C (= A s)  S F (= C/V) m S/m F/m H W

Electrical-thermal analogies The behavior of the heat flow and temperature in thermal systems is mathematically described by the same differential equations as electrical currents and voltages in electrical systems. This allows describing thermal systems by means of electrical equivalents, which is convenient because of the many excellent tools available for electrical circuit analysis and the familiarity of solving electrical-network problems. The electrical equivalents of the thermal parameters are listed in Table 6.2, together with the SI units in which they are expressed. Note that temperature and prescribed temperature differences are equivalent to a voltage and a voltage difference, respectively, and prescribed heat flows to a current source. Some examples of thermal resistances for different geometries are given below. Note that the thermal capacitances are simply equal to the product of the mass of the body and the specific heat. When we multiply the thermal capacitance of an element and the thermal resistance between this element and a heat source, we obtain the thermal time constant, characteristic of the time needed to heat up the element, similar to the electrical situation of capacitances and resistances. Note that there are no thermal equivalents for electrical inductance and electrical power.

Some examples of thermal resistances Axial heat flow in bodies having an arbitrary but uniform cross-section Let us consider the stationary one-dimensional heat flow along the axis of a body of length L and uniform cross-sectional area A (see Figure 6.1), which is exposed to a temperature difference T = T 1 − T 2 between its ends. In the steady state, the temperature distribution is given by a constant temperature gradient equal to T/L, and the total heat flow through the rod is κAT/L. Hence, for this

Smart Sensor Systems

158

A

x

A

D A W

A

x

x

L L (a)

(b)

L

L (c)

(d)

Figure 6.1 Structures with one-dimensional heat flow

configuration, we find a thermal resistance Rth : Rth =

L (structure with length L and uniform cross section A) κA

(6.13)

This expression can be used for the one-dimensional heat flow in all kinds of structures with a uniform cross-section, such as the rods, plates or wires (Figure 6.1). For example, the thermal resistance of a flat plate with a rectangular cross-section of width W and thickness D (Figure 6.1a) is equal to Rth =

L 1 (sheet with length L, width W and thickness D) W κD

(6.14)

For a square plate, where the length L is equal to the width W, the thermal resistance is equal to (κD)−1 , which is called the thermal sheet resistance Rst of the plate. Radial heat flow in circular bodies Multiple thermal resistances can be combined in series or in parallel, and the resulting resistance is calculated just as in the electrical case. This method can be used to calculate complex geometries. If the geometry is such that the heat flow is one-dimensional or if a cylindrical or spherical symmetry makes it possible to assign surfaces of equal temperatures, the effective thermal resistance follows from the integration between the beginning and the end of the structure. For instance, the thermal resistance for radial heat flow in circular bodies (see Figure 6.2) with height D can easily be found by calculating the thermal resistance of a tube-shaped cylindrical element with height D, thickness dr and radius r, which is dR = dr/(κ2π rD). The thermal resistance of a structure with inner radius rinn and outer radius rout can then be obtained by means of integration: Rth,rad =

rout 1 1 dout = (radial heat flow in tubes) ln ln 2π κ D rinn 2π κ D dinn

(6.15)

where dout and dinn are the corresponding diameters of the tube and 1/κD is the thermal sheet resistance of the body (tube or membrane).

Thermal Sensors

159

r2

dr r1

H

d1 d2

Figure 6.2 Radial heat flow

Radial heat flow in spheres The thermal resistance for a radial heat flow (see Figure 6.3) of a spherical shell element with thickness dr and radius r is dR = dr/(4π κr2 ). Integration between the limits r1 and r2 yields the thermal resistance between concentric spherical surfaces:   1 1 1 − (radial heat flow in spheres) (6.16) Rth,sphere = 4π κ r1 r2

dr r

Figure 6.3 Spherical heat flow

Smart Sensor Systems

160

SUSPENSION BEAMS

RIM

FLOATING MEMBRANE

HEAT SINK

Figure 6.4 Floating-membrane structure

Numerical modeling In many cases, a numerical solution of a model is required, since no (easy) analytical solutions are available. In these cases we model the physical situation numerically. Through electrical analogies, we can use electrical circuit analysis tools such as SPICE for time-dependent solutions. For more complex heat-flow problems, software packages such as ANSYS or other finite-element modeling packages are very useful. For relatively simple problems, one can even do numerical calculations on the PC in EXCEL, using simple software routines, based on elementary models.

6.3.2 Floating Membranes The simplest membrane structure in terms of a thermal model is the floating membrane. In silicon, a floating membrane is made by etching a (closed) membrane in the silicon wafer. Next, a large piece of the membrane is etched free from the rim, leaving it suspended by only a few suspension beams, as shown in Figure 6.4. The resulting large floating membrane forms the interaction area in which the nonthermal physical signal can influence the thermal signals (transduction step 1 of the sensor action, see Section 6.1.1). The suspension beams, together with any heat conduction from the interaction area to the ambient, define the thermal resistance with respect to the ambient (conversion step 2 of the sensor action). The leads of the temperature-difference transducer are incorporated in the suspension beams (transduction step 3 of the sensor action). Thermopiles may be used in the suspension beams to measure the temperature difference between the ‘floating’ membrane and the rim at ambient temperature. The floating-membrane structure combines a very large hot area with a very high thermal resistance, because the suspension beams are usually quite long and narrow. This leads to a well-defined thermal structure having an interaction area (i.e., the floating membrane) which may be considered as an isothermal plane, connected to the ambient by some well-defined thermal resistances (i.e., the suspension beams). The large thickness of the rim to which the suspension beams are connected ensures that the beams have a perfect thermal grounding on the other side. The thermal model The floating membrane can be represented by a simple discrete-element model of the thermal structure (see Figure 6.5). The conductance Gbeam represents the thermal conductance of the

Thermal Sensors

161

P

Gbeam

Gflm

Gsen

Cflm

∆Tflm ambient

Tamb

infinity

Figure 6.5 Thermal model of the floating membrane

suspension beams, the capacitance Cflm represents the thermal capacitance of the floating membrane, and the parasitic conductance Gflm describes the undesired heat loss in the floating membrane caused by convection, radiation, and conduction through the gas. The variable conductance Gsen represents the desired conductance created by the physical signal. We can use this model to calculate the transfer function and the time constant of the floatingmembrane structure. In the steady-state situation, the temperature rise in the sensor above the ambient, which results from the power dissipation P, is equal to T flm = T − T amb = P/(Gbeam + Gflm + Gsen ). When the heating power is abruptly changed from zero to a constant value P0 at time t = 0, the time response is given by:   −t P . (6.17) 1 − exp  Tflm (t) = τflm G beam + G flm + G sen A similar result is found for an abrupt change in (Gbeam + Gflm + Gsen ). The time constant τ flm follows from the total thermal conduction and thermal capacitance: τflm =

Cflm G flm + G sen + G beam

(6.18)

For this simple RC time constant, the step response shows the time constant in several ways: the derivative of the curve at the step time crosses the final value after one time constant, and the curve reaches 63% of its final value after one time constant. In general, the factor Gbeam can be designed independently of the closely related parameters Cflm , Gflm and Gsen . For example, enlarging the interaction area will usually enlarge Gsen, Gflm and Cflm by approximately the same factor.

6.3.3 Cantilever Beams and Bridges The integrated cantilever beam (Figure 6.6) is very similar in mathematical description to the uniform transmission line. Below, some simple results from this theory will be given; interested readers are referred to ref. [2]. The cantilever beam is a rectangular beam etched out of a thin membrane, attached on one side to the rim of a silicon chip and free on the other planes. This structure is characterized by a high thermal resistance between the tip of the beam and the base where it is attached to the rim. Heat dissipated at the tip of the beam will flow through the silicon to the rim, creating

Smart Sensor Systems

162

INTERACTION AREA

THERMOPILE RIM

W D GAP

Le

Ltp

Figure 6.6 Cantilever beam structure

a temperature difference in the beam. In addition, heat may be lost to the ambient through emission of infrared radiation and conduction and convection if gases are present. In vacuum, there is no heat loss from the cantilever beam through gas conduction. Supposing that also infrared radiation is negligible, then the thermal resistance Rth of the cantilever beam is given by the thermal sheet resistance Rst (= 1/(κD)) times the length-to-width ratio L/W of that part of the cantilever beam across which the temperature difference is being measured, for instance, for a thermopile of length Ltp : Rth = Rst

L tp W

(6.19)

Just as with the floating membrane, extending the beam beyond the thermopile can create a separate interaction region, which enhances the interaction with the physical signal to be measured. This region can be made rather large, so that the total length L of the cantilever beam can be much larger than the length of the thermopile Ltp (Figure 6.6). Let us examine the thermal characteristics of the cantilever beam more closely. In the case of heat loss by gas conduction or infrared radiation, we obtain a thermal transmission line as mentioned above. Using transmission-line theory, we obtain the transfer function of the cantilever beam, where we define the thermal propagation constant γ as:  (6.20) γ = Rst (G ′′p + jωCth′′ )

In this equation Rst = the thermal sheet resistance of the beam, G ′′p = the shunt conductance from the beam to the ambient per unit of area, expressed in W/K m2 and Cth′′ = the thermal capacitance of the beam per unit of area, expressed in J/K m2 . For low frequencies, Equation (6.20) can be approximated as: γ 2 = Rst G ′′p

(6.21)

The thermal transfer function Rth , which equals the quotient T s /Ps of the temperature rise T s at the tip of the cantilever and the power dissipation at the same location, is: Rth = Rst

L tanh(γ L) W γL

(6.22)

Thermal Sensors

163

For small values of γ L, Equation (6.22) can be approximated by the first term of a power series:   Rst (G ′′p + jω Cth ) L 2 L 1− (6.23) Rth = Rst W 3 When we study the influence of parallel conduction on the thermal resistance of the beam, the term Rst G ′′p L2 /3 denotes the sensitivity of the transfer function of Equation (6.23) to parallel conductance. Hence, it is an important parameter for the sensitivity of the beam as applied to flow sensors and vacuum sensors. The thermal time constant τ cant of the cantilever beam is found from Equation (6.23) by solving the corresponding homogeneous differential equation, which yields for small values of γ L: τcant ≈ Rst

L2 Cth 3

(6.24)

6.3.4 Closed Membranes In closed membranes, we encounter radially directed heat transfer in a (very flat) cylindrical structure, where there is heat loss at the bottom and top of the cylinder. In the radial direction, the thermal series resistance and the thermal parallel conductance and capacitance depend on the distance from the center, where r is present in all quantities. This can be seen in Figure 6.7, where a circular membrane is assumed (practical micromachined structures are mostly rectangular). The dependence on the radius r means that there is a nonuniform transmission line border of membrane rim r

dr

rrim heated membrane

(a) rim r

rin heater

dr

rrim (b)

Figure 6.7 Closed-membrane structures with (a) homogeneous heating and (b) resistor heating

Smart Sensor Systems

164

for which the (relatively simple) equations of the previous section are not valid. Instead, more complicated differential equations have to be solved. We will refrain from giving the full derivation here, and refer the interested reader to standard mathematics textbooks [4]. We assume that heat is lost to the ambient by means of parallel conduction G ′′p (in W/m2 K) and that heat P (in W/m or in W/m2 ) is generated by means of dissipation in a resistor or by interaction with the ambient (infrared radiation, heat of a catalytically promoted chemical reaction). Then, if γ 2 = G ′′p Rst , where Rst is the thermal sheet resistance of the cylindrical membrane, the general solution for the temperature is given by the sum of the modified Bessel functions: T (r ) = A1 Io (γ r ) + A2 K o (γ r ) + P ′′ / G ′′p

(6.25)

where A1 and A2 are constants dependent on the boundary conditions. K o and I o are modified Bessel functions of the zeroth order. There are two main cases to be discussed: homogeneous heating and resistor heating.

Homogeneous heating Consider a closed circular membrane being homogeneously heated with a power density P ′′ (in W/m2 ), see Figure 6.7(a). As boundary conditions we use the temperature derivative in the center (which should be zero because of symmetry) and the temperature elevation T(rrim ) at the edge of the rim (by definition zero). If G ′′p approaches zero, and the values of γ r are small, the solution approaches: 2 − r 2 )/4 T (r ) = P ′′ Rst (rrim

(6.26)

The result is plausible since the total heat generation is proportional to P ′′ rrim 2 , while the thermal resistance of the membrane is proportional to Rst . Resistor heating For a closed membrane in which heating with power P occurs by means of a concentric resistor (see Figure 6.7(b)) which is circular around the center and has a radius of rin and where heat loss also occurs by parallel conduction, we obtain the following boundary conditions:  dT  PR st rin = and T (rrim ) = 0 (6.27) dr  2πrin

In this equation, we ignore any heat loss within the center inside the heating resistor. If necessary, a set of two equations can be defined, one of which represents the heat loss in the center inside the resistor. But even without this factor, the solution based on these boundary conditions is very complicated. We will, therefore, give the result for a design in which the heat loss due to parallel conduction (G ′′p ) is maximized. Extensive calculations show that this is achieved for (rrim /rin ) = 5, which then yields: T (rin ) ≈

PR st 4



1−

2 G p Rst rrim 10



(6.28)

Thermal Sensors

165

If there is no significant heat transfer through ambient gas, Equation (6.28) simplifies to Equation (6.15) (where (ln 5)/2π = 1/4).

6.4 Temperature-Difference Sensing Elements 6.4.1 Introduction Temperature sensing elements constitute an important part of thermal sensors. Here, we will discuss the main elements suitable for silicon and thin-film sensors that are of importance for sensor work. For integrated sensors, mainly thermocouples and transistors are used, although diodes and integrated resistors are also sometimes used for convenience. Thermocouples and piles of them (thermopiles) have the advantage of measuring temperature differences without any offset (a self-generating measurement of the temperature difference), but they cannot measure the absolute temperature. For this, transistors are very suitable, within the operating range of −50 ◦ C to +180 ◦ C (see Chapter 7). However, the fabrication of transistors requires a more complex technology than available for many microsensors and MEMS (Micro-Electro-Mechanical Structures). Therefore, also resistors made of polysilicon or thin-film metal are often used. Integrated and thin-film resistors As mentioned above, in most cases, thermopiles or transistors (or diodes) are the optimum choice for measuring temperature and temperature differences. Stress dependence, voltage dependence and wide tolerances make resistors less attractive. However, for reasons of temperature range or technology, resistors can sometimes be used to advantage. In silicon technology, the absolute resistance values of integrated resistors are usually not very accurate. Thin-film sensors have the advantage of a wider operating range over integrated silicon sensors, due to the absence of p–n junctions which give poor electrical isolation at high temperatures. Polysilicon and thin-film layers such as chrome-nickel or platinum are often used for the temperature-sensitive elements in thin-film sensors.

6.4.2 Thermocouples Thermocouples are two-lead elements that measure the temperature difference between the ends of the wires. The operating principle is based on the thermoelectric Seebeck effect, which says that a temperature difference T in a (semi)conductor also creates an electrical voltage V: V = αs T

(6.29)

where α s is the Seebeck coefficient expressed in V/K. The Seebeck coefficient α s is a material constant. By taking two wires of materials with different α s , we get different electrical voltages across the wires, even when the wires experience the same temperature gradients. With a junction of the wires at the hot point, the voltages are subtracted, and an effective Seebeck coefficient will remain. Thermocouples or thermopiles (several thermocouples in series) in

Smart Sensor Systems

166

W

L

E

n-type Si beam

SiO2

p-type Si

D

Al

Figure 6.8 Thermopile of several thermocouples of monocrystalline silicon versus aluminum

thermal sensors are made of thin-film metals or polysilicon, or monocrystalline silicon, see Figure 6.8. Seebeck coefficients In practice, the Seebeck coefficient α mono for monocrystalline silicon is related to the electrical resistivity ρ. At room temperature, this relation can be expressed as: αmono =

ρ mk ln ρ q o

(6.30)

with ρ o ≈ 5 × 10−6  m and m ≈ 21/2 as constants [5] and k the Boltzmann constant, k/q ≈ 86.3 µV/K. For practical doping concentrations, the Seebeck coefficients are of the order of 0.3 mV/K to 0.6 mV/K, where the sheet resistance depends on the layer depth. For polycrystalline silicon, a similar expression is given by Von Arx [6] as a function of electrical resistivity: αpoly =

m poly k ρ ln ρ q o

(6.31)

with ρ o ≈ 1.4 × 10−6  m and mpoly ≈ 0.7 as constants, and k the Boltzmann constant. In practice, the Seebeck coefficients are of the order of 0.1 mV/K to 0.2 mV/K, for instance at sheet resistances of 50 / to 100 / and a poly thickness of 300 nm. The absolute Seebeck coefficients of a few selected metals and some typical values of silicon are shown in Table 6.3 for two different temperatures [5, 7, 8]. This table shows that the

Thermal Sensors

167

Table 6.3 Seebeck coefficients (µV/K) of some selected materials and standard thermocouples Material Antimony (Sb) Chromium (Cr) Gold (Au) Copper (Cu) Silver (Ag) Rhodium (Rh) Lead (Pb) Aluminum (Al) Platinum (Pt) Nickel (Ni) Bismuth (Bi) J-type thermocouple Fe–CuNi T-type thermocouple Cu–CuNi E-type thermocouple NiCr–CuNi K-type thermocouple NiCr–NiAl S-type thermocouple Pt–Pt/10%Rh T-type thermocouple Pt–Pt/13%Rh Monocrystalline silicon (typical) Polycrystalline silicon (typical) a

273 K (µV/K) 18.8 1.79 1.70 1.38 0.48 −0.995 −4.45 −18.0

300 K (µV/K) 43a 17 1.94 1.83 1.51 0.40 −1.047 −1.7 −5.28 −79a

50 39 59 39 5 5

51 41 41 7 6

500 150

500 150

Averaged over 0 ◦ C to 100 ◦ C.

Seebeck coefficients for metals are much smaller than those for silicon and that the influence of aluminum interconnections on chips is negligible compared to the Seebeck coefficient for silicon. The electrical resistance and also the thermal conductivity play a part in determining how efficiently a thermopile functions in a thermal sensor. These parameters are much more favorable for bismuth telluride compounds or silicon–germanium compounds than for mono- or polysilicon [8]. However, the advantage of these compounds largely lies in their low thermal conductivity, compared with that of silicon, and in many microsensors the thermal resistance of the sensors is determined more by conduction through air or membranes than through the thermopile. In these, a silicon thermopile will lead to almost the same performance as thermopiles made of other compounds but has the big advantage that it can be produced in standard IC technology. Designing the thermopile In a thermal sensor, the geometry of the thermopile is usually designed after the available area has been established with, for instance, length Lx and a width W. The only degree of freedom left for the thermopile design is the number of strips, or, in other words, the thermopile electrical resistance Rtp . If we neglect the area required for electrical isolation between individual strips, then for a rectangular thermopile having N thermostrips we find Rtp W N≈ (6.32) Rse L x

Smart Sensor Systems

168

1

1 1

2 2 1 Rtp =

12·Rse

3 1 Rtp =

2 22·R

se

1 Rtp =

2 32·R

3 se

Figure 6.9 Designing the thermopile

where Rse is the (technology-dependent) electrical sheet resistance. The thermopile sensitivity is Nα s , which is the product of the number N of strips and the Seebeck coefficient α s . Note that the sensitivity of a thermopile increases in proportion to the square root of the resistance. In other words, the resistance will increase in proportion to the square of the number of thermostrips. This is because doubling the number of thermostrips will also double their resistance, since they are halved in width, see Figure 6.9. Since the noise also increases in proportion to the square root of the resistance, the number of strips will not greatly influence the signal-to-noise ratio, and the resistance, sensitivity and number of strips can be optimized with respect to other systems parameters. There is an optimum value for the thermostrip width, with the rule of the thumb that for efficiency’s sake, the thermopile strips should certainly not be narrower than the required separation between them, and preferably much larger. In practice, thermopile resistances are chosen in the (5 to 200) k range: not too high to minimize interference and the influence of the input bias currents of the electronics, and not too low to minimize the influence of the offset voltages of the electronics.

6.4.3 Other Elements Apart from resistors and thermopiles, other elements can be used to measure temperature differences. Since there are many temperature-dependent parameters and effects, almost anything can be used. Very well-known in integrated devices are of course sensors based on transistors. With transistors it is possible to perform absolute temperature measurements (see Chapter 7). Optical effects, utilizing fiber optics or integrated optics, can be utilized, if desired in combination with a mechanical effect, such as bimetal effects or expansion, measured in an optical way. Pyroelectric materials are used in infrared sensors for burglar alarms (these sensors will only see sudden changes in the infrared irradiation). But also SAW (surface acoustic wave) resonators, and other elements are used. Generally, a direct transduction from thermal into the electrical domain is the easiest, but the technology or measurement circumstances can favor a different solution.

6.5 Sensors Based on Thermal Measurements Below we will discuss the physical transduction principles of the various thermal sensors in more detail. Table 6.4 gives an overview of the different types of thermal sensors discussed below. In Table 6.4, the first five sensors are self-generating thermal-power sensors and the last

Thermal Sensors

169

Table 6.4 Overview of thermal sensors, their measurands and operation principles Sensor

Measurand

Operation principle

Microcalorimeter Psychrometer Infrared sensor RMS converter EM field sensor Flow sensor Vacuum sensor Thermal conductivity gauge Acceleration sensor Nanocalorimeter

Concentration Humidity Infrared radiation Electrical power EM fields Fluid flow Vacuum pressure Fluid type Acceleration Material properties

Heat of chemical reaction – catalyst/enzyme area Heat of evaporation – wet wick Black-body radiation – black coating Joule heating – heater resistance Dissipation – resistive termination Convection Conduction – pressure dependence Conduction – type dependence Conduction – seismic mass; natural convection Conduction and capacitance

five, modulating thermal-conductance sensors, which use an electrical resistance to generate heat. Except for the EM field sensor and the psychrometer, all these sensors can be realized in both silicon and thin-film technology.

6.5.1 Microcalorimeter The microcalorimeter measures the heat that is developed during chemical reactions. Bataillard [9] describes various applications. It is possible to create a chemical reaction between two solutions in the reaction volume of the sensor (near the active area of the thermal sensor) by supplying them to this volume via two tubes. Alternatively, a catalyst or enzyme can be immobilized on the active area of the microcalorimeter, which will initiate the chemical reaction once the (single) solution comes into contact with it, see Figure 6.10. This setup ensures optimization of the transfer of reaction heat to the sensor. Even the heat produced by microorganisms, such as bacteria, immobilized near the active area of the microcalorimeter can be measured, which (of the order of 1 pW per bacterium) can be a measure of the

connectors for tubes liquid channels O-ring seal

Alu block

bonding wires

PGA

chip with enzyme layer zero-force connector

Figure 6.10 Microcalorimeter in flow injection analysis set up (Xensor Integration)

Smart Sensor Systems

170

concentration of nutrients in the solution, such as glucose, or when sufficient glucose is present, of the growth rate of bacteria. In practice, for the glucose microcalorimeter, a transduction to the thermal domain takes place in the following two steps [10, 11]. Firstly, the concentration C (in mol/m3 ) of glucose in the water is converted into a reaction rate M (in mol/s) by bringing the mixture into contact with the enzyme: M =C

dK dt

(6.33)

where the chemical conversion efficiency dK/dt (in m3 /s) depends upon the chemically active layer (catalyst or enzyme) and the particular experimental set-up. For instance, one molecule of glucose oxidase enzyme can convert at most 1000 glucose molecules per second, but it will convert fewer if the solution is not properly refreshed. When the solution is properly refreshed, the chemical conversion efficiency will depend solely on the chemically active layer (a material property) and not on the particular experimental set-up. Secondly, the reaction rate M of the concentrate is transduced into heat of reaction P (in W) by the change in enthalpy. P = M(−H )

(6.34)

The enthalpy change −H is the energy freed by the chemical reaction (in J/mol) and is physically determined. For enzymatic oxidation of glucose, a value of 80 kJ/mol is found. Substitution of this value in Equation (6.34) shows that a monolayer of glucose oxidase can produce a maximum power of approximately 3 (W/m2 )/(mol/m3 ).

6.5.2 Psychrometer In this sensor, the relative humidity of air is detected by measuring the psychrometric temperature depression T psych of a wetted thermometer as a result of evaporation. This sensor is therefore based on a physical phase transition. The first transduction step can be described by: Tpsych = Q

pdry − pwet Patm

(6.35)

Here pdry and pwet are the partial vapor pressures of water at the air temperature and at the wet-thermometer temperature, and Patm is the atmospheric pressure. The size of the sensorspecific transduction factor Q is of the order of 1700 K [2, p. 184]. Equation (6.35) cannot simply be converted into the relative humidity, because T psych depends on temperature and humidity, and is much smaller than the depression of the dew point temperature. An estimate is obtained by multiplying Q by 30% × pdry /Patm . At room temperature with Patm = 100 kPa and pdry ≈ 2 kPa, the sensitivity is typically in the order of 0.1 K/%RH. To know the relative humidity precisely, a psychrometric chart is required. This can be found on the web in many forms, electronically, corrected for height above sea level. Note that this sensor has the extraordinary feature that it transduces the nonthermal signal directly into a temperature difference. There is no conversion in the thermal domain as in all the other thermal sensors. Of course, an accurate transfer will only occur if good thermal

Thermal Sensors

171

isolation is maintained; therefore design of a proper thermal resistance is necessary anyway. Some other measures, such as forced convection across the wetted thermometer of the proper magnitude are also essential for accurate transduction. No silicon version of this sensor is known to the author.

6.5.3 Infrared Sensor From the transduction point of view, the infrared sensor is fairly simple. The transduction from radiation to heat is carried out by a black absorber, which can have an efficiency up to ′′ 99 %. The first transduction step from incident radiation density Pinc (in W/m2 ) to thermal power P is P = Q Pinc

(6.36)

Q = α AD τfilter

(6.37)

where AD is the sensitive area of the sensor (usually the area that is coated black), α is the absorptivity of the black coating of the sensitive area, and τ filter is the transmittance of an infrared filter, which can be applied to select specific radiation wavelengths or simply for mechanical protection. The absorptivity α is between 0 and 1 and denotes the fraction of infrared radiation power which is absorbed by the black coating. Various types of black coatings are used for silicon infrared sensors. A simple and efficient method is to use the silicon oxide and silicon nitride layers present in all semiconductor production processes [12, 13]. Lenggenhager [12] found an absorption in the order of 50 % for radiation wavelengths of (7 to 14) µm. The use of the absorption of PVDF and its metal electrodes enables another way to implement this method [14]. Porous metal coatings are used to fabricate very black layers [15]. Gold black will absorb more than 99 % of radiation over the entire infrared spectrum. A different method is used at Xensor Integration [16]. The sensor is spin coated with a black polymer. Using techniques very similar to normal lithographic and RIE etching processes, a pattern of a 5 µm thick coating is obtained on the wafer, which absorbs about 90 % of the infrared radiation. This layer is patterned just like any other thin film on silicon and will withstand further processing of the wafer. This is in contrast to coatings such as gold black, which are very vulnerable and cannot be handled once they have been applied. The filter with transmittance factor τ filter is usually incorporated in the encapsulation of the sensitive element of the sensor. This filter can be broadband, transmitting infrared radiation with wavelengths between 2 and 14 µm. It can be high-pass (7 to 14) µm, for detection of objects at room temperature, which emit radiation at wavelengths of typically 10 µm (intrusion alarm). In other applications, a filter with a band-pass character is desired, transmitting, for instance, radiation at a wavelength that is in the absorption band of a gas. In this way, a gas sensor can be constructed in which the radiation intensity is measured in a reference path and in a path where the gas mixture under investigation is present. The difference in intensity is due to the presence of radiation-absorbing gas. This method is used especially for CO2 and CO. Infrared sensors have many applications. Burglary alarms use infrared sensors of the pyroelectric type, which respond to changes in the infrared image. This is particularly useful in security applications, where an image without any movements is the proper one and a sudden movement indicates an intruder. For gas analysis, such as CO and CO2 , infrared sensors

172

Smart Sensor Systems

Figure 6.11 Focal plane array of 4 × 32 infrared detectors for satellite attitude control instrument, chip size 20.5 mm × 20.5 mm (Xensor Integration)

based on thermopiles and thin membranes are used. Other applications include temperature measurement, where, for instance, the toasting of bread can be monitored using an infrared sensor. For space applications, EADS Sodern developed a focal plane array (FPA) of infrared detectors for a so-called Earth sensor, where the image of the Earth is projected onto the FPA chip using a germanium lens. The Earth sensor uses the image in the (14 to 16) µm band, emitted by the CO2 of the atmosphere. This image of Earth is nicely round and is dependent neither on day or night nor on the seasons. In this way, the attitude of the satellite with respect to the Earth can be measured, and if necessary, corrected; as a result, for instance, a weather satellite will monitor the weather in the required region, instead of that of the North Pole or space. The FPA chip contains 132 infrared pixels (each with its own thermopile and black area) and measures 20.5 mm × 20.5 mm (Figure 6.11) [17].

6.5.4 RMS Converter Thermal RMS converters are used in digital multimeters and by metrological institutes to measure the power in alternating current (ac) signals. The first transduction step, that from electrical to thermal, is simply performed by dissipation in an electrical resistor. Complications arise from the parasitic thermoelectric Thomson and Peltier effects at dc signals, and from skin effects and parasitic capacitances and inductances at high frequencies, which cause differences in the heat actually generated in the heater. By comparing ac signals with dc signals and reversing the current direction, the ac signal levels can be determined very accurately. Up to a very high grade of precision, the details of these devices have been studied by calibration engineers [18]. These authors fabricated closed-membrane structures with thin-film thermopiles and heaters for application in high-accuracy RMS converters, see Figure 6.12.

Thermal Sensors

173

Figure 6.12 Thin-film RMS converter, w = width and t = thickness of films (courtesy of Klonz and Weimann)

6.5.5 EM Field Sensor The EM field sensor is also a subject of study of calibration engineers. Actually, the frequency range of these sensors is in between that of an infrared sensor and an RMS converter. The infrared sensor detects (optical) EM waves at very high frequencies of around 1015 Hz; the RMS converter works for electrical signals with frequencies up to 109 Hz. The EM field sensors are especially designed for the intermediate frequencies. To the best of the author’s knowledge, at present no semiconductor EM field sensor is available. However, some research activities have been reported on termination of waveguides. In this research work, properly designed metal patterns on glass plates are used, which are able to convert EM field energy into heat, to

Smart Sensor Systems

174

be subsequently detected by a bolometer. Here, the first transduction step, that from radiant to thermal, is carried out by a specifically designed metal pattern, yielding a lossless and reflectionless termination of the wave-guide with the actual design depending upon the frequency and the wave-guide geometry [19].

6.5.6 Flow Sensor Flow sensors are based on the transfer of heat to moving fluids. This effectively increases the overall thermal conductance between sensor and ambient. For flow sensors, the physics of the second and third step in the transduction process are just as simple as for all thermal sensors, but the similarity ends there. Both the physics of the first transduction step, from flow to thermal, and the encapsulation of the sensor are much more complicated than for most other sensors. What is more, the encapsulation greatly influences the first transduction step, because it influences the type of flow. There is laminar flow when the fluid flows along straight flow lines, and turbulent flow when it flows in irregular patterns, and the local flow direction has no direct link with the average flow direction. In microstructures, laminar flow is often encountered, although for thermal wind meters, microturbulent flow is also encountered [2; Section 6.4]. The dependence of heat transfer on flow velocity is different for laminar and turbulent flow. For laminar flow, the first transduction step can be approximated by G ≈ G o + H V 1/2

(6.38)

where G is the total thermal conductance from the sensor to the ambient, Go is the offset (the conduction part), and H is the heat transfer normalized to the flow velocity V. As can be seen, the convective part of the thermal conductance is proportional to the square root of the flow velocity. The variable H includes parameters such as the sensor size, flow history, and fluid characteristics (viscosity, thermal conductivity, temperature). The history of the fluid flow upstream of the sensor can greatly influence the exact flow pattern over the sensor and the sensor’s heat transfer characteristics. That is why the encapsulation of these sensors has such a large influence. Even the temperature profile of the flow sensor itself will influence the heat transfer to the flow. Those interested in further details should consult ref. [2] on thermal sensors, ref. [3] on heat transfer theory and convective heat transfer in particular, and ref. [20] for an extensive overview paper on flow sensors. An interesting application of flow sensors is the wind meter of Mierij Meteo, which is a thermal wind meter without any moving parts that measures flow velocity with an inaccuracy of a few percent and flow direction with an inaccuracy of a few degrees. Figure 6.13 shows a photograph of the meter with a typical diameter of 10 cm.

6.5.7 Vacuum Sensor This sensor measures gas pressures below atmospheric pressure by measuring their pressuredependent thermal conductivity. At very low pressures, when the mean distance between collisions of molecules is much larger than the distance between two surfaces, heat is transferred between the surfaces by individual molecules; and the rate of heat transfer is therefore proportional to the rate with which molecules hit the surface, which is the absolute pressure. At higher pressures (atmospheric for surfaces 500 µm apart), molecules transfer their heat not

Thermal Sensors

175

Figure 6.13 Thermal wind meter without any moving parts (www.mierijmeteo.demon.nl) (courtesy of Mierij Meteo)

from surface to surface, but by collisions among themselves. Doubling the pressure will double the number of molecules transferring heat, but the distance over which they transport the heat (the mean free path between collisions) is halved, and the thermal conductivity of the gas is now independent of pressure. For low pressures P (in Pa), the sensor-specific transduction action can be characterized by the thermal conductance per unit of area G ′′ . G ′′ =

G ′′0 P P0

(6.39)

Here, G ′′0 is the thermal conductance per unit of area, for the reference pressure P0 = 1 Pa, which value is typically in the order of 1 W/m2 K for gasses such as nitrogen, helium, etc. For the entire pressure range, the relation between thermal conductance and pressure is: κ D , G ′′ = κ ′′ G 0 P + P0 D G ′′0 P

(6.40)

where κ/D is the thermal conductance between two plates at distance D for a gas with thermal conductivity κ [21]. Figure 6.14 shows the thermal conductance in a floating membrane vacuum sensor as a function of pressure for three different gases. Note that the difference in thermal conductivity of the gases at atmospheric pressure is much greater than at reduced pressures, where so-called molecular thermal conductance occurs.

Smart Sensor Systems

176

10–1

Thermal conductance (W/K)

Helium

Nitrogen Argon

10–6 10–1

102

105

Pressure (Pa)

Figure 6.14 Thermal conductance of the ambient gas as a function of pressure for different gases

6.5.8 Thermal Conductivity Gauge The thermal conductivity gauge sensor is similar to the vacuum sensor, since it measures the thermal conductance of the gas enveloping the sensor. However, in this case, the conductance does not depend upon the pressure, but at atmospheric pressure, upon the gas type (or liquid type), since all gases have different thermal conductivities. For instance, for air, the thermal conductivity is κ = 26 mW/K m at room temperature; hydrogen has the highest conductivity at more than 180 mW/K m; for helium, it is about 150 mW/K m; while gases such as argon (18 mW/K m) and xenon (6 mW/K m) are even less conductive than air. The conductivity sensor can be used in various ways. By measuring the thermal conductance it can detect the type of gas, see Figure 6.14, or it can measure the composition of a binary gas mixture. For the latter case, there is a complex formula describing the thermal conductivity of a gas mixture with volume fraction a of the first component and (1 − a) of the second [22]: κmix =

(1 − a)κ2 aκ1 + a + (1 − a)ϕ12 1 − a + aϕ21

(6.41)

In this formula, the auxiliary variable ϕ 12 depends upon the viscosity and molecular weight of the gas molecules,

 1 2   21  M2 4 µ1 1 ϕ12 =   (6.42)  21 1 + µ M1 2 1 8 1+ M M2

where M 1 is the molecular weight of the first gas component, and µ1 is the dynamic viscosity of the first gas component. For ϕ 21 , the indices are of course reversed.

Thermal Sensors

177

Finally, the conductivity sensor can be utilized as a level sensor, since the thermal conductivity of a liquid is much higher than that of a gas. So the sensor can detect overflow, and might even be used to measure level in a continuous manner, although the sensor dimensions will limit the range.

6.5.9 Acceleration Sensors Currently, there are two types of thermomechanical accelerometers to the author’s knowledge. The first is very similar to capacitive accelerometers. In this mechanical thermal accelerometer, the mechanical signal (acceleration) is converted into a force F by means of a seismic mass m and a closed membrane. Subsequently the force is converted into a gap size (change) D by means of mechanical springs with spring constant K (using damping characteristics of the enveloping gas to obtain the proper frequency behavior). Finally, the gap size is transduced into a temperature difference by the thermal conductance of the gas in the gap. So, to summarize, for the accelerometer, the transfer (in steady state) will be F = ma D = KF A G = κ + G0 D

(6.43) (6.44) (6.45)

where A is the area of the gas conducting heat away from the sensor to the seismic mass, and Go is the thermal conductance (offset) from the sensor to the ambient via other paths, parallel to the conductance in the gas gap. The physical principle of this thermal accelerometer does not differ much from that of the capacitive accelerometer (see Chapter 8). The gap distance is now measured thermally, instead of capacitively. This means that the first two conversion steps shown above are very similar for these two devices. The reader interested in further details on the mechanical design considerations of this sensor is referred to literature on capacitive accelerometers. Some information can also be found in [23, 24]. The second type of accelerometer concerns a design of Leung et al. [25], who have described another, very elegant, thermal accelerometer in which the seismic mass of silicon has been replaced by a seismic mass of gas, thus relying on natural convection. Usually, this is a negligible effect in MEMS devices, but this sensor is based on this physical effect. Two temperature-sensing wires are suspended in mid-air on both sides of a heater wire (all made in a MEMS process, where the wires are very narrow bridges in an etched cavity, see Figure 6.15). When there is no acceleration in the horizontal plane, the heated wire creates a hot spot of gas, which is symmetrically around the wire. When the device is not horizontal but tilted (or when the device is exposed to a horizontal acceleration), the hot gas is displaced towards one of the temperature-sensing wires, creating a thermal imbalance. This imbalance is a measure of the acceleration.

6.5.10 Nanocalorimeter Thermal sensor structures can also be used to measure the thermal properties of thin films and of the sensor materials themselves. In general, an absolute measurement is made of the thermal resistance and the thermal time constants of a certain structure. With the modern micromachined structures, measurements have become relatively simple. These are performed

Smart Sensor Systems

178

acceleration

Temperature sensors Bonding pads

Heater

20KV

Cavity

Figure 6.15 Thermal accelerometer using the ambient gas as seismic mass: (a) cross-section of the temperature distribution at the horizontal plane; (b) top view of the chip (courtesy of Leung et al. [25])

in vacuum; so that only conduction in the solid material adds to the measured conductances (radiation effects are usually negligible). In this way, the thermal conductance κ and capacitance cp of various materials has been determined, such as for silicon (150 W/K m and 700 J/kg K), silicon-dioxide (1 W/K m to 1.5 W/K m and 730 J/kg K), low-stress silicon-nitride SiN1.1 (3 W/K m to 3.5 W/K m and 700 J/kg K), polysilicon (18 W/K m to 30 W/K m and 770 J/kg K) and aluminum (with 1 % silicon) (180 W/K m to 220 W/K m) [26–28]. Comparable with traditional calorimeters (large laboratory bench instruments), micromachined chips such as that shown in Figure 6.16 (Xensor Integration 2005) are now used to

Figure 6.16 Nanocalorimetric chips used for fast scanning calorimetry (Xensor Integration)

Thermal Sensors

179

characterize the melting and solidifying characteristics of polymers at ultra-high speeds, with heating and cooling rates up to 10 000 K/s and more [29], where the traditional calorimeters use heating and cooling rates of typically of 0.1 K/s.

6.6 Summary and Future Trends 6.6.1 Summary Many thermal sensors have been devised so far. Based on the heat transfer mechanisms of conduction, convection (free and forced flow) and radiation, micromachined structures have been devised using MEMS technology, often optimized with FEM modeling or modeling based on electrical analogues. By adding heaters and thermopiles, resistors or transistors as temperature-sensing elements, the thermal sensors are created. We distinguish between two types of thermal sensors: thermal-power sensors in which the physical signal to be measured brings along its own power, and thermal-conductance sensors in which the signal influences the thermal resistance between sensor and ambient. Ten different types of thermal sensors have been described. Some of them are already much commercialized (such as infrared sensors for intrusion alarms), others are still very novel (accelerometer), or not even fabricated in silicon technology (psychrometer). Interesting also is the great difference that exists in the applicability of various thermal sensors. Infrared sensors are very simple devices because they can be hermetically sealed during encapsulation. The same applies to RMS converters, which semiconductor versions are becoming more and more popular.

6.6.2 Future Trends For the future, breakthroughs are expected for several thermal sensors. Research on semiconductor flow sensors has by now become very impressive, but up to now the difficulties encountered by encapsulation and its influence on the sensor have obstructed a commercial breakthrough of a semiconductor version of this sensor, but make this research a scientific challenge for the future. MEMS flow sensors have already been used as detectors for gas chromatographs for many years, where the environment and flow conditions are well controlled. Application of MEMS flow sensors for the smallest flow ranges seems the most promising application of these sensors at this moment. Because of the very small chip size, these sensors are best suited for small flows. Here, a breakthrough is expected. A revolution is taking place in the calorimeter world, where small and ultra-fast calorimeter chips can give an important additive value to widely used calorimeter instruments based on fine mechanics. The next five years will show a breakthrough for calorimeter chips in this world. The same applies to gas measurements based on thermal conductivity measurement. Applications such as helium measurement for diving applications, helium measurement for lung function tests, and CO2 detection are now spreading, while many other applications are expected in the near future. Concluding, it can be said that in the field of thermal sensors, commercially, several very interesting developments are taking place, while scientifically, for sensors already developed, further refinement takes place in both performance and technology, where robustness is a main design issue.

Smart Sensor Systems

180

Problems 6.1 Square thermopile design A thermopile area measures 1.5 mm × 1.5 mm. It is made of n-type versus p-type polysilicon thermocouples, both materials having a sheet resistance of 75 /. The p-type silicon has a Seebeck coefficient of +0.15 mV/K, and the n-type of −0.15 mV/K. For certain reasons it is requested that the thermopile should have an overall resistance of 7.5 k. Neglect the required separation between the silicon strips. What is the sensitivity of the thermopile? 6.2 Forced convection Consider a flow sensor with a surface A = 1.5 mm × 1.5 mm, which at one side is exposed to laminar flow of 1 m/s. The sensor is uniformly heated, at a constant 5 K temperature increase with respect to the flow (see Figure 6.17). Find the answer to the following question: What is the heat transfer to the flow in this case, assuming laminar flow? Note, that the viscosity of air v = 15 × 10−6 m2 /s and that the Prandtl number of air is Pr = 0.7. 6.3 Measurement of flow direction Consider again the flow sensor of Problem 6.2, and assume that the heat transferred to the flow upstream is 71 % and to the flow downstream is 29 %, and assume that the difference flows through the sensor chip, from halfway the downstream end to halfway the upstream end (i.e., over a distance of 0.75 mm in total). The chip is 100 µm thick silicon, and the thermal conductivity of silicon is 150 W/K m. A thermopile with sensitivity Nα s (with N the number of strips and α s the Seebeck coefficient), e.g., that of Problem 6.1, is used to measure the temperature difference that this heat flow causes across the chip. (1) Calculate the output voltage of the thermopile. (2) How is the sign of the output voltage related to the flow? 6.4 The influence of micromachining Consider again a flow sensor chip as in Problem 6.2, but now treated with micromachining such that a floating membrane with a size of 1.5 mm × 1.5 mm and 4 µm thick silicon is inside a rim of 0.5 mm width on all sides (which may be ignored for the calculations). Again, the thermopile of Problem 6.1 is present in the floating membrane to measure the temperature difference between upstream and downstream,

flow

(heated) W = 1.5 mm L = 1.5 mm

Figure 6.17 Convective heat transfer from a heated surface

Thermal Sensors

6.5

6.6

6.7

6.8

181

caused by the difference in the heat transfer coefficient. What is the first estimate for the output voltage of the thermopile now, if the differential heat flow is the same as in Problem 6.3? Infrared radiation Consider an infrared radiation sensor with a floating membrane sensitive area with 100 % absorptivity and an area of 1 mm × 2 mm. It views an object at 56 cm distance, with an area of 1 cm × 1 cm, also perfectly black. The sensor is at 300 K and the object is 10 K hotter. Answer the following questions: (1) What is the net power exchange between sensor and object? (2) What is the temperature increase of the floating membrane of the sensor, if its thermal conductance to the ambient is 330 µW/K? (3) Make an estimate of the three major components making up the thermal conductance from the floating membrane to the ambient if the sensor is encapsulated in nitrogen, κ N = 26 mW/K m. (4) Estimate the improvement if the sensor were encapsulated in xenon (κ Xe = 6 mW/K m) instead of in nitrogen? Thermal modeling A floating-membrane sensor has a suspension beam of 2 mm length, 200 µm in width and 5 µm in thickness (κ Si = 150 W/K m). At its end a floating membrane is suspended with an area of 2 mm2 , between heat sinks at 0.5 mm distance under and above the membrane. The sensor is encapsulated in argon (κ He = 18 mW/K m). (1) What is the beam’s thermal resistance compared with that of the floating membrane? (2) How do the thermal time constants of the beam and the overall system (using a simple model) compare? Note that the specific heat of silicon is cp = 1.6 MJ/m3 K. Circular thermopiles A thermopile is integrated in a closed silicon membrane. The membrane is monocrystalline silicon with a diameter of 3.6 mm and a thickness of 5 µm (κ Si = 150 W/K m). The integrated silicon–aluminum thermopile has the hot junctions at a diameter of 1.8 mm, the cold junctions are at the edge of the rim at 3.6 mm diameter. The Seebeck coefficient of the silicon is 0.6 mV/K (that of the aluminum is negligible at approximately −1.7 µV/K). The sheet resistance of the silicon is 50 / (again the aluminum can be ignored). What is the sensitivity of the thermopile, if its electrical resistance is 80 k (if no separation between the silicon strips is required, and the aluminum does not require extra space). Chemical microcalorimeter A silicon closed-membrane microcalorimeter is used to measure glucose concentrations in water. For this an enzymatic layer (glucose oxidase) is deposited on the all-silicon membrane, which has a diameter of 3.6 mm and a thickness of 5 µm (κ Si = 150 W/K m). The sensor measures the heat generated by the enzymatically promoted conversion of glucose with an integrated silicon–aluminum thermopile, for instance that of Problem 6.7. Assume a heat generation by the glucose–oxidase enzyme of 1 W/m2 for a 1 mmol/l glucose solution. (1) What is the sensitivity of this microcalorimeter for glucose? (2) What is the resolution in a 1 Hz band?

Smart Sensor Systems

182

6.9 Self-heating in a resistor A polysilicon resistor, used to measure the temperature of a silicon chip, is located at the surface of this chip of 0.5 mm thickness. It has an area of 25 µm × 25 µm. The resistor is biased with a current of 100 µA, has a resistance 3.9 k and a temperature coefficient of 0.1 %/K. What is the error in the temperature measurement caused by the self-heating of the transistor? Simplify the problem by assuming radial heat flow in a half-sphere (thermal conductivity of silicon is 150 W/K m).

References [1] [2] [3] [4] [5] [6] [7] [8] [9] [10] [11] [12] [13] [14] [15] [16] [17]

[18] [19] [20] [21] [22] [23] [24]

Middelhoek, S. and Audet, S.A. (1989). Silicon Sensors, Academic Press, London, p. 6. Meijer, G.C.M. and Herwaarden, A.W. van (1994). Thermal Sensors, IOP, Bristol. Chapman, A.J. (1984). Heat Transfer, MacMillan, New York, 4th edn. Abramowitz, M. and Stegun, I.A. (1965). Handbook of Mathematical Functions, Dover Publications, New York. Herwaarden, A.W. van and Sarro, P.M. (1986). Thermal sensors based on the Seebeck effect, Sensors and Actuators, 10, 321–346. Arx, M. von (1998). Thermal properties of CMOS thin films, PhD thesis ETH 12743, Zurich. Blatt, F.J., Schroeder, P.A., Foiles, C.L. and Greig, D. (1976). Thermoelectric Power of Metals, Plenum, New York. V¨olklein, F. and Baltes, H. (1992). Thermoelectric properties of polysilicon films doped with phosphorus and boron, Sensors and Materials, 6, 325–334. Bataillard, P. (1993). Calorimetric sensing in bioanalytical chemisty: principles, applications and trends, Trends in Analytical Chemistry, 12, 387. Bataillard, P., Steffgen, E., Haemmerli, S., Manz, A. and Widmer, H.M. (1993). An integrated silicon thermopile as biosensor for the thermal monitoring of glucose, urea and penicillin, Biosensors and Bioelectronics, 8, 89. Herwaarden, A.W. van, Sarro, P.M., Gardner, J.W. and Bataillard, P. (1994). Micro-calorimeters for (bio)chemical measurements in gases and liquids, Sensors and Actuators, A43, 24. Lenggenhager, R. (1994). CMOS thermoelectric infrared sensors, PhD dissertation, ETH No. 10744, Zurich, p. 37. M¨uller, M., Gottfried-Gottfried, R., K¨uck, H. and Mokwa, W. (1994). A fully CMOS-compatible infrared sensor fabricated on SIMOX substrates, Sensors and Actuators A41-42, 538. Bauer, S., Bauer-Gogonea, S., Becker, W., Fettig, R., Ploss, B., Ruppel, W. and M¨unch, W. von (1993). Thin metal films as absorbers for infrared sensors, Sensors and Actuators, A37-38, 497. Lang, W., K¨uhl, K. and Sandmaier, H. (1992). Absorbing layers for thermal infrared detectors, Sensors and Actuators, A34, 243. Xensor Integration, www.xensor.nl Herwaarden, A.W. van, Herwaarden, F.G. van, Molenaar, S.A., Goudena, E.J.G, Laros, M., Sarro, P.M., Schot, C.A., Vlist, W. van der, Blarre, L. and Krebs, J.P. (2000). Design and fabrication of infrared detector arrays for satellite attitude control, Sensors and Actuators, A83, 101. Klonz, M. and Weimann, T. (1991). Increasing the time-constant of a thin-film multi-junction thermal converter for low frequency application, IEEE Transactions on Instrumentation and Measuremnet, IM-40, 350. Andreone, D., Brunetti, L. and Petrizelli, M. (1994). Design of a superconducting bolometer for low-power standards in the millimeter wave field, Sensors and Actuators, A41-42, 114. Oudheusden, B.W. van (1992). Silicon thermal flow sensors, Sensors and Actuators, A30, 5. Herwaarden, A.W. van and Sarro, P.M. (1988). Performance of integrated thermopile vacuum sensors, Journal of Physics E: Scientific Instruments, 21, 1162. Bird, R. B., Stewart, W.E. and Lightfoot, E.N. (1960). Transport Phenomena, John Wiley & Sons, Inc., New York, p. 258. Hiratsuka, R., Duyn, D.C. van, Otaredian T. and Vries, P. de (1991). A novel accelerometer based on a silicon thermopile. In Technical Digest Transducers ‘91, San Francisco, CA, p. 420. Dauderst¨adt, U.A., Vries, P.H.S. de, Hiratsuka, R. and Sarro P.M. (1994). Silicon accelerometers based on thermopiles. In Proceedings Eurosensors VIII, Toulouse, 25–28 September.

Thermal Sensors

183

[25] Leung A.M., Jones, J.,Czyzewska, E., Chen, J. and Woods, B. (1998). Micromachined accelerometer based on convection heat transfer. In Proc. IEEE MEMS Conference, Heidelberg, Germany, p. 627; see also www.memsic.com [26] Sarro, P.M., Herwaarden, A.W. van, and Vlist, W. van der (1994a). A silicon–silicon nitride membrane fabrication process for smart thermal sensors, Sensors and Actuators A, 41-42, 666. [27] Sarro P.M., Herwaarden, A.W. van, and Iodice, M. (1994b). Thermo-physical properties of low-stress poly- and mono-silicon and silicon nitride. In Eurosensors VIII, Toulouse. [28] Paul, O., and Baltes, H. (1993). Thermal conductivity of CMOS materials for the optimization of microsensors, Journal of Micromechanics and Microengineering, 3, 110. [29] Adamovsky, S.A., Minakov, A.A. and Schick, C. (2003). Scanning microcalorimetry at high cooling rate, Thermochimica Acta, 403, 55.

7 Smart Temperature Sensors and Temperature-Sensor Systems Gerard C.M. Meijer

7.1 Introduction1 In smart sensors, sensing elements are combined with sensor-interface electronics on a single chip. Such a combination can be very favorable in terms of reliability, standardization of the output signal, accuracy, overall calibration, etc.. Because in some aspects the technologies for fabricating sensors and electronics are incompatible, it is not easy to make sensors smart. For instance, a problem for a smart chemical sensor is that on the one hand it should allow a good electrochemical interaction with its environment, while on the other hand the electronic circuit should be resistant against these chemicals. In a similar way, it is not easy to fabricate a smart temperature sensor for measuring very high temperatures (for instance > 300 ◦ C), as this requires the use of special IC technologies. However, such technologies are not needed for smart temperature sensors measuring in the intermediate temperature range of −50 ◦ C to +180 ◦ C. This is because, within this temperature range, the sensors can work for a long time with high accuracy and high reliability. Moreover, the sensor chip can be hermetically sealed with a metal encapsulation and yet, because of the high thermal conductivity of the encapsulation, there can be a good thermal interaction between the chip and its thermal environment. For these reasons smart temperature sensors are among the oldest types of smart sensors ever fabricated. Sometimes, for temperature sensors it is also undesirable to combine electronics and sensing elements. This can be the case, for instance, because of extreme requirements for stability, size and packaging, or when a very wide temperature range is required. In such cases a smart sensor system could be designed consisting of a discrete sensing element, a sensor interface, and a microcontroller (Figure 7.1 and Chapter 12). Figure 7.2 shows a photograph of some typical 1

The figures in this chapter are reproduced by permission of G.C.M. Meijer and A.W. van Herwaarden.

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

186

Smart Sensor Systems

Microcontroller (µC)

sensor interface

smart temperature sensors

temperature sensing elements

Physical Process Figure 7.1 A possible system set-up with a smart temperature sensor, a discrete temperature-sensing element, a sensor interface, and a microcontroller

components for smart temperature-sensor systems to be discussed in this chapter. In this book, the sensing elements of temperature-sensor systems will be considered to be integrated parts of thermoelectric structures. Therefore, related problems, such as self-heating and heat leakage along the connecting wires and the supporting material, will be considered as well. The main features of some commonly used discrete and on-chip sensing elements are compared in Table 7.1 and concern the following: Discrete temperature-sensing elements As discrete temperature-sensing elements, commonly platinum resistors, thermocouples, thermistors and transistors are used. Platinum (Pt) resistors are very stable. This is the reason why

Figure 7.2 Photograph of some typical components for smart temperature-sensor systems: Pt100 resistor (A1) and thermistor (A2) with Universal Transducer Interface (UTI) and 8-bits microcontroller (µ1); smart temperature sensors (SM1, SM2) with 16-bits microcontroller (µ2); T-type thermocouple wire (TC)

187

Smart Temperature Sensors and Temperature-Sensor Systems Table 7.1 Important features of various types of temperature-sensing elements Features

Transistors

Thermocouples

Temperature range (◦ C) Accuracy for absolute temperature measurements Accuracy for the measurement of small temperature differences Suitable for integration on a silicon chip Sensitivity

Medium −50 to +180 Medium

Medium

Very large −270 to + Large −260 to 3500 +1000 Problematic because of High over a the reference wide range junction High Medium

Yes

Yes

Linearity Electrical quantity representing the temperature

Low High (0.05 to 1) mV K−1 (2 mV K−1 ) Good Good Voltage

Voltage

Pt resistors

Thermistors Medium −80 to +180 High over a small range Medium

Not in standard Not in standard processes processes Low (0.4% K−1 ) High (5% K−1 ) Good Resistance

Very strongly nonlinear Resistance

they are listed in the 1990 International Temperature Scale (ITS-90) as the interpolating temperature standard in the temperature range from 13.8 K to 962 ◦ C. For higher temperatures, certain types of thermocouples offer better performance. Because of their low cost and high reliability, thermocouples are widely used in industrial applications for many temperature ranges. Thermocouples generate a voltage that is proportional to the temperature difference between, for instance, a reference junction and a measurement junction. This means that to measure an absolute temperature, in addition to the thermocouple output voltage one should measure the reference-junction temperature with another type of temperature sensor, which is suitable to measure an absolute temperature. However, in this case, the temperature range required of the absolute-temperature sensor can be much smaller than that of the thermocouple measurement junction. Similar arguments hold true for infrared sensors, including the popular clinical ear thermometers. As shown in the previous chapter (Equation (6.12)), the measured heat transfer depends on both the object temperature to be measured and the temperature of the IR absorber. Measurement of the temperature of an infrared-radiating object requires both a temperature-difference sensor, for instance a thermopile, and an absolute-temperature sensor, for instance a thermistor or a transistor. Thermistors, which are not as stable as Pt resistors, are suitable for use for the temperature range of about −80 ◦ C to 200 ◦ C. Thermistors offer the advantage of being cheap, highly sensitive, and small in size. One of their drawbacks is their strongly nonlinear characteristic, which complicates the signal processing. Transistor temperature sensors are as sensitive as thermistors and have the advantage that their base–emitter voltage is almost linearly related to the temperature. However, compared to

188

Smart Sensor Systems

thermistors, at the important temperature range around 300 K, they are less accurate and their stability during thermal cycling is lower.

Sensing elements integrated on silicon chips As sensing elements integrated on silicon chips, resistors, thermocouples and transistors can be used. One might think about taking advantage of the favorable stability properties of platinum (Pt) and try to make silicon chips with platinum thin-film layers in order to combine Pt sensing elements with electronic circuitry. However, such a technology would be expensive and not compatible with standard IC processing. Moreover, the stability of such thin-film resistors would be inferior to that of their discrete counterparts. As an alternative, integrated diffused silicon resistors could be used. These resistors do have a temperature coefficient comparable with that of Pt resistors. However, they have the disadvantages of being stress dependent, having wide tolerances and showing a voltage-dependent nonlinear behavior. Integrated thermocouples do not measure the temperature itself, but rather difference in temperature two on-chip junctions. For absolute measurements, the temperature of one of the junctions has to be measured with another type of sensor. Nevertheless, as discussed in Chapter 6, integrated thermocouples or thermopiles are very suitable for application in many types of thermal sensors. In such sensors, a physical quantity, for instance radiation, is converted into a temperature difference, which can be measured with a thermocouple. A very useful feature of thermocouples is that intrinsically they have no offset: When there is no temperature difference, there is no voltage, so that the offset does not have to be calibrated. If absolute-temperature measurement is required, transistors are the best devices to apply as sensing elements in integrated temperature sensors. Transistors are stable and sensitive with a somewhat linear characteristic. However, for absolute precision, they have to be calibrated, or special circuit techniques have to be applied (see Section 7.7.2). In this chapter we will firstly discuss the application-related requirements and problems of temperature sensors, including accuracy, short-term and long-term stability, noise and resolution, self-heating, heat leakage along the connecting wires, and dynamic behavior. Next we will discuss the characteristic features of temperature-sensing elements (including discrete components), smart sensors and their applications. In this chapter we will limit ourselves to temperature sensors that can perform absolute temperature measurements, being resistive sensors (Section 7.3) and transistor sensors (Section 7.4). For thermocouples, thermopiles and the corresponding thermal sensors, we refer to Chapter 6.

7.2 Application-related Requirements and Problems of Temperature Sensors The costs of sensors are highly related to their performance. To obtain a high absolute accuracy, individual calibration of the sensors is needed, which will strongly increase their cost. Sometimes, users ask for sensors with an inaccuracy of less than 10 mK. After some discussion it turns out that they only need a short-term drift of less than 10 mK. However, the cost of the latter type of sensor will be orders of magnitude less than that of the one mentioned earlier.

Smart Temperature Sensors and Temperature-Sensor Systems

189

In this section we will discuss the application-related problems, requirements and features of different sensors, which may help a possible user in making the right choice of sensor type, its packaging and its mounting. Properties to consider are its: (in)accuracy, short- and long-term drift, noise, self-heating, and dynamic response.

7.2.1 Accuracy Temperature measurements with the highest accuracy can only be performed in specialized laboratories, using complex types of gas thermometers performing radiant-intensity measurements. For practical use, the international society has also developed other tools. In 1990 the International Committee of Weights and Measures adopted the International Temperature Scale ITS-90. This scale (T90 ) allows us to compare the accuracy of practical temperature sensors with Standard Instruments, calibrated at reproducible equilibrium states, called Defining Fixed Points, and to interpolate between these fixed points [1]. One of the Defining Fixed Points is the triple point of water. This is the temperature at which the three states of water (solid, liquid and gas) are in equilibrium. This point has been defined as being 273.16 K = 0.01 ◦ C. In between the fixed points, the practical temperature scale is defined using interpolating Standard Instruments. Between the triple point of equilibrium hydrogen (13.8033 K) and the freezing point of silver (961.78 ◦ C) T90 is defined by means of platinum resistance thermometers calibrated at specified sets of defining fixed points and using specified interpolation procedures. Standard Pt resistors must be made of pure, strain-free, annealed platinum. Because of economical and other practical reasons, in industrial applications, much simpler Pt resistors are used. The accuracy and long-term stability of these resistors is much less than that of the standard types. For instance, according to the DIN-IEC 751 standard, the tolerances for classA resistors are already more than ±0.15 ◦ C (see Section 7.3). For thin-film Pt resistors the tolerances are even much larger. Sometimes, when the conditions are favorable, it is not so difficult to achieve an inaccuracy less than 0.1 K. For example, even with a low-cost clinical thermometer for periods of more than 1 year, with thermistors the body temperature can be measured with an inaccuracy less than 0.1 K. Moreover, as will be discussed in the next subsection, in many applications the absolute accuracy is not important at all, as long as the long-term or short-term drift is small enough.

7.2.2 Short-term and Long-term Stability In some temperature-sensor applications, having a good short-term stability is more important than a high absolute accuracy. This can be explained with the following examples.

Example 7.1: Let us assume that (a) the thermal effect of a chemical reaction has to be investigated or (b) the effect of a cooling system upon the temperature of an engine has to be monitored. In both cases we can define as a starting moment: the moment

190

Smart Sensor Systems

when the chemical reaction starts, or the moment when the cooling system is switched on, respectively. To investigate the effects to be measured, we just have to monitor the temperature changes with respect to the starting moment. In this case, the accuracy of the temperature sensors is of minor importance, provided that during the experiment, the drift is less than a desired value.

Example 7.2: Let us assume that we have to investigate a growing process of microorganisms in food products by monitoring their heat production with temperature sensors. When it can be ensured, that immediately after the food production no significant amount of micro-organisms is present, this offers a starting point for the test. When the growth period is less than 4 days, the main requirement for the temperature sensors is that the drift be less than a certain value. Similar to the previous example, the absolute accuracy is not of great importance. In Section 7.6, it will be shown that in this case, even with low-cost sensors, temperature changes can be monitored with an accuracy of a few milliKelvin.

Usually, the main part of temperature-sensor drift is caused by the cross-sensitivity to mechanical stress. When a temperature-sensitive material is in mechanical contact with another material, for instance a substrate or fixing material, having a different thermal expansion coefficient, temperature changes will cause changes in the mechanical stress. This stress will affect the characteristics of the sensing elements. This effect is not well controlled and will show a large spreading over production samples. Moreover, mechanically this effect is not stable at all. During thermal cycling, the stress can show hysteresis. Consequently, the error of the temperature sensor will also show hysteresis. Also in smart temperature sensors (Section 7.5), mechanical stress is the main source of drift. This drift is mainly due to the unequal thermal expansion coefficients of the packaging material and the silicon chip, and mechanical instability of their connection [2]. Especially for a plastic packaging during successive heating and cooling cycles, Fruett and Meijer [2] found large hysteresis effects. With ceramic or a metal packaging, these effects could be reduced to less than 0.1 K. When the temperature range is limited to that of, for instance, clinical thermometers, the short-term and long-term drift can be less than a few milliKelvin. Moreover, it has been shown that by selecting the most favorable type of transistor and crystal orientation the mechanical cross-effects will be strongly reduced. Changes in the mechanical stress can also cause long-term drift effects when they occur over periods of many years, for example due to material alteration or chemical effects.

7.2.3 Noise and Resolution The resolution of temperature sensors is limited by noise, which causes random fluctuations of the measured temperature. There are many physical phenomena which give rise to the

Smart Temperature Sensors and Temperature-Sensor Systems

191

occurrence of noise, e.g. thermal noise, shot noise, flicker (1/f ) noise, etc. In sampled-data systems, such as most of the smart sensor systems dealt with in this book, significant noise contributors are the quantization noise of the A/D conversion process. In Section 7.6 and Chapter 10, Section 10.3.4, the behavior of these noise contributors will be discussed in more detail. If the temperature signal has a low bandwidth, averaging over a longer measurement time can sufficiently reduce the effects of noise. Often it is sufficient to use a measurement time of less than a second to achieve a resolution of a few millikelvins. However, in many applications, users want to keep the measurement time as short as possible. As soon as a measurement is completed, the power supply can be switched off until the next measurement has to be performed. For the following practical reasons such a discontinuous measurement approach could be interesting: (1) It saves energy in battery-operated systems. (2) It reduces self-heating (see Section 7.2.4), because of the reduced average power consumption. (3) In a multiplexed system, many sensors can sequentially be read out with one and the same acquisition system (see for instance the first example in Section 7.6). (4) As soon as a measurement has been completed, the microcontroller input periphery can be used for other tasks. When the measurement time is decreased, noise will increase, decreasing the resolution will. The following examples can give an idea of the state of the art.

Example 7.3: Suppose that we measure the resistance of a Pt100 temperature-sensitive resistor with the universal sensor interface UTI (see 10). In this case with a measurement time of 100 ms, the standard deviation of the overall noise corresponds to 10 mK. When a thermistor is used, with the same measurement time and the same UTI, but applied in mode 6, the standard deviation of the noise will amount to only 1 mK. Because of its much higher sensitivity, the thermistor has a much better noise performance than the platinum resistor.

Example 7.4: For the smart sensors presented in Section 7.5 for a measurement time of 30 ms, the standard deviation of the noise corresponds to 10 mK. The effect of noise is illustrated in Figure 7.3 [3], which shows the temperature samples of a smart sensor in an application discussed in Section 7.6. In this case the quantization noise of the applied microcontroller is the main noise source. With the same sensor but with the measurement time increased to 1 s, the standard deviation of the noise decreases to 1.8 mK. The slope in the regression line shows the effect of self-heating, which will be discussed in the following section.

192

Smart Sensor Systems

Figure 7.3 Sampling noise and self-heating in a smart-temperature sensor system; each sample takes a measurement time of 30 ms

7.2.4 Self-heating A temperature sensor measures its own temperature. The sensors discussed in this chapter require electrical power for their read-out. As soon as the power supply is switched on, the sensor’s temperature starts to rise due to the undesired effects of power dissipation. This electrothermal effect can be modeled according to the principles discussed in Chapter 6, Section 6.3. In the simplest case, this effect shows a first-order character, which can be modeled with the equivalent circuit of Figure 7.4(a) [3]. In this figure, the current P represents the electrical power dissipated in the temperature sensor, Cth represents the thermal capacity of the sensor, and Rth represents the thermal resistance of the sensor with respect to its environment. Figure 7.4(b) shows the temperature rise versus time, starting from the moment the power

∆T 100% 63% C

P

Rth

∆T ϕ

Tamb (a)

τ

t (b)

Figure 7.4 (a) A first-order thermoelectric model for the effect of self-heating. (b) The temperature rise after the electrical power source is switched on

193

Smart Temperature Sensors and Temperature-Sensor Systems

Pt 100

ls

ds

dh

Figure 7.5 A cylindrical temperature sensor mounted in the hole of a body to measure its temperature

supply is switched on. This figure shows that after some time, the temperature rise approaches the asymptotic value: T = PRth

(7.1)

while the initial slope tan ϕ = dT/dt amounts to: P dT = dt Cth

(7.2)

In order to decrease the self-heating effect, the thermal resistance should be as small as possible, which is achieved when the temperature sensor is in close thermal contact with the object of which the temperature has to be measured. We will illustrate this effect with the following example:

Example 7.5: Self-heating of a cylindrical temperature sensor in a cylindrical hole. A cylindrical resistive temperature sensor with a temperature−dependent resistance R of about 100 , a length ls and a diameter ds is mounted in a hole of a thermal conducting body with a diameter dh to measure the body temperature T B (Figure 7.5). Because of tolerances, the hole diameter must be slightly larger than that of the sensor. The measurement current for the sensor, which amounts to 10 mA, creates a self-heating effect in the sensor. To measure this self-heating effect, we will consider the thermal conductance2 of the body and the sensor to be infinite compared with the low conductance of 2

Thermal conductance is reciprocal to thermal resistance

194

Smart Sensor Systems

the air in the gap between the sensor and the body. Owing to the measurement current, the power dissipation in the sensor equals I 2 R = 10 mW. To get an impression of the self-heating effect we simplify the problem by neglecting the heat flow in the axial direction. Assuming radial symmetry, we can use Equation (6.15) (Chapter 6) to find the thermal resistance Rth . If we use κ air = 0.026 W/K m and, for example, the dimensions dh = 3 mm, ds = 2.8 mm and ls (= D) = 25 mm, we find that Rth = 17 K/W. The temperature rise due to self-heating then amounts to PRth = 0.17 K, which is unacceptably high in many applications. The self-heating effect may be reduced by filling the air gap with a thermally conducting compound or by lowering the measurement current.

7.2.5 Heat Leakage along the Connecting Wires Especially when there is no good thermal contact between the temperature sensor and the object the temperature of which has to be measured, care has to be taken to avoid heat leakage along the connecting wires [3]. As a result of heat leakage, the thermal environment of the wires will significantly affect the temperature of the sensor. Being aware of this, we can avoid this undesired effect by a simple means: by using a thermal compound that improves the thermal contact between the sensor and the object and by using long wires (for instance 5 cm) which are in good thermal contact with the object to be measured (by winding them around it and fixing them).

7.2.6 Dynamic Behavior The dynamic thermal behavior of a temperature sensor depends on the underlying thermal structure of the sensor plus its thermal environment and will differ for different applications. For instance, when a clinical thermometer is used rectally, temperature measurement will just takes a few seconds and is rather accurate. When the same thermometer is applied under the armpit the measurement has been found not to be accurate at all and to take a long time. This difference is due to the smaller thermal conductance of the armpit measurement. Together with the thermal capacitance of the thermometer, this gives rise to a large time constant, which is inconvenient for the user. Together with the increased sensitivity for environmental influences, this results in poor reliability of under the armpit measurements. Especially when a sensor is used in a gaseous environment, the thermal conductance Gsg between the sensor and the gas is rather small, which leads to a slow response. This makes it difficult to measure fast changes in a gas temperature. The simple model of Figure 7.6 may be suitable for a first-order analysis. This simple model is only valid for the case in which little heat is transferred from the sensor to the connecting wires compared to transfer via Gsg from the sensor to the gaseous environments. The current source P in this figure represents the electrical power dissipated by the sensor, while Cs is the sensor’s heat capacitance. Suppose that the gaseous environment flows with a rate larger than a certain minimum, then the thermal conductance Gsg of a flat sensor is proportional to the square root of the flow rate [3].

195

Smart Temperature Sensors and Temperature-Sensor Systems

Gsg

Model not valid unless Tgas

Cs

P

very thin connecting wires are used.

Figure 7.6 A simple model for analysing the dynamic response in a gaseous environment

In order to get a fast dynamic behavior, the sensor should be made as thin as possible: Reducing the thickness of a sensor will yield a lower thermal capacitance while the thermal conductivity is hardly affected. To study the limitations to the response time of fast temperature sensors, Duyverman investigated the thermal properties of unpackaged chips placed in an air stream with a specified velocity (this work is described in ref. [4]). For these measurements, a 2 mm × 1 mm × 0.2 mm temperature-sensor chip was glued on a grooved printed-circuit board (Figure 7.7(a)). The observed magnitudes of the thermal conductance Gsg between the sensor chip and the gas and the thermal time constant τ = Gsg Cs have been plotted in Figure 7.7(b) versus the air velocity v. For air velocities exceeding 1 m s−1 , the thermal response agrees well with that predicted by the simple model in Figure 7.6. The experimental results show that thin naked temperature-sensor chips are suited to perform very fast temperature measurements. To keep them thin and yet to have some mechanical

(a)

(b)

Figure 7.7 Testing the dynamic behavior in a gaseous environment: (a) Chip mounted on an epoxy PCB, to measure the thermal properties of uncovered chips in air streams. (b) Thermal conductance and thermal time constant of the sensor chip positioned in an air stream versus the air velocity v

196

Smart Sensor Systems

protection, special technology, for instance silicon wafer-to-wafer bonding, could be used to maintain this favorable property. For further reading on the topics of this section the reader is referred to, for example, ref. [3]. In the next sections we will consider the characteristic properties of the most important temperature-sensing elements for smart sensors and smart sensor systems: temperaturedependent resistors and transistors.

7.3 Resistive Temperature-sensing Elements The most commonly used elements in resistive temperature measurements are platinum (Pt) resistors and thermistors (NTCs). In this book we will limit ourselves to summarizing some practical aspects, such as the selection of the proper type, practical mathematical models and the optimum resistor value with respect to interference and self-heating.

7.3.1 Practical Mathematical Models Platinum resistors While the resistance of platinum is accurately related to temperature, it is also sensitive to the effects of small impurities and mechanical strain. The manufacturers of Pt resistors aim to achieve high accuracy and stability at a reasonable cost and therefore accept a certain amount of strain and impurity. Consequently, the temperature characteristics of industrial Pt resistors deviate slightly from those of pure platinum. The nominal characteristics and their tolerances are specified in various standards. For a platinum resistor Rpt , the DIN-IEC 751 standard specifies that for −200 ◦ C < θ < 0 ◦ C: Rpt = Rpt (0)(1 + aθ − bθ 2 + cθ 3 − dθ 4 )

(7.3)

and for 0 ◦ C < θ < 850 ◦ C: Rpt = Rpt (0)(1 + aθ − bθ 2 )

(7.4)

where a = 3.90802 × 10−3 (◦ C)−1 , b = 0.58020 × 10−6 (◦ C)−2 , c = 0.42735 × 10−9 (◦ C)−3 d = 4.27350 × 10−12 (◦ C)−4 , and θ is the temperature in ◦ C. The constant Rpt (0) is the nominal resistance at θ = 0 ◦ C. A wide range of components with different Rpt (0) values is commercially available. According to the DIN−IEC 751 standard, the tolerances for class A resistors are given by θ = ±(0.15 ◦ C + 0.002|θ |)

(7.5)

For a Pt100 resistor, the corresponding resistance tolerances have been plotted in Figure 7.8. It is possible to approximate Equations (7.3) and (7.4) by the single quadratic equation [3]: Rpt = Rpt (θ1 ){1 + α(θ − θ1 ) + β(θ − θ1 )2 }

(7.6)

where θ 1 is a certain reference temperature which may be chosen, for example, in the middle of the temperature range of interest.

197

Smart Temperature Sensors and Temperature-Sensor Systems

tolerances ∆Rpt/Rpt (%) 0.30

0.14 0.06 0

100

200

300

400

Rpt (Ω)

Figure 7.8 Tolerances of Pt100 resistors according to the DIN-IEC 751 class A standard

With a proper choice of the parameters θ 1 , α and β for a wide temperature range, the error made by this approximation is within the tolerances of Equation (7.5). This error and the tolerances have been plotted in Figure 7.9 for three sets of parameters. This figure shows that, for a specific temperature range of interest, the parameter values can easily be optimized.

0.4 Tolerances DIN -IEC751 class A

error (oC) 0.2

θ1 = 100oC α = 2.7381.10-3 β = -4.202.10-7

0

θ1 = 100oC α = 2.7392.10-3 β = -4.2773.10-7 -0.2

θ1 = 0oC α = 3.9123.10-3 β = -6.2293.10-7 -0.4

-200

-100

0

100

200

300

400

temperature (oC)

Figure 7.9 The error for Pt resistors achieved by approximating Equations (7.3) and (7.4) by the single quadratic Equation (7.6)

198

Smart Sensor Systems

YSI 44004

Siemens M-867/S1/60kOhm

2.0

2.0 Steinhart and Hart tolerances

Steinhart and Hart tolerances

1.0

delta t

delta t

1.0

0

-1.0

-1.0

a = 1.47257E-3K-1 b = 2.37433E-4K-1 c = 1.052E-7K-1

-2.0 -50

(a)

0

0

50

temperature

a = 8.5860E-4K-1 b = 2.1931E-4K-1 c = 6.198E-8K-1

-2.0 100

150

(oC)

-50

(b)

0

50

temperature

100

150

(oC)

Figure 7.10 The error in ◦ C made by approximating the nominal thermistor characteristic with the mathematical model of Equations (7.7): (a) for a YSI 44004 thermistor and (b) for a Siemens M867 thermistor

Thermistors Thermistors, unlike platinum resistors, have not been standardized. Nevertheless, there are various mathematical models which adequately describe the resistance RT of thermistors versus the absolute temperature T. The fitting accuracy of a number of commonly used equations has been tested and compared [3] for specified values of two types of precision thermistors, fabricated by YSI and Siemens, respectively. For both types of thermistors, the best fit has been found (Figure 7.10) with the Steinhart and Hart equation [5]: 3 −1  RT RT + c ln T = a + b ln 1 1 

(7.7)

Regarding the problems of self-heating and thermal resistance, the influence of wires and ambient-temperature fluctuations, and dynamic behavior in resistive sensors, the reader is referred to Section 7.2 and to ref. [3]. In the next subsection, we will discuss the specific problems related to nonlinearity.

7.3.2 Linearity and Linearization In the past linear sensor characteristics used to be preferred because they simplified calculation. Nowadays, however, even the simplest of computers and controllers can rapidly calculate

199

Smart Temperature Sensors and Temperature-Sensor Systems

the temperature of nonlinear elements. The main concern with respect to linearization these days is the dynamic range of the processing circuitry, as the examples will make clear.

Example 7.6: A certain type of thermistor varies from (7350 to 152)  over the temperature range from (0 to 100) ◦ C. When a current source is used to generate a voltage and a 12-bit A/D converter to get a digital signal, the resolution will be 7350 /(212 ) = 1.8 , provided the converter’s full range is used. At 0 ◦ C, this resolution corresponds to 0.005 K, while at 100 ◦ C, it is 0.4 ◦ C. Therefore, even with a very precise thermistor, the resolution is limited by the converter, which results in an error up to 0.4 ◦ C. This problem is especially serious for large temperature ranges. A possible solution is to use another type of sensor, for instance a transistor, which has a nearly linear characteristic (see Section 7.4).

Another solution is to use accurate series or parallel resistors (Figure 7.11(a) and (b)). Although the accuracy of the sensor circuit is degraded by the added inaccuracy of the series or shunt resistor, the system performance may be improved because the error in the A/D converter has been reduced.

Vo = IsRp

RT

800

Rp+RT

RT

Is

RT

Rp

Vo

600

Resistance

(a)

Vo = Vs

(Ω)

RT//Rp

400

Rp = 780Ω

RT Rs+RT

Rs

200

RT

(b)

Vo

0 0

20

40 60 80 temperature (oC)

100

(c)

Figure 7.11 Linearization of thermistors with (a) a shunt resistor Rp and (b) a series resistor Rs . (c) The resistance RT of a thermistor and the parallel resistance RT //Rp versus the temperature

200

Smart Sensor Systems

Figure 7.11 (c) illustrates the effect of a shunt resistance of 780  for the thermistor discussed in example 7.6. The parallel resistance RT //Rp varies from 705  to 127  over the temperature range of 0 ◦ C to 100 ◦ C. With a 12-bit A/D converter, the resolution is 0.17 , which corresponds to an error of 0.05 ◦ C at 0 ◦ C and at 100 ◦ C. The value of Rs or Rp can be chosen such that the second derivative is zero in the middle T m of the temperature range. This linearization is particularly a problem for large temperature ranges. In these cases, other types of sensors, such as Pt resistors or transistors, are preferable. For further reading on this topic, the reader is referred to, for instance, ref. [3].

7.4 Temperature-sensor Features of Transistors 7.4.1 General Considerations There are some similarities between thermistors and transistor temperature sensors. For example, both devices are made of semiconductor material and are operated over the same temperature range of about −60 ◦ C to +180 ◦ C. Moreover, when the voltage is fixed, the current of both types of devices increases exponentially with temperature (Figure 7.12(a)–(c)). It appears that with this operation condition, the transistor current is even more sensitive to the temperature than that of the thermistor. Apart from these similarities, the devices differ widely. With respect to changes in the voltage V bias , thermistors behave like resistors. In contrast to this, transistors and diodes have an exponential I bias (V bias ) characteristic, which allows them to obtain an almost linear V BE (T) characteristic. With respect to applications, the most important difference is that transistor sensors can be implemented, together with electronic circuitry for biasing, multiplexing, amplification, A/D conversion, etc., on silicon smart-sensor chips. Compared to transistor sensors, thermistors have the advantage of a higher stability during thermal cycling over, say, the 0 ◦ C to 100 ◦ C range. In addition, calibrated high-precision devices are available at relatively low cost.

dIs dIs dT

dT

≅ 8%/K

≅ 4.5%/K Is

Is

RT

Vs

(a)

Is

Vs

Vs

(b)

Is

(c)

VBE

(d)

Figure 7.12 When operated with a fixed voltage V bias the current I bias increases exponentially with the temperature T for (a) thermistors, (b) transistors and (c) diodes; (d) when operated at a constant current I bias the base–emitter voltage decreases linearly with the temperature

201

Smart Temperature Sensors and Temperature-Sensor Systems

1.27V

IC is constant VBC = 0V

VBE1 VBE VBE2

∆VBE

T(K)

Figure 7.13 The base–emitter voltages V BE1 and V BE2 of two identical transistors operated at different collector currents plotted versus the temperature T for V BC = 0 V

In a first-order approach, there is no difference between diodes and transistors in the twoterminal configuration shown in Figure 7.12 (b). However, due to a number of physical nonidealities in the diodes, the accuracy of transistors is better. Therefore, in the following, we restrict ourselves to the transistor behavior. Transistors lend themselves very well to be used as temperature sensors, especially when low cost, good long-term stability and high sensitivity over a limited temperature range (−55 ◦ C to 150 ◦ C) are required. The favorable properties of transistors for this type of application are due to the highly predictable and time-independent way in which the base–emitter voltage V BE is related to the temperature T. The various methods for determining the temperature from V BE can be deduced from Figure 7.13, which plots the base–emitter voltages of two identical transistors operated at different collector-current density levels against the temperature. When classified according to the method applied, there are two types of sensors, having opposite temperature coefficients: (a) Single-transistor temperature sensors, in which the V BE of a single transistor is a measure of the temperature. (b) PTAT temperature sensors, in which the difference V BE between the base–emitter voltages of two transistors is a measure of the temperature (and in which the voltage is proportional to the absolute temperature (PTAT)). Any linear temperature characteristic can be realized by amplifying and adding the voltages mentioned under (a) and (b). This property is utilized in smart temperature sensors (Section 7.5).

7.4.2 Physical and Mathematical Models Let us assume that the collector-base voltage of the sensor transistor is biased at 0 V. This is desirable because, due to the so-called Early effect, changes in the collector-base voltage

202

Smart Sensor Systems

affect the base width (base-width modulation) and therefore the base–emitter voltage. In this case it holds that IC = Ae Js exp

q V BE kT

(7.8)

where Ae is the emitter area, J s is the saturation-current density which depends on the doping profile, T is the absolute temperature, q is the electron charge, and k is Boltzmann’s constant (k/q = 86.17 µV K−1 ). The saturation-current density J s is strongly dependent on the temperature. This is taken into account in the well-known equation [6]: IC = C ′′ T η exp

q(VBE − Vg0 ) kT

(7.9)

where V g0 is the extrapolated bandgap voltage at 0 K, η is a constant which is somehow related to the doping level, and C ′′ is a constant. With empirical values for η and V g0 , this equation describes the I C (V BE ,T) characteristic very accurately [7, 8]. Typical empirical values for the parameters V g0 and η are 1160 mV and 4, respectively. The sensor transistor has to be biased at a collector current with a well-known temperature dependence. In practice it is quite easy to create a current that is constant or proportional to some power of T. Therefore, we suppose that: IC ∝ T m

(7.10)

For further analysis, it is convenient to express V BE (T) as the sum of a constant term, a term proportional to T and higher-order terms, in such a way that the linear terms represent the tangent to the V BE (T) curve for T = T r (as shown in Figure 7.14 (a)). It can be calculated that [4]:   kT T − Tr T VBE (T ) = VBE0 + λT + (η − m) (7.11) − ln q T Tr In this equation the following relation is valid: VBE0 = Vg0 +(η − m)

kTr q

(7.12)

To get an impression of the magnitude of the different terms of Equations (7.11) and (7.12), we substitute V g0 = 1160 mV, η = 4, and, for example, m = 0 (I C = constant), T r = 323 K and V BE (T r ) = 547 mV; then we find for the constant term V BE0 = 1271.3 mV and for the PTAT term λT in (7.11) that λ = −2.24 mV K−1 . The nonlinearity in V BE (T), which is represented by the last term in Equation (7.11), is plotted in Figure 7.14(b) against the temperature in ◦ C for various values of (η − m). This figure clearly shows the characteristic parabolic shape of the nonlinearity. This figure also shows that, with λ = −2.24 mV K−1 and η − m = 3, the maximum nonlinearity over the −55 ◦ C to 150 ◦ C temperature range amounts to about 20 ◦ C. This means that for such a wide temperature range, the nonlinearity should be taken into account. In smart temperature sensors this nonlinearity is usually compensated in the hardware.

203

Smart Temperature Sensors and Temperature-Sensor Systems

mV -50

-20 0

20 40 60 80 100 120 140

0 -5

t(oC)

-10 1 VBE

-20

≅1270mV for constant IC

VBE0 Vg0

(η-m)

kT ( q

T-Tr

T

2 - ln

T ) Tr

-30 3 -40 tr = Tr-273.15 = 50oC

IC ∝ T m

η-m = 4

-50

tanϕ = λ

Vg0 = 1155mV

η=4 0

-60

ϕ Tr

T

(a)

(b)

Figure 7.14 (a) The base–emitter voltage V BE versus the temperature T. The curvature is exaggerated in order to clearly indicate the characteristic points. (b) The nonlinearity (η − m)(kT/q){(T − T r )/T) − ln(T/T r )} of V BE (T) versus the temperature θ (◦ C)

7.4.3 PTAT Temperature Sensors In addition to the base–emitter voltage V BE the difference V BE between the base–emitter voltages of two transistors can also be used as a measure for temperature (see Figure 7.13). When comparing the use of these two voltages, the following advantages and drawback can be found:

r The voltage V BE is much smaller than the voltage V BE and therefore more sensitive to noise and interference.

r The voltage V BE is immune to variations in doping concentrations and therefore its tolerances are much smaller than those of the voltage V BE . This is important when using uncalibrated sensors (see Section 7.4.5). r The two voltages have opposite temperature coefficients. Therefore, after amplification of the voltage V BE , the amplified voltage can be used to compensate for the temperature dependence of V BE , which results in a temperature-independent voltage, which can be used as voltage reference (the so-called bandgap-reference voltage).

Figure 7.15(a) shows the principle of a circuit generating the voltage V BE . In such a circuit is important that all of the transistors have the same temperature T. This can easily be accomplished when the circuit is realized on a single chip, as an integrated circuit. Because the transistors Q1 and Q2 are operated at the same temperature T, we know from

204

Smart Sensor Systems

V+

c

p Q5

Q1

Q3

b

e

Q4

− ∆VBE

+

IBIAS

Q2

n+ p+

r

n+

p

n+

n+ p+

n-epitaxial layer

active area of the transistor

p-substrate (a)

(b)

Figure 7.15 (a) Principle of a circuit to generate the difference V BE between the base–emitter voltages of two transistors Q1 and Q2 . (b) Cross-section of a multi-emitter transistor. The active areas of this transistor are connected in parallel so that the behavior of such a transistor is similar to that of a number of parallel-connected ones

Equation (7.8) that: VBE = VBE1 − VBE2 =



kT q



ln



IC1 Js2 Ae2 IC2 Js1 Ae1



(7.13)

For identical transistors fabricated on the same chip it holds that J s2 = J s1. To realise an accurate emitter area ratio r = Ae2 /Ae1 , transistor Q2 has been implemented as a multi-emitter transistor, with r emitters connected in parallel (Figure 7.15(b)). Furthermore, in this circuit, the transistors Q4 , Q3 and Q5 are operated in a so-called current-mirror configuration. Because of the interconnected base–emitter terminals and the equal temperature T, the collector-current densities of these three transistors are equal. Because transistor Q3 has been implemented as a multi-emitter transistor, with p emitters, the collector-current ratio I C3 /I C4 = I C1 /I C2 is kept at a constant accurate value p, which does not depend on temperature or other physical parameters. Taking into account these features, Equation (7.13) can be rewritten as:   kT ln( pr ) (7.14) VBE = q This voltage is proportional to the absolute temperature (PTAT). With this basic principle PTAT current sources can be built. Figure 7.16(a) shows a basic PTAT current source, in which the PTAT voltage is generated over resistor R2 . This circuit generates its own biasing currents: Once a certain small amount of start-up current is flowing, the currents and voltages will converge to their final stationary values. The properties of PTAT current sources were used in the first integrated temperature sensors, in which the sensing element was integrated together with the electronic processing circuitry on the same chip [9]. These sensors provide a calibrated PTAT output current of 1 µA K−1 , which is stabilized against changes in the supply voltage. Some very accurate PTAT current sources have been shown in Figure 7.16(b) [10] and Figure 7.16(c) [11]. Characteristic for these circuits are: the cross-connected bases of Q1 and

205

Smart Temperature Sensors and Temperature-Sensor Systems

Ibias

Ibias

Io =

V+

kT lnr r qR2 2 1

V+

current mirror

Ibias

p Q3

Q4

Q3

Q4

Q3

Q4

r2

1

r2

1

Q1

Q2

Q1

Q2

1

r1

1

r1

Io r2

1

1

r1

R3

IC3 = pIC4

Q1

Q2

r R2

(a)

ln(pr) ∆VBE = kT q

R2

R2

Io

Ibias + Io

V-

(b)

(c)

(d)

Figure 7.16 PTAT current sources: (a) basic principle; (b) principle of an all-npn PTAT current source, (c) an all-npn PTAT current sink, (d) all-npn current sink with biasing circuit

Q2 , the unequal emitter areas with ratios r1 and r2 for the transistor pairs (Q2 , Q1 ) and (Q3 , Q4 ), and the emitter resistances R2 and R3 . For the circuit of Figure 7.16(b), it holds that Vbe1 + Vbe4 − Vbe2 − Vbe3 = Ie3 R3 + Ie2 R2

(7.15)

where the numerical subscripts correspond to those of the components. Momentarily ignoring the base currents and the base-widening effect, we find, with V be = (kT/q)ln(I C /I s ), that kT ln(r1r2 ) = Ie3 R3 + Ie2 R2 , q

(7.16)

where r1 = I S2 /I S1 and r2 = I S3 /I S4 , ratios which are not temperature dependent. When R3 = R2 = R, we can write: kT ln(r1r2 ) = R(Ie3 + Ie2 ) = R I0 q

(7.17)

where I o denotes the output current. Some important conclusions may be drawn from Equation (7.17): (a) The output current I o is PTAT, assuming that R is temperature-independent. (b) The output current I o is independent of the bias current I bias . This remarkable feature is due to the cross-connection of the bases of Q1 and Q2 . Another interesting circuit is obtained if R3 = 0  (Figure 7.16(c)). Equation (7.16) shows that in this case, the right-hand branch current I E2 and therefore also I C4 are PTAT. The circuit can generate its own biasing current using a current mirror, as shown in Figure 7.16(d). The current mirror can also be used to make available more than one PTAT current.

206

Smart Sensor Systems

M1

Sp1

M2

Sp2

M3

Sp3

M4

Min

Sp4

I VBE2

VPTAT

Q2

VBE1 Q1

Figure 7.17 Generating of PTAT-voltages in CMOS technology, according to [12]

The circuits of Figure 7.16 are typical examples of bipolar designs. At the time they were designed, it was believed that low-frequency high-precision circuits could only be fabricated in bipolar technology. For economical reasons, designers tried to make similar circuits in CMOS technology. For precision application, CMOS technology has two major disadvantages:

r Because of the large mismatch of CMOS components, basic circuits such as current mirrors and operational amplifiers show a large offset,

r Because CMOS transistors are surface devices, the current through CMOS transistors shows strong flicker (1/f ) noise. Fortunately, designers found ways to reduce the effects of these basic drawbacks. Nowadays, the performance of many CMOS precision circuits is similar to or even better than that of their bipolar equivalents. As an example, Figure 7.17 [12] shows a PTAT voltage generator fabricated in CMOS technology. In most CMOS processes it is possible to apply parasitic pnp-substrate transistors to generate the PTAT voltage and V BE voltages. By a proper setting of the switches, the CMOS current mirror Min , M1 –M4 takes care that the current through Q2 is three times that through Q1 . Consequently, a PTAT voltage is generated between the emitters of Q2 and Q1 , which nominally equals   kT ln 3 (7.18) VBE = q However, due to component mismatch between the transistors, an unpredictable error will occur. Application of dynamic element matching (DEM)3 solves this problem. With this method, using switches Sp1 to Sp4 , the transistors M1 –M4 are sequentially interchanged so, that during 3

The general concepts of DEM are explained in more detail in Chapter 2 and 10.

207

Smart Temperature Sensors and Temperature-Sensor Systems

V+

Vref

p Q3

Q4 IC3

IPTAT =

VBE

Ibias

VPTAT R2

V A1∆VBE

1x

R1

VC

0

R1 Vref

Vref,nom

VBE0

V+

Q1

Q2

Q7

Vo VBE7

T VT

VT,nom

r R2

(a)

∆VBE = kT ln(pr) q

(b)

(c)

Figure 7.18 (a) Principle of a circuit generating a reference voltage; (b) Principle of a circuit generating a voltage at a ◦ C, ◦ F or another scale. (c) The generated voltages and their tolerances versus the temperature

one measurement cycle, each of them has been in the position of biasing Q1 , while the others are biasing Q2 . After a complete cycle the average value of V BE for the four permutation steps equals the desired value of Equation (7.18). In a smart sensor system, after A/D conversion of the signals, the average can be calculated in the microcontroller. This technique works so well that it is even possible to remove any cascoding transistors that would be needed for fully bipolar PTAT circuits. This will lower the minimally required supply voltage and makes the circuit suitable for low-voltage design.

7.4.4 Temperature Sensors with an Intrinsic Voltage Reference In smart temperature-sensor systems (see Section 7.5), the temperature-sensitive voltages are converted into dimensionless digital quantities. This means that somewhere in the signal processing chain a feature has to be implemented for calculating the ratio of the sensor voltage and a reference voltage. Such a reference voltage can be generated using a bandgap-reference circuit, in which a compensating voltage V C (T) is added to V BE (T), to compensate for at least the first-order temperature dependence of V BE (T). This correction voltage is obtained by amplifying the PTAT difference V BE (see Equation (7.14)) of the base–emitter voltages of two transistors operated at unequal collector-current densities with ratio pr. In this way, a temperature-independent output voltage V ref is obtained, for which it holds that Vref = VBE (T ) + VC (T ) = VBE (T ) + AVBE (T ) = VBE0

(7.19)

On the other hand, by inverting the V BE (T) voltage and adjusting the scale factor A, a temperature-dependent voltage V T (T) on a ◦ C, ◦ F or another scale can be obtained.4 Figure 7.18(a) and (b) shows two basic circuits to generate a reference voltage and a temperaturesensitive voltage, respectively. Figure 7.18 (c) shows the obtained output voltages. These 4

See also Chapter 10, Section 10.6.3, which shows how to combine the V BE (T) and the V BE voltages in a processor for thermocouple voltages.

208

Smart Sensor Systems

voltages, V BE (T) and V BE , show production tolerances, which will cause some inaccuracy of the voltage V ref and V T (T). The next subsection will discuss how calibration and trimming can reduce the effects of these tolerances.

7.4.5 Calibration and Trimming of Transistor Temperature Sensors Because of production tolerances in the base geometry and in the doping profile, the base–emitter voltage and to a much lesser degree the PTAT voltage will show some spreading (Figure 7.18(c)). Applying DEM, according to the principle of Figure 7.17, significantly reduces the effects of tolerances in the PTAT voltage. Unfortunately, this technique cannot reduce the spreading in V BE (T). For both voltages V BE and V BE it holds that their extrapolated values at 0 K are well known and show hardly any tolerances. Owing to this very important property, it is sufficient to calibrate and trim transistor sensors at a single temperature T trim , to make the transistor characteristic equal the nominal one. During the calibration procedure, the base–emitter voltage and the transistor temperature are measured with accurate equipment. Then, the base–emitter voltage can be adjusted to its desired value by adjusting the biasing current or the emitter area of the bipolar transistor generating the V BE (T) voltage. The trimming data are permanently stored in, for instance, Zener diodes that can be ‘zapped’. After zapping the Zener diode is permanently and reliable short-circuited with a small aluminum wire (see also Section 7.5.1). Alternatively, trimming can be implemented using flash EPROM, laser-trimmed thin-film resistors, or fusible links. In case of a bandgap voltage reference, it is not necessary to measure the temperature to trim the output voltage. At a temperature T trim it is sufficient to trim the output voltage to its nominal value V ref . When trimming V ref of a bandgap reference or V T of a temperature sensor, it is also possible to compensate for a possible deviation in the PTAT voltage with an adjustment of V BE (T).This property is a consequence of the fact that the extrapolated zero-Kelvin values of both V BE (T) and V T (T) are not affected by the trimming procedure independently of whether V BE (T) or AV BE is trimmed.

7.5 Smart Temperature Sensors and Systems In smart temperature sensors, temperature-sensing transistors are combined with signalprocessing circuits, voltage references, trimming circuits and interface circuits to enable direct read-out by a microcontroller or a DSP (see the system set-up of Figure 7.1). A powerful feature of smart sensor systems is that they allow easy combination of the measurements of various signals, which will yield a more reliable or advanced system. For instance, in thermocouple measurement systems not only the thermocouple-output voltage is measured, but also the reference-junction temperature (Chapter 10, Section 10.6.3). In this way it is possible to perform an absolute temperature measurement. To monitor corrosion effects, the thermocouple resistance can be measured. An increasing resistance indicates that the performance is degrading. When the resistance is too large, an alarm can be triggered. From a technological point of view it is possible to fabricate smart temperature sensors with a complete on-board microcontroller. However, in view of self-heating effects, care has to be taken to limit the power dissipation. Moreover, from an economical point of view it is often preferred to use off-the-shelf microcontrollers and a universal sensor interface, which can support a number of

Smart Temperature Sensors and Temperature-Sensor Systems

209

sensing elements. Usually the sensor interface contains a period or duty-cycle modulator, or a sigma-delta converter. In addition to this, a sensor-bus interface (I2 C, SPI, µWire, etc.) could be added. The sensing elements can sometimes be fabricated on the same chip, together with the interface. But often the use of discrete sensing elements is preferred (Figure 7.1). In this chapter we consider all of these options.

7.5.1 A Smart Temperature Sensor with a Duty-cycle-modulated Output Signal Figure 7.19 shows a photograph of one of the first smart temperature sensors designed to be read out by a microcontroller. The top area of the depicted chip shows a big capacitor with a value of about 500 pF, which is used for integration of current. The row of bonding pads at the bottom side of the chip is used for trimming, using Zener diodes that can be ‘zapped’ (Zenerzapping) during the testing procedure. The sensor contains a group of temperature-sensing transistors that generate the basic voltages V BE (T) and AV BE (T) [13]. These voltages are converted into currents, which are amplified, added and subtracted as shown in Figure 7.20(a). The final result of this operation are the two currents I 1 (T) and I 2 (T), which have opposite temperature coefficients and are almost linearly related to the temperature (Figure 7.20(b)). The parameters C1 , C2 , RBE and RPTAT fulfil certain conditions, to make I ref (T) = I 1 (T) + I 2 (T) independent of the temperature. The two currents I 1 (T) and I 2 (T) are used to charge and discharge a capacitor between two threshold voltages Figure 7.21(b). The switch is activated

Figure 7.19 Photomicrograph of the smart temperature sensor SMT160, fabricated in BICMOS technology. (Courtesy of Smartec)

210

Smart Sensor Systems

I 1

VPTAT

Vgo

RPTAT

-

RBE

I2

I2

+

(1-C1)

1 RBE

VBE

I2+I1=Iref

Vgo RBE 0

C1

-

0

I1

+

C2

-

TL

TH

T(K)

I1

C1Vgo RBE

(a)

(b)

Figure 7.20 (a) Principle of signal generation of I 1 and I 2 . (b) Temperature dependence of I 1 and I 2 extrapolated to 0 K

when the capacitor voltage crosses one of two threshold voltages. The time interval ti between two threshold crossings amounts to ti =

Vh C (i = 1, 2) |Ii |

(7.20)

where V h is the hysteresis voltage of the Schmitt trigger which equals the peak-to-peak value of the saw-tooth-shaped voltage V C and the difference between the threshold voltages. In this t1

Chip

V+

Schmitt trigger

I2(T)

t1 t1 + t2

VC

Micro computer

I1(T)

t2

=

I2 I1 + I2

Vo

C2

V-

Vo time

(a)

(b)

tp t1

(c)

t2

Output voltage Vo

ts tm

Figure 7.21 Principle of a smart temperature sensor with duty-cycle modulated output signal. (a) Basic circuit. (b) The voltage across the capacitor V c . (c) The output voltage V o and sampling pulses versus time

211

Smart Temperature Sensors and Temperature-Sensor Systems Table 7.2 Specifications of the smart temperature sensor SMT 160 (Smartec, 2006-1) Characteristics

Min.

Typical

Max.

Unit

Supply voltage Supply current Temperature range Total absolute accuracy (−30 to 100) ◦ C (−45 to 130)◦ C Calibration error at 23◦ C Long-term drift Frequency of the duty-cycle modulated output signal Noise, standard deviation for tm = 30 ms

4.75

5

−45

— 0.7 1.2

7 200 130 1.2 2.0 0.25

V µA ◦ C ◦ C ◦ C ◦ C ◦ C kHz mK

1

0.1 — 6

4

way the circuit of Figure 7.21(a) works as a relaxation oscillator. For the duty-cycle M(T) of the square-wave output signal it can be found [13], that M(T ) =

T − TL I1 (T ) t1 = = t1 + t2 I1 (T ) + I2 (T ) TH − TL

(7.21)

where T H and T L represent the maximum and the minimum temperature of the extrapolated measurement range, respectively (i.e. the range for which the duty-cycle M(T) varies from 0 to 1). In the design of the chip, some measures have been taken to compensate for the small nonlinearity of the voltage V BE (T). The chip has been designed, such that the duty cycle varies linearly over the temperature range according to the equation: θ = 212.77 ◦ C × (M(T ) − 0.32)

(7.22)

where θ is the temperature in ◦ C. The sensor is calibrated and trimmed during the testing procedure. The main specifications have been listed in Table 7.2. The noise of the sensor has a flat spectral density with a standard deviation σ sensor which depends on the number of measured periods N and amounts to: 60 mK σsensor = √ N

(7.23)

In addition to this noise, sampling noise will also occur (Figure 7.21(c)). This noise has the same origin as the quantization noise in A/D converters and arises as follows: The microcontroller counts sampling pulses during the time intervals that the output signal is high. The number of pulses is stored in a ‘1’ register. A second register keeps track of the total number of sampling pulses during the measurement-time interval tm . When the contents of the second register exceed a certain value, the counting is stopped as soon as tm reaches a whole number of periods. To find the temperature θ , in the microcontroller, division (7.21) is performed and the result is substituted in Equation (7.22). Because the sampling pulses are not synchronized with the output signal, there will be some uncertainty about when the time intervals start and stop. This uncertainty is responsible for the sampling noise (see also Section 7.2.3). Straightforward calculations show that this sampling noise causes an error in the duty cycle, in which

212

Smart Sensor Systems

the standard deviation σ sn ts σsn =  6tm tp

(7.24)

where ts is the sampling time, tp is the period of the signal, and tm is the total measurement time, which equals an integer number of periods of the output signal.

Example 7.7: Suppose that the measurement time tm = 30 ms, the sampling time ts = 0.3 µs and the period time tp = 0.3 ms; then with Equations (7.23) and (7.24) we find N = 100 and σ sensor = 6 mK and for the standard deviation in the duty cycle M(T) that σ M = 0.041×10−3 . From Equation (7.22) we find that the corresponding standard deviation in the temperature measurement is σ sn = σ M × 212.77 K = 8.7 mK. The total amount of noise is σ total = (σ 2 sensor + σ 2 sn )1/2 ∼ = 10 mK. To decrease this noise, a faster microcontroller or a longer measurement time can be selected.

7.5.2 Smart Temperature-sensor Systems with Discrete Elements When instead of integrated temperature sensors the use of discrete sensing elements is preferred (see Sections 7.1 and 7.2), then for a number of reasons it can still be desirable to use the measurement techniques described in Chapter 2. Possible reasons for such a choice could be the wish:

r r r r r r

to have a microcontroller-compatible output signal; to minimize size, costs and power consumption; to implement auto-calibration; to have ac excitation instead of dc; to have a fast controllable measurement speed; to combine the sensor system with a control system, using the same microcontroller.

In such a case it will be of interest to consider using a special sensor interface, such as the universal sensor interface UTI, discussed in Chapter 2 [14]. These measurement principles can partly be found in the alternative interface products of refs [15–18]. For more information the reader is referred to Chapter 10 and to the websites of the manufacturers. In Chapter 10, Section 10.6.3, it is shown how the discussed measurement techniques can be applied for a system to measure thermocouple signals. In this system the principles of transistor sensors (Section 7.4) have been applied for both the generation of the required reference voltage and the measurement of the reference-junction temperature.

7.6 Case Studies of Smart-sensor Applications In this section we will discuss the features of smart temperature sensors for some characteristic applications. The first application concerns detection of the presence of micro-organisms, by

213

Smart Temperature Sensors and Temperature-Sensor Systems

measuring the heat they generate. The second application concerns a very precise and fast control system for stabilizing the temperature of a ceramic substrate.

7.6.1 Thermal Detection of Micro-organisms with Smart Sensors Sterilized products must be completely free of micro-organisms. To check the quality of the production process with respect to sterility, random samples are taken from the production lots and tested. In case of packaged products, such tests must be performed in a noninvasive way in order to limit product loss, to reduce the amount of work and to eliminate the risk of infection during testing. Typically, the number of micro-organisms shows an exponential growth (Figure 7.22). During growth, the organisms use nutrients (food) and produce heat. At a certain moment tc the growth stops, because there are too few nutrients or because the concentration of waste products is too high. Because of their metabolism, micro-organisms can be detected noninvasively by measuring the product temperature and comparing this temperature with that of sterile reference products. Just for this purpose, a calorimeter has been designed [19] to detect the presence of micro-organisms in cartons of sterilized milk using the smart temperature sensors dealt with in Section 7.5.1. For this particular application, typical requirements for the temperature sensors are that temperature changes as low as a few millikelvins have to be detected. Also, the effects of noise and self-heating of the sensors should be less than 1 mK. On the other hand, the absolute accuracy does not need to be very high, as long as the short-term drift over four days is less than about 2 mK. Finally, the system has to contain many sensing elements that can be easily be multiplexed and connected to a microcontroller. To illustrate typical problems in temperature measurement systems, the various design aspects will be discussed below.

Concentration

micro-organism multiplication

3

4

5

dying of micro-organisms

6

7

tc

Time (hrs)

Figure 7.22 The exponential growth of micro-organisms in a certain amount of liquid

214

Smart Sensor Systems

Thermal considerations The products in the calorimeter are thermally insulated from each other and from the environment. The thermal insulation has the following functions:

r The heat production of the micro-organisms causes the temperature to rise. The temperature rise increases with the thermal resistance between the milk product and the environment. Therefore, good thermal insulation results in a large thermal signal, which makes accurate detection possible. r Thermally insulating the products well with respect to the environment ensures that the detected changes will be caused by heat dissipation in the products and not by temperature changes in the environment. r When there is good thermal insulation between the products, it is easier to distinguish the thermal behavior of each product. In the experiments polystyrene foam was used as the insulation material. Enlarging the contact area with the sensor can reduce the influence of the thermal resistance of the milk carton. This can be accomplished by using a metal plate. To ensure good thermal contact, we applied a special version of the smart sensors, bonded on a flat, thermally conducting ceramic substrate [20] (Figure 7.23(b)). In the first experiment, a simple experimental calorimeter for two one-liter cartons of milk (Figure 7.23(a)) was devised to measure the heat production of the micro-organisms and to test the accuracy of the thermal model (Figure 7.23(c)). This equivalent model was composed according to the principles presented in Chapter 6.3. For the details of this model the reader is referred to [19]. Each carton of milk is represented by a -network of two capacitors and a resistor Rc . The resistor Rv represents the thermal resistance of the insulating wall between the cartons and R1 and R2 that of the insulating walls between the cartons and the ambient. The voltage source V amb represents the changes in the ambient temperature. The current source I m represents the power dissipation P of the micro-organisms in carton 1, which has been determined experimentally for a number of micro-organisms. Smart temperature sensors According to the principles described above, a calorimeter for 100 one-liter cartons of milk has been fabricated. The temperature of each carton is measured with a smart temperature sensor of the SMT 160 type [20]. Compared with resistive sensor elements, such as thermistors and Pt resistors, these sensors offer a number of advantages: The output signal is immune to resistances of electronic switches (multiplexers) and connecting wires switches, and insensitive to the effect of electromagnetic interference. Furthermore, fewer wires and electronic switches are required. Another important advantage is that these sensors can directly be connected to microcontrollers. A block diagram of the electronic circuitry is shown in Figure 7.24. One of the smart sensors is selected with the power-supply multiplexer, via a pair of switches connected in a 10 × 10 array. All of the sensor outputs are connected to an OR gate. The signal of the selected sensor is transferred to the gate output and the microcontroller TIMER input. As temperature changes as small as a few milliKelvin should be monitored, special attention has been paid to those sensor nonidealities that affect the resolution, such as noise and

215

Smart Temperature Sensors and Temperature-Sensor Systems

insulating material (polystyrene foam) carton of milk

metal plate

alumina Al2O3

polystyrene epoxy cavities for cartons of milk

R1 47K/W

(a)

t-sensor

smart sensor chip

(b)

R2 47K/W Rv 39K/W Rs

RC1 4K/W Vamb

Im

C11 2.1kJ/K

Rs

8K/W Cs 0.1J/K

C12 2.1kJ/K

8K/W Cs 0.1J/K

Is Is 1mW 1mW

carton 1 infected with micro-organisms

RC2 4K/W C21 2.1kJ/K

C22 2.1kJ/K

carton 2

(c)

Figure 7.23 A calorimeter for the detection of micro-organisms in milk. (a) Experimental structure. (b) A sensor set-up that ensures a good thermal contact with the product. (c) An electrical model of the thermal characteristic

self-heating. Other sensor nonidealities, including drift and transient behavior, do not pose significant problems [19]. Noise With the help of a short computer program the microcontroller can sense whether the sensor voltage is high or low. The speed of this sampling process is limited due to the limited timer rate and the finite instruction time of the microcontroller. This creates sampling noise, as

10 x 10 array of smart sensors

V+

power supply multiplexer

single chip µC

gnd

≥1

100 wires output

Figure 7.24 Block diagram of the sensor system

PC

216

Smart Sensor Systems

explained in Section 7.5.1. To achieve the required accuracy it is necessary to sample over more than one sensor period. If a microcontroller of the 87C51FA type is applied and a measurement time of for instance 30 ms, the data will correspond to those used in Section 7.5.1, Example 7.7, where the sampling noise was found to be σ sn = 8.6 mK and the total measurement noise σ total ≈ 10 mK. Lengthening of the measurement time tm , which increases the number of periods N, will reduce both types of noise inversely proportional to the square root of time tm . Because slow temperature changes are to be detected, it should not be a problem to use a longer measurement time and to reduce the noise up to any acceptable level. However, applying continuous power over a long measurement time would cause an unacceptably large error due to selfheating, as explained below. Therefore, the sensors in our calorimeter are sequentially powered for the optimal time of 30 ms each and the results are averaged over 100 measurements. This averaging reduces the noise by a factor of 10, so that the resulting total noise amounts to σ total,100 = 1 mK. Self-heating When the sensor is powered, the sensor temperature starts to rise because of the self-heating caused by the internal dissipation of 1 mW. The magnitude and speed of this temperature rise depend on the thermal resistances and capacitances of the sensor and its environment. For the thermal set-up of Figure 7.23(c) with continuous powering, self-heating causes a temperature rise of up to 80 mK (Figure 7.25). The magnitude of this effect spreads, because it depends on the sensor’s thermal contact to the product under test. Therefore, this effect should be reduced. The problem of self-heating is avoided by sequentially powering each sensor for period of only 30 ms [19]. A detailed analysis of the thermal structure shows that during the first 30 ms, a mean temperature rise of about 4 mK is to be expected. This error is mainly determined by the intrinsic thermal properties of the sensor itself, such as the thermal capacity of the chip and the thermal resistance from the chip to the ceramic substrate. From sensor to sensor, these

Figure 7.25 The self-heating effect of a smart temperature sensor in the thermal set-up of Figure 7.23(b) versus the time after the power has been switched on

217

Smart Temperature Sensors and Temperature-Sensor Systems

1.0

27 ∆T (mK)

Temperature (°C)

0.8 0.6

8.5·108 6.5·108 3.5·108 concentration streptococcus cremoris 2.3·108 per ml

0.4 26 0.2

0

10

20

0 30

40

50

Time (hours) (a)

-0.2

10 (b)

20

30

40

50

time (hours)

Figure 7.26 (a) The measured temperatures of a row of ten cartons of milk. (b) The temperature differences between neighboring cartons calculated for the data plotted in (a), with nulling at the start of the experiment

short-term heating effects will only show slight differences. Therefore, as will be discussed later, when calculating the temperature differences between the various products, this effect is reduced to an insignificant level.

Measurement results Tests were conducted on the prototype with 100 cartons of milk. Figure 7.26(a) shows the temperature changes over a period of 50 hours. Because of temperature changes in the laboratory, all of the measured temperatures show a variation of a few degrees. However, the behavior of one of the cartons differs from that of the others. This carton has been injected with micro-organisms of the type Streptococcus Cremoris. By smart data processing, this effect can be distinguished more easily. This is shown in Figure 7.26(b), using the data of Figure 7.26(a). However, in Figure 7.26(b), the differences have been plotted between the temperatures of neighboring cartons of milk, with nulling at the start of the experiment. With this type of data processing, even when uncalibrated sensors are used, temperature changes as low as 5 mK can be monitored, which enables sensitive monitoring of the activities of many types of micro-organisms.

7.6.2 Control of Substrate Temperature Surface acoustic wave (SAW) delay-line oscillators are very suited to measure interrelations between concentrations of specific gaseous chemical compounds in a carrier gas [21]. Adsorption and resorption processes of doping gasses in a chemically sensitive film, deposited on a SAW delay line, influence the phase velocity through mass and conductivity changes of this layer. Unfortunately, SAW devices are burdened with temperature cross-sensitivity, because temperature variations also change the phase velocity. A better performance is obtained by the addition of a reference delay line. However, the two delay lines will never match

218

Smart Sensor Systems

exactly. Therefore, there is a difference in phase velocity between the two delay lines, which is also temperature dependent. This phenomenon reduces the accuracy of the chemical sensor substantially; hence, temperature stabilization is a necessity. In addition to temperature stabilization, also the adjustment of the set-point temperature within a predefined interval is desirable. This enables the user to choose the optimal set point for a specific application. Features such as selectivity, sensitivity, oscillator instability, the chemical response time and the type of chemical interface used play an important role in choosing the optimal set-point temperature. To enable accurate temperature control and stabilization, a gas-tight encapsulation for a SAW NOx sensor has been designed. This encapsulation combines good thermal insulation of the gas sensor from its environment with a short response time. This section concerns the design of the thermal control system. For the design of the electrochemical part, the reader is referred to ref. [22]. The temperature sensor applied in the control system is the smart temperature sensor described in Section 7.5.1. Unlike in open-loop measurements, in control loops the thermal time constants are important design parameters, which have to be well known as they determine the stability of the control loop. In the controller design, many additional aspects have to be taken into account, such as accuracy, time response, settling time, overshoot, and costs. Thermal design In the design of the control system, the following thermal conditions and functional demands have been assumed:

r The gas flow over the SAW device is constant in the range 0 l/h to 10 l/h. r The environment temperature is constant in the range 0 to 30 ◦ C. r The operational temperature of the SAW device should be adjustable between 40 and 120 ◦ C.

r Temperature variations should be less than ± 0.01 ◦ C around the set point. This will limit the temperature-dependent part of the frequency difference to approximately 3 Hz. With this resolution, it is possible to measure concentrations of a few parts per million. The SAW sensor consists of two aluminum Inter-Digital Transducers (IDTs) fabricated on a quartz substrate (12 mm×10 mm×0.5 mm). The chemosensor is thermally insulated from its environment, because otherwise it would not be possible to stabilize the set-point temperature of this device within ± 0.01 ◦ C. To heat the quartz die, a resistive meander-shaped heater is etched into an aluminum layer sputtered on the back of the die. An aluminum-free spot in the middle of the heater is reserved for the smart temperature sensor, which is glued to the quartz substrate (Figure 7.27). This enables direct measurement of the die temperature. The control algorithm has been implemented in a microcontroller. The block diagram of the control system for warming up has been shown in Figure 7.28 [23]. The zero-order A/D and D/A conversions are modeled by the block with transfer function: G(s) = G p (s)

1 − e−T s s

(7.25)

An active cooling facility is not available and the power delivered to the heater is finite. To model this actuator saturation, a limiter is added to the control scheme. The parameters D1 ,

219

Smart Temperature Sensors and Temperature-Sensor Systems

Figure 7.27 Bottom side of the quartz die (12 mm × 10 mm × 0.5 mm) containing an aluminum heater and a smart temperature sensor

D2 and the limiter represent a nonlinear anti-windup controller [24]. The feedback path in the lower part of the diagram represents the transfer function and averaging of the smart sensor signals. To obtain a constant measurement time and a minimal total time delay two software timers are used to synchronize the input and output data rates of the microcontroller. In the present control loop, the measurement time is adjusted to 0.1 s. For an extended description the reader is referred to ref. [23].

Experimental results The temperature control system has been tested under various circumstances. As an example, Figure 7.29(a) shows the measured time responses for different set points and a flow velocity of 10 lh−1 . The set point is reached within 90 s. Even when at a frequency of 2 Hz the flow velocity varies ±0.1 lh−1 , the temperature deviation from the set point remains within ± 0.02 ◦ C. Figure 7.29(b) shows the effects of flow steps on the system response. The peak at 75 s and the dip at 135 s are caused by switching-off and on a flow of 10 lh−1 , respectively. This plot shows that the control system responds rapidly to these flow changes.

G(s)

limiter R*(s)

x

+

D1(z)

α +

-

Pmax

+

y

F(s)

T

e-tos

0 1

1 - e-Ts s

Gp(s)

β D2(z)

T I*(s)

I(s)

0.0047 0.09s + 1

Temperature sensor

Figure 7.28 The block diagram of the temperature control system for warming up

θ(s)

220

Smart Sensor Systems

123 122 121 120 119 118

°C117 116 115 114 113 180

195

165

135

150

120

90

105

75

45

60

30

0

15

112

t (s)

Figure 7.29 (a) Duty-cycle and corresponding temperature reached for different set points for a flow velocity of 10 lh−1 ; (b) time responses to flow steps of 10 lh−1

7.7 Summary and Future Trends 7.7.1 Summary In this chapter, two types of smart sensor systems have been considered: those combining discrete types of sensing elements with separated sensor interfaces, and those in which the sensing elements are integrated together with their electronic interfaces on a single chip. In industry, the most commonly used types of sensor elements are:

r platinum resistors and thermistors; r transistors; r thermocouples and thermopiles. The features of these sensing elements have been compared. For on-chip integration, transistors, thermocouples and thermopiles are the most suitable sensing elements. Besides cost, the main sensor features are:

r r r r r

(in)accuracy; short- and long-term drift; noise; self-heating; dynamic response.

It was shown that it is important to distinguish between accuracy on the one hand and shortand long-term drift on the other as often it suffices both in terms of performance and cost to design for high stability rather than high accuracy. It has been shown that there is a trade-off between noise and resolution on the one hand and measurement time and power dissipation on the other. Because each temperature sensor measures its own temperature, which can slightly deviate from the object temperature to be measured, it is important to consider the effect of selfheating. This effect depends on power dissipation and on the thermal resistance with respect

Smart Temperature Sensors and Temperature-Sensor Systems

221

to the environment. If the sensor is to be switched on only for short periods of time, also the effect of thermal capacitances should be considered. It has been shown that over the limited temperature range of −55 ◦ C to 150 ◦ C, transistors can very well be used as temperature sensors, especially when low cost, good long-term stability and high sensitivity are required. The base–emitter voltages of transistors provide measures for the temperature and can also be used to generate a (bandgap) reference voltage. These properties are very useful in integrated smart temperature sensors. It has been shown how the differences between the two base–emitter voltages of transistors can be used to generate a voltage that is proportional to the absolute temperature (PTAT). Various circuit techniques to improve the accuracy of the PTAT voltage have been presented. It has been shown that the implementation of these techniques is not limited to bipolar technology, but that especially in CMOS technology, high-precision circuits can be made. The principles of a smart temperature sensor with a duty-cycle-modulated output signal have been discussed. The application of smart sensor systems can offer advantages in terms of reliability, accuracy, system simplicity and related cost reduction. Two case studies for the application of smart temperature sensors were discussed. The first one concerns a measurement system that detects the presence of micro-organisms in food products by monitoring very small temperature differences. In this application, the most important properties of the sensors concern short-term stability, drift, self-heating, reliability and simplicity. The measurement time and absolute accuracy are of less importance. The second case study concerns a very precise and fast control system for stabilizing the temperature of a ceramic substrate. In this application, the thermal time constants must be minimized in order to achieve a fast system response and a highly stable control loop.

7.7.2 Future Trends The development of mixed-mode analog–digital circuits, such as smart temperature sensors and temperature sensor systems will follow that of the mainstream developments in microelectronics. Therefore an increasing interest has to be expected for the development of sensors which can be implemented in CMOS technology and which can be operated at low supply voltages. To reduce the effects of self-heating and to reduce energy consumption power consumption will be further reduced. The CMOS substrate pnp transistors appear to be rather suited for use as temperature-sensing element [25, 26]. An important way to reduce the sensor-system costs will be found in designing novel sensors that without calibration can offer a high accuracy. Because the piezojunction effect is the main cause of drift it is to be expected that the novel designs will take advantage of recently acquired knowledge concerning minimization of this effect. Again CMOS substrate pnp transistors are rather suited for low-drift design [2, 25, 26]. An on-going discussion in sensor technology is whether or not to integrate the sensing elements together with their interfaces and microcontrollers on the same chips. Often multidie solutions can simplify design problems as posed by physical constraints. Consequently, systems-in-a-package solutions will often be preferred to systems on a chip. A main problem to be solved concerns the complexity of testing electrophysical systems. Therefore, the development of such smart sensor system will be performed in an overall design approach concerning the overall system features, including long- and short-term accuracy and reliability.

222

Smart Sensor Systems

Problems 7.1 Self-heating and thermal capacity (see Section 7.2.6) An integrated smart temperature sensor has been implemented on a 2 mm×1 mm silicon chip. On both sides, this chip is exposed to laminar airflow of 1 m s−1 (see Figure 7.7(a)). The temperature (≈300 K) of the flowing air is to be measured. The power dissipation P of the sensor amounts to 1 mW. Using the experimental results shown in Figure 7.7(b), answer the following questions: (1) What is the temperature rise of the sensor chip due to self-heating by its power dissipation of 1 mW? (2) Suppose that only at one side the chip is exposed to the flow and that the other side is thermally insulated. What would be the temperature rise due to the power dissipation? (3) Suppose that there is a double-sided flow, as mentioned in (1). To reduce the selfheating effect, the power supply is switched on for only 30 ms. Calculate the mean temperature rise T mean during this time. (4) Suppose that the chip thickness was twice that shown in Figure 7.7(a). What would be the consequence for the temperature rise, as calculated in part (3) of this problem? (5) For a long time the sensor is periodically switched on during tm = 30 ms at intervals of ti = 3 s. What is the mean temperature rise time in this case? 7.2 Biasing sensitivities of temperature sensors (Sections 7.3 and 7.4) Three temperature sensors, a transistor, a thermistor and a Pt100 element (see Figure 7.30) are biased with a current source I s . The output voltages V 0 represent the temperature-dependent output signals. The manufacturer’s specifications state that for the transistor V BE (273 K) = 650 mV and for the thermistor the parameter a = 8.6×10−4 K−1 , b = 2.2×10−4 K−1 , c = 0×10−4 K−1 (see Equation (7.7) for a definition of the parameters a, b and c). An undesired change in I s causes an error in V 0 which is equivalent to a temperature error in the sensing elements. Calculate the equivalent temperature errors for a 1% change in I s for the three sensors at T = 273 K. 7.3 Sampling noise (Sections 7.2.3 and 7.5.1) A smart temperature sensor generates a duty-cycle modulated output signal (Figure 7.16(c)) with a period tp = 300 µs. The duty cycle varies linearly with the temperature, which has a sensitivity of 0.5% K−1 . This duty cycle is measured by sampling the output signal at time intervals ts = 0.3 µs.

Is

Is Vo

(a)

thermistor or Pt 100

Vo

(b)

Figure 7.30 Biasing of temperature sensors: (a) a transistor element, (b) resistive elements

Smart Temperature Sensors and Temperature-Sensor Systems

223

Two counters record the number of sampling pulses; one keeps track of the total time and the other the time that the signal is high. Because the sampling moments are not synchronous with the sensor signal, some sampling noise is introduced. The standard deviation of this noise should be less than 0.01 K. Calculate the minimum number N min of periods of the sensor signal required to measure the temperature.

References [1] Michalski, L., Eckersdorf, K. and McGhee, J. (1991). Temperature Measurement, John Wiley & Sons, Ltd, Chichester. [2] Fruett, F. and Meijer, G.C.M. (2002). The Piezojunction Effect in Silicon Integrated Circuits and Sensors, Kluwer, Boston, MA. [3] Meijer, G.C.M. and van Herwaarden, A.W. (1994). Thermal Sensors, IOP, Bristol. [4] Meijer, G.C.M. (1982). Integrated circuits and components of bandgap references and temperature transducers, PhD Dissertation, Delft University of Technology. [5] YSI (1989). Precision Thermistors, Yellow Springs, USA, presently www.meas-spec.com. [6] Slotboom, J.W. and de Graaf, H.C. (1976). Measurements of bandgap narrowing in Si bipolar transistors, SolidState Electronics, 19, 857–862. [7] Meijer, G.C.M. and Vingerling, K. (1980). Measurement of the temperature dependence of the IC –VBE characteristics of integrated bipolar transistors, IEEE Journal of Solid-State Circuits, 15, 237–241. [8] Meijer, G.C.M., Schmale, P.C. and van Zalinge, K. (1982). A new curvature-corrected bandgap reference, IEEE Journal of Solid-State Circuits, 17, 1139–1143. [9] Timko, M.P. (1976). A two-terminal IC temperature transducer, IEEE Journal of Solid-State Circuits, SC-11, 784–788. [10] Meijer, G.C.M. (1978). A new configuration for temperature transducers and bandgap references. In ESSCIRC 1978, Amsterdam, The Netherlands. [11] Dobkin, R.C. (1975). Input supply independent circuits, US Patent No. 3930172. [12] Meijer, G.C.M. (1995). Schakeling voor het opwekken van een temperatuursignaal met een gedefinieerde afhankelijkheid van de temperatuur, Dutch Patent Application No. 1000222. [13] Meijer, G.C.M., van Gelder, R., Nooder, V., van Drecht, J. and Kerkvliet, H.M.M. (1989). A three-terminal integrated temperature transducer with microcomputer interfacing, Sensors and Actuators A, 18, 195–206. [14] Smartec (2006-2). “http://www.smartec.nl” www.smartec.nl, data sheet: Universal Transducer Interface UTI. [15] Melexis (2004). “http://www.melexis.com” www.melexis.com, data sheet: Programmable Sensor Interface MLX90314AB. [16] Triad Semiconductor (2004). “http://www.triadsemi.com” www.triadsemi.com, data sheet: TASIC002- Smart Sensor ASIC solution on VCA-4. [17] Analog devices (2004). www.analog.com, data sheet: LC2 MOS signal conditioning ADC with RTD current source. [18] Maxim (2004). www.maxim.com, data sheet: ow-cost precision sensor signal conditioner. [19] Meijer, G.C.M., Kerkvliet, H.M.M. and Toth, F.N. (1994). Non-invasive detection of microorganisms using smart temperature sensors, Sensors and Actuators B – Chemical, 18, 276–281. [20] Smartec (2006-1). “http://www.smartec.nl” www.smartec.nl, data sheet: Temperature sensors. [21] Nieuwenhuizen, M.S. and Venema, A. (1989). Surface acoustic wave chemical sensors, Sensors and Materials, 5, 261. [22] Vellekoop, M.J. (1994). A smart Lamb-wave sensor system, PhD Dissertation, Delft University of Technology. [23] van der Meer, P.R., Meijer, G.C.M., Vellekoop, M.J., Kerkvliet, H.M.M. and van den Boom, T.J.J. (1998). A temperature-controlled smart surface-acoustic-wave gas sensor, Sensors and Actuators A – Physical, 71, 27–34. [24] Mayuresh, V., Kothare, P.J.C., Manfred, M. and Carl, N.N. (1994). A unified framework for the study of antiwindup designs, Automatica, 30. [25] Wang, G. (2005). CMOS bandgap references and temperature sensors and their applications, PhD Dissertation, Delft University of Technology. [26] Pertijs, M.A.P., Meijer, G.C.M. and Huijsing, J.H. (2004). Precision temperature measurement using CMOS substrate pnp transistors, Sensors Journal, IEEE, 4, 294.

8 Capacitive Sensors Xiujun Li and Gerard C.M. Meijer

8.1 Introduction Capacitive sensors are similar to thermal sensors in that the transduction of the physical input signal to the output signal is performed in two steps: firstly, by transducing a physical quantity into a change of electric capacitance; then, by measuring and converting the capacitive signal into an electric output signal. For this reason, many thermal sensors can be replaced by capacitive ones and vice versa. The majority of capacitive sensors can be found in applications for the detection of mechanical quantities of moving objects such as position, speed, and acceleration, as well as force and pressure [1, 2]. Another important application area is the measurement of liquid levels and dielectric properties of materials. Capacitive sensors have attractive features such as low energy consumption and simple structure. Moreover, in a clean environment, the accuracy and resolution of capacitive sensors can be very high [3]. Capacitive sensing elements can be made in micromachined silicon technology as well as in conventional nonsilicon technology. In the past, measuring low capacitor values was only possible with laboratory instruments. Nowadays, such measurements can be performed using low-cost smart sensors systems, which accurately and rapidly perform the measurement of capacitive signals while using a microcontroller to control the measurement procedure and to perform data processing. This chapter deals with a systematic approach to the design of reliable, high-performance, and low-cost capacitive sensors and capacitive-sensor systems. It will be shown how the design of ‘multi-electrode capacitive sensors’ can result in reliable, high-performance, and low-cost sensor systems. The relations between measurands and capacitances are presented together with a discussion on how to optimize the electrode structures. The physical and electrical effects of shielding and guard electrodes will be pointed out. The parasitic effects of contamination, condensation and shunting conductances will be discussed together with possible solutions on how to reduce their influence.

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

226

Smart Sensor Systems

8.2 Basics of Capacitive Sensors 8.2.1 Principles For a simple flat-plate capacitor with two parallel-plate electrodes, the capacitance C0 between the two electrodes with surface area S, which is separated by a distance d and a dielectric with dielectric permittivity ε, amounts to: C0 = ε

S d

(8.1)

In this equation, the effects of field bending and nonhomogeneity of the dielectric are neglected. When such a capacitor is used as a sensor to convert a nonelectrical quantity into a change of a capacitive quantity, we can distinguish three ways of doing this:

r The nonelectrical quantity changes the dielectric properties (Figure 8.1(a)). This method is applied to sensors that can measure, for instance, humidity, liquid level and material properties. r The nonelectrical quantity changes the electrode distance (Figure 8.1(b)). This method is applied to sensors that can measure mechanical quantities such as force, pressure, acceleration and distances. r The nonelectrical quantity changes the electrode area or shields a part of the electric field (Figure 8.1(c) and Figure 8.1(d)). This method is applied to sensors that can measure, for instance, speed, position, movement and liquid level. Table 8.1 lists a number of examples in which the principles of capacitive sensors (as shown in Figure 8.1) have been used. When we compare this table to Table 6.4 in Chapter 6, we can conclude that, for certain applications, the capacitive sensors can offer an alternative for thermal sensors and vice versa.

8.2.2 Precision of Capacitive Sensors In contrast to resistive sensors, the absolute accuracy of capacitive sensors is difficult to control and therefore, seldom of importance in practical applications. In high-precision capacitive ε d

(a)

(b) Conductor

S S

(c)

(d)

Figure 8.1 Basic structure of capacitive sensors

227

Capacitive Sensors Table 8.1 Overview of capacitive sensors, their input signals and operation principles Name

Input signal

Principle

Angular encoder Position sensor Force sensor Pressure sensor Humidity sensor Accelerometer Liquid level gauge

Mechanical rotation and displacement

Change of effective electrode area

Mechanical force or torque pressure

Change of electrode distance

Humidity Acceleration Level of dielectric or conductive liquids Movement of objects or persons

Change of dielectric constant Change of electrode distance Change of dielectric constant or effective electrode area Change of dielectric constant or effective electrode area or electrode distance Change of dielectric constant

Movement detection Property sensor

Material properties

sensors for mechanical displacement, usually measurements are applied in which the measurands correspond to capacitor ratios rather than to absolute values. The use of autocalibration and two-port measurements (Chapter 2, Sections 2.3.2 and 2.5.3) enables precision processing of the capacitance signals.

Example 8.1: The absolute values of platinum resistive temperature sensors have been specified according to international standards (Chapter 7, Section 7.3.1). For capacitive sensors such standards do not exist. The reason is that it is easy and relatively inexpensive to fabricate precision resistors with a high value of absolute accuracy. For capacitive sensors, which capacitance values are often in the range of only a few pF, it is not possible to achieve such a high accuracy. However, even for small capacitances, their ratios can be very accurate.

Example 8.2: The absolute value of capacitive humidity sensors are usually specified with tolerances of more than 20%, which corresponds to almost the full measurement range. Therefore, to use such sensors, an overall system calibration is required, where system adjustment is performed for well-known humidity conditions. The long-term stability of the sensors is a major fabrication and design issue for the manufacturers.

8.3 Examples of Capacitive Sensors Before discussing the design methods and measurement techniques of capacitive sensors, some examples of such sensors are presented in this section.

228

Smart Sensor Systems

Fixed segmented electrode

Rotating screen electrode

Fixed common electrode

Outer segment

Inner segment Guarding electrode Guarding electrode

Figure 8.2 A simplified version of the sensing element structure of a capacitive encoder, according to Li et al. [4] ľ 1996, IEEE

8.3.1 Angular Encoders A capacitive angular encoder uses one or more capacitive sensing elements, which sense the angular position of a shaft, to convert its angular position into an electric (digital) output signal. Figure 8.2 shows a simplified version of the structure of a capacitive angular sensing element, which consists of three parallel discs [4]. The fixed common electrode on the right-hand side is a single conductor. The segmented electrode on the left-hand side is composed of 24 identical outer segments with a width of xs = 15 ◦ and three identical inner segments with a width of 120 ◦ . The rotating-screen electrode in the middle is a conductor that is equipped with four outer windows and one inner window. The angular position of this rotating-screen electrode must be measured. The four outer windows are equidistant in angle and have the same area. With this electrode structure a precision measurement is performed in three steps [5]:

r A very accurate, precise measurement is performed over the limited range of ±xs /2 = ±7.5 ◦ . For this measurement, the four outer windows, which interact with the 24 outer segments on the segmented electrode, are used. r A first course measurement is performed with a lower resolution of 7.5 ◦ over a wider range of 90 ◦ . For this measurement, the same electrodes are used as for those of the very precise measurement. r A second course measurement is performed with an even lower resolution of 60 ◦ but with a full-circle range (360 ◦ ). For this measurement, the inner window, which corresponds to the three inner segments on the segmented electrode, is used. Combining the results of the three measurement steps yields a very high resolution over the full range of 360 ◦ , as will now be explained. The 24 outer segments on the segmented electrode are divided into six groups with four segments each. The four segments in each group, which in Figure 8.2 are indicated with the same gray level, have a mutual pitch of a quarter of a circle. These four segments are connected

Capacitive Sensors

229

together, resulting in six group capacitors between the common electrode and outer segments on the segmented electrode, which correspond to the capacitances (Cs1 –Cs6 ). Using linear interpolation, the angular position within the segment range ±xs /2 = ±7.5 ◦ can be found with the equation:   xp 1 xm xm (Cs5 + Cs6 ) − (Cs2 + Cs3 ) 1 (8.2) − ≤ ≈ = ≤ xs 2(Cs4 − Cs1 ) xs 2 xs 2 where xp is the angular position to be measured. For a number of reasons the applied segmentation results in very high accuracy:

r The use of these cross-quad group capacitors significantly reduces the influence of both the stochastic mechanical errors and the systematic mechanical errors, such as eccentricities, nonflatness, and obliqueness of the electrodes. r The choice of using six group capacitors (instead of, for instance, four or two), results in a high linearity, as will be explained in Section 8.5. r The segmentation limits the range of capacitor variations and therefore allows optimizing the range of the electronic circuitry for the best performance over the applied range. The first course measurement is implemented by comparing the six measured capacitance values (Cs1 –Cs6 ). This measurement extends the range to a quadrant (90 ◦ ). To extend the measurement range to a full circle (360 ◦ ) a second coarse measurement is applied using the three inner segments on the fixed electrode and the inner window of the rotating-screen electrode [4, 5]. For this measurement, three additional capacitors (Cc1 , Cc2 , and Cc3 ) are formed between the common electrode and three inner segments on the segmented electrode. In total, nine capacitances are measured in order to obtain an absolute angular position over the full measurement range of 360 ◦ . With this encoder, the following results have been achieved [5]:

r r r r r r

Measurement range: 0 ∼ 360 ◦ ; Resolution: 1.5 arcsec; Repeatability: 3.6 arcsec; Reproducibility: 2.5 arcsec; Accuracy: 26 arcsec; Measurement time: 140 ms.

The remaining errors are mainly due to the residual affects of electric field bending. The use of the grounded rotating electrode can cause a mechanical reliability problem. To alleviate this problem, a contactless capacitive angular-position sensor can be used [6]. In this design (see Section 8.4.4), the rotating-shield electrode is capacitively connected to ground, which improves the mechanical reliability but reduces the accuracy.

8.3.2 Humidity Sensors Humidity sensors are applied, for instance, in air conditioners, climate controllers, meteorological applications, food processing, and room-comfort control. A typical capacitive humidity sensor consists of a main (base) electrode, a porous metal top electrode (see Figure 8.3),

230

Smart Sensor Systems

Humidity sensing polymer layer

Glass substrate

Porous metal electrode

Main electrode

Connection electrode

εr C(RH)

(a)

(b)

Figure 8.3 Capacitive humidity sensor

and an intermediate polymer dielectric layer which can absorb water molecules. Such absorption will result in an increase in the relative dielectric constant and thus also in the capacitance between the two connecting terminals. A capacitive humidity sensor can be made with, for instance, MEMS technology. Typical capacitance values of the sensor are in the range (150 to 300) pF, with a 15% change of the capacitor values over the measurement range from about 5% RH to 95% RH. One problem with capacitive humidity sensors is that their capacitance is shunted by a leakage resistance. When using (overly) simple electronics for the measurement of the capacitive impedance components, the leakage resistor can cause large measurement errors.

8.3.3 Liquid-level Gauges A capacitive liquid-level gauge can be used to measure the level of conductive and dielectric liquids. As an example, Figure 8.4 shows the electrode structure of a liquid level gauge, which is composed of two vertical electrodes. One of them (the right-hand electrode) is segmented. After measuring all of the capacitances between the various segments with respect to the lefthand electrode, the level can be precisely measured in a two-step approach: with coarse signal processing the segment is found in the region in which the air-liquid interface exists; then,

air

ε0

liquid

ε 0ε r

Figure 8.4 Electrode structure of a liquid level gauge

Capacitive Sensors

231

by using linear interpolation, the level position in this region is accurately calculated. In this way, the liquid level gauges can measure liquid levels in, for example, a range of 4 m with a resolution of 0.1 mm and an accuracy of 1.0 mm [7, 8]. In such an application, to reduce the electromagnetic interference (EMI) effect, the guard and shielding electrodes (see the next section) should be carefully designed. It is important to know whether or not the liquid is conductive or nonconductive; in the case of nonconductive liquids such as gasoline or oil, the presence of liquids with a dielectric constant εr > 1 will cause an increase in the capacitor values. In the case of conductive liquids such as water, the liquid will act as an electrode which is connected directly or indirectly to ground. In that case, the presence of (conductive) liquid will decrease the capacitance between the sensor electrodes. Moreover, the presence of conductive liquid will cause field bending effects that can cause nonlinearity in the sensor characteristics. When all of these effects are carefully taken into account, capacitive level gauges can measure the levels of both conductive and nonconductive liquids.

8.4 The Design of Electrode Configurations A major drawback of capacitive sensors concerns their sensitivity to contamination and condensation, which can cause serious reliability problems. For instance, the measurement systems for capacitive sensors based on relaxation oscillators [9–11] are simple and offer a relatively high resolution. However, these capacitive measurement systems cannot accurately measure the capacitance in the presence of shunting conductance. Another drawback of capacitive sensors concerns the possible occurrence of electric-fieldbending effects, which will cause inaccuracy in, for instance, capacitive displacement sensors. The use of guard electrodes is very important, as these reduce the influence of the electricfield-bending effect and also reduce the effect of external disturbing signals [1, 12, 13]. However, in conventional capacitive sensors, the use of guard electrodes cannot eliminate the influence of electric-field-bending effects completely. Even when using well-designed guard electrodes, the electric-field-bending effect is still one of the major causes of residual nonlinearity of high-precision capacitive sensors. In this section, the effects of EMI, electric-field bending, parasitic capacitors, and shunting conductance for the accuracy of capacitive sensors are discussed. Some solutions for reducing these effects are also presented.

8.4.1 EMI Effects For easy understanding of the basic features of capacitive sensors, we use a simple structure with two parallel-plate electrodes (Figure 8.5(a)). The capacitance can be measured by applying an ac voltage V m and measuring the resulting currents il or i2 (Figure 8.5(a)). The signals to be measured are very small; capacitances are measured by calculating the charge displacement Q = (CV). For instance, for a voltage swing equal to a supply voltage of 5 V and a desired resolution of 10 aF (=10−17 F), the required resolution for charge displacement should be as high as 5×10−17 C. This small value equals the modulus of only 312 times the charge of a single electron. Therefore, reliable detection of such small capacitance changes requires integration over a number of these small charge displacements.

232

Smart Sensor Systems

Vm

i1

~

Vm

Vint

i1

~

Vint

d

d

i2

i2

(a)

(b)

Figure 8.5 To reduce the effect of the EMI source V int , the capacitive structure should be shielded

EMI that is due to, for instance, an interfering voltage source V int , can easily diminish the accuracy of the measured currents i1 and i2 . Therefore, the sensitive structure requires electric shielding, as shown in Figure 8.5(b).

8.4.2 Electric-field-bending Effects In the electrode structure of Figure 8.5(b), the electric field between the electrodes and the shielding directly affects the current i1 . Also, the current i2 is slightly affected due to the electric-field-bending effect at the borders of the electrode. As a consequence, the measured position of the sensor electrodes is affected by that of the shield. Because of this problem, the current i2 is a more accurate measurement for the capacitance between the electrodes than the current i1 . The effect of electric field bending can be reduced by applying guard electrodes, as shown in Figure 8.6. The bottom electrode is surrounded by grounded guard electrodes. Note that now the current i2 is not influenced by the presence of the shielding, but the current i1 still is. To enable the measurement of the current i2 and to connect all of the electrodes properly, it is important to have access to all of the electrodes.

8.4.3 Active-guard Electrodes For practical reasons, sometimes only one of the two sensor electrodes is accessible while the other one is connected to ground. This is the case, for instance, when the bottom electrode is

Vm

~

Guard

i1

i2

Figure 8.6 Guard electrodes

Guard

233

Capacitive Sensors

×1

Vm

~

i1

Cp

Vint

Figure 8.7 Active-guard electrode

grounded in such a way that i2 cannot be measured. In such a case, as an alternative, active guarding or shielding should be applied [14]. In this technique, a voltage follower (see Figure 8.7) is applied to equalize the potential of the guard electrode with that of the active sensor electrode. By doing this, the voltage over the parasitic capacitance Cp equals zero so that no current will be drained through Cp . This technique can also be applied to eliminate the effects of parasitic resistors to the ground or to surrounding electrodes.

8.4.4 Floating Electrodes Floating electrodes are electrodes that are not connected to any well-known potential point in the system. The advantage of using a floating moving electrode (Figure 8.1(d)) is that, in a mechanical way, the measurement can be calculated without contact, which benefits the mechanical reliability. For example, the capacitive encoder shown in Section 8.3.1 has a conductive rotor grounded by a sliding contact. This sliding contact can cause long-term mechanical reliability problems. To solve this problem, Gasulla et al. [6] proposed the use of a floatingconductive or a dielectric rotor. With such a structure, the reliability is improved in exchange for a slight decrease in accuracy. Another example of the use of floating electrodes is a capacitive personal detector [15], which is designed to detect the presence and movement of persons, animals, and objects. Although the idea is appealing and proof of this concept could be given for a laboratory environment, there is still a major reliability problem to be solved. This is because electrodes that are not connected to a well-known potential do have some parasitic, are not-well-controlled, and are coupled to the rest of the electrode structure. The influence of parasitics can heavily influence the measurement accuracy or even lead to a complete failure of the measurement. As an example, Figure 8.8 shows a floating electrode structure and its electrical model in the case that the floating electrode is conductive. For the sensor structure of Figure 8.8(a), the presence of the floating electrode can result in two opposite effects: depending on the value of the parasitic capacitance Cgd , the presence of the floating electrode can yield an increase or a decrease in the measured current i2 . Thus, it can be concluded that in order to obtain a reliable measurement, the capacitor Cgd from the floating electrode to its environment should be well defined. In the case of floating dielectric electrodes, care should be taken to deal with problems caused by static charge. There are many examples of static charge at the dielectric surface of moving electrodes, which can cause an extreme amount of noise and related measurement

234

Vm

Smart Sensor Systems

~

Vm

Conductive floating electrode

i1

Floating electrode

i1

~

C1

ip

Cg

C0

Cgd i2

(a)

C2

i2

Cgd ip

(b)

Figure 8.8 (a) An example of the floating electrode structure and (b) its electrical model

inaccuracy and unreliability. Therefore, local static charge must be removed, for instance by applying a high-ohmic conductive layer on top of the dielectric electrode.

8.4.5 Contamination and Condensation In comparison with, for instance, magnetic sensors, a major drawback of capacitive sensors concerns their sensitivity to contamination and condensation. For a reliable measurement, the physical conditions should be well defined. However, in practical situations this is not always so easy to realize. Notorious examples of undefined conditions are those due to the occurrence of contamination and condensation. Contamination and condensation can form conductive layers that can be considered electrodes. Sometimes these undesired contamination electrodes are grounded and can attenuate the electric field within sensor capacitors. The opposite effect is also possible: when the undesired pollution electrodes enlarge the area of the transmitting electrodes, this will cause an increase in the sensor capacitance. It will be clear that the occurrence of undefined conductive layers is not acceptable. Therefore, the use of capacitive sensors is limited to those applications where a clean or dry environment can be guaranteed. In the case of the angular encoder of Figure 8.2, it is also possible to fill the sensor housing with oil or another dielectric nonhygroscopic liquid to maintain a clean environment.

8.5 Reduction of Field-bending Effects: Segmentation Three-layered electrode structures are often employed in multiple-electrode capacitive position sensors [12, 16, 17]. With such sensor structures, a high accuracy and a wide measurement range can be achieved. The remaining inaccuracy is mainly caused by the electric-fieldbending effect and mechanical errors. The use of guard electrodes is very important as these reduce the influence of the electric-field-bending effect and also reduce the effect of external disturbing signals [5, 12, 18]. However, the guard electrodes cannot eliminate the influence of electric-field-bending effects completely. Even when advanced algorithms and well-designed guard electrodes are used [5, 12], electric-field-bending effects are still major contributors to the nonlinearity of capacitive position sensors. In this section, these effects are discussed for various types of segmented electrodes structures, which have been designed for capacitive angular encoders.

235

Capacitive Sensors

Fixed common electrode

S-4

S-3

S-2

C

S-1

Moving screen electrode

Moving direction

S0 S1 S2 S3 S4 Fixed segmented electrode

Figure 8.9 Principle of a three-layered electrode structure [18] ľ 2000, IEEE

8.5.1 Three-layered Electrode Structures Figure 8.9 shows a three-layered electrode structure for a capacitive position sensor. This figure indicates a one-dimensional linear movement of the screen electrodes. As an approximation, this figure can also be applied to discuss the properties of an angular encoder with a rotating screen electrode, as shown in Figure 8.2. The common electrode and the segmented (multiple) electrode are mechanically fixed. To clearly and easily analyze the electric-fieldbending effect, the grounded conductive moving screen electrode is used. In this case, it shields the segmented electrode from the common electrode and thus decreases the capacitance between the two fixed electrodes. As discussed in the Section 8.3.1, the multiple capacitors, which are formed between the common electrode and the segments, carry the position information of the moving screen electrode. To improve accuracy, the moving screen electrode can also been implemented with various segments, as shown in Figure 8.2. Based on the sensor structure shown in Figure 8.9, the properties of four different versions (Figure 8.10) are analyzed with respect to their linearity for position measurement. The differences between these structures concern the widths of the moving screen electrode segments and the gaps in between them [18]. The gap width xw and the width xse of the screen-electrode segments amount to an integer value times the segment width xs . The segmented moving electrode is positioned in the middle of the gap between the fixed electrodes. Just as in Figure 8.2, all the segments of the moving electrode are connected together. For these four sensor structures, the relations of the measured relative position xm /xs and the capacitances can be represented by the following equations: xm C1 − C3 = xs 2(C1 + C3 − 2C2 )

(8.3)

xm C2 − C4 = xs 2(C1 − C3 )

(8.4)

xm C4 − C2 = xs (C2 + C3 + C4 − 3C1 )

(8.5)

xm (C5 + C6 ) − (C2 + C3 ) = xs 2(C4 − C1 )

(8.6)

236

Smart Sensor Systems

C Segment of the moving screen electrode

Fixed common electrode

xs/2

xs/2 xw

S3 S1 S2 Fixed segmented electrode

C Segment of the moving screen electrode

Fixed common electrode

S3

xs

S1

S2

S3

S1

0 xm

S2

S1

S2

S3

S4

S1

Fixed segmented electrode

x

xs/2

S4

S1

S2 S3

Fixed segmented electrode

3xs/2

xw

xse

S4

S2

S3

S4

S1 x

S1

0 xm

S2

S3 x

(c)

S4

C Segment of the moving screen electrode

Fixed common electrode

xs/2 xw

xse

(b)

C Segment of the moving screen electrode

xs

xs

0 xm

(a) Fixed common electrode

xw

xs

xs

xse

S2

S3

S4

3xs/2 xse

S5

S6

0 xm

S1 x

xs

S 2 S3 S4 Fixed segmented electrode

(d)

Figure 8.10 Four sensing element structures with different sizes of the gaps xw between the screen electrodes and of their width xse [18] ľ 2000, IEEE

respectively, where Ci (i = 1 . . . 6) is the capacitance between the common and the segmented electrodes. The validity of these formulas is limited to the measurement range of one segment width (xs ). Outside this range, other appropriate capacitors are selected.

8.5.2 A Model for the Electrostatic Field in Electrode Structures Generally, it is difficult to find analytic solutions for three-dimensional electrostatic-field problems. However, if the lengths of the segmented and common electrodes are much larger than their electrode distances dcs (see Figure 8.11(a)) and are larger than the widths of the electrodes, we can use a simplified two-dimensional model (as shown in Figure 8.11(a)) for the structure shown in Figure 8.9, which is symmetrical along the y-axis [17, 18]. In this figure, xw is the width of the screen gap, dcs is the distance between the common and the segmented electrodes, dv is the thickness of the screen electrode, dvs is the distance between the screen and the segmented electrodes, and dvc is the distance between the common and the screen electrodes. For x = xw /2 and x = −xw /2, and 0 < y < dcs , we assume that the potential is a continuous piecewise-linear potential taken as a basic triangular-shaped function. The accuracy of this approximation has been verified by means of numerical calculation [5, 17]. This potential function is depicted in Figure 8.11(b). In this figure, the potential V 0 represents the potential of the transmitting (common) electrode. The potentials V 1 , V 2 and the positions d1 , d2 are auxiliary variables, which are determined by the potential V 0 , the geometrical parameters (dcs , dv , dvs and dvc ), and the width of the screen gaps xw [5, 17] . The segmented and moving electrodes are grounded.

237

Capacitive Sensors

V V0

y CE III

S CE

-xw/2

0

dvc

V2

dv

xw SeE

SCE

I

dcs

II xw/2

dvs

V1

x

y 0

d1

(a)

dvs dv+dvs d2

dcs

(b)

Figure 8.11 (a) A cross-view of a part of the sensor. (b) The piecewise-linear approximation for the potential at x = xw /2, according to Li et al. [18] ľ 2000, IEEE

8.5.3 Influence of the Electric-field-bending Effects on Linearity Based on the physical model of the capacitive sensor described above, the nonlinearities caused by the electric-field-bending effect have been numerically calculated for the multipleelectrode capacitive sensors shown in Figure 8.10. The geometrical parameters used are listed in Table 8.2. For the sensor structures shown in Figure 8.10, Figure 8.12 shows the corresponding calculated sensor nonlinearity caused by electric field bending. From these simulation results it can be concluded that the structure with six segments shows a much better linearity than the other ones. The simplest structure is that of Figure 8.10(a), because in this case only three segments need to be measured. However, the linearity amounts to ±0.4 mm for a measurement range of ±2 mm.

8.6 Selectivity for Electrical Signals and Electrical Parameters In the previous section the physical properties of the capacitive-sensor elements were discussed. In this section the discussion will focus on how the information-carrying parameter can selectively be detected in such a way that the sensor system is selectively sensitive to desired signals and immune to the relative effect of undesired signals. We will consider two types of undesired signals:

r those due to parameter changes which do not represent the measurand and r those due to the effects of interfering signals and noise. Table 8.2 The geometrical parameters of the analyzed sensor structure. [18] ľ 2000, IEEE Parameter

Notation

Unit

Value

Electrode distance Thickness of the screen electrode Position of the screen electrode Length of the segment electrode Width of the segment electrode

dcs dv dvc /dvs ls xs

mm mm − mm mm

2.0 0.2 1.0 15.0 4.0

238

Smart Sensor Systems

0.4

0.06

0.3

0.04

0.2 0.1 -2

-1

0 -0.1 0

1

2

-0.2

Nonlinearity (mm)

Nonlinearity (mm)

3 segments

0 -2

-1

(b) 4 segments

0.2 0.1 0 1

-0.2

2

Nonlinearity (mm)

Nonlinearity (mm)

2

0.003

0.3

-0.1 0

1

Linear position to be measured for one segment (mm)

(a)

-1

0

-0.06

-0.4 Linear position to be measured for one segment (mm)

-2

-0.02 -0.04

-0.3

0.4

4 segments

0.02

0.001 0 -2

-1

-0.001

0

1

2

-0.002

-0.3 -0.4 Linear position to be measured for one segment (mm)

6 segments

0.002

-0.003 Linear position to be measured for one segment (mm)

(c)

(d)

Figure 8.12 The simulated results of electric-field-bending effects on the sensor linearity of the corresponding electrode structures depicted in Figure 8.10 [18] ľ 2000, IEEE

8.6.1 Selective Detection of Band-limited Frequencies The effects of interference and noise can be reduced by selective detection of the informationcarrying signals using harmonic oscillators and synchronous detection of sine-shaped signals. However, as explained in Chapter 2, Section 2.3.4, the required electronic circuitry for this detection is rather complex and difficult to implement in low-cost, single-chip integrated circuits. Therefore, relaxation oscillators could be used instead because they are easy to realize and consume less power. However, their signal processing requires special attention to obtain the required selectivity. In Chapter 2, Section 2.5.2 it has been shown that, when using simple relaxation oscillators, good suppression of low-frequency (LF) interfering signals and noise can be obtained by applying an advanced chopping technique [19, 20]. The suppression of interfering high-frequency (HF) signals and noise is obtained by limiting the bandwidth of the applied amplifiers. These guidelines for selectivity concern the suppression of disturbing signals with small or medium amplitude. In the case of a very strong interference, the injected current can be so high that its value exceeds that of the biasing currents of the amplifiers and other active components. With such a strong interference, any type of active signal processing will fail. Therefore, by shielding and passively filtering at the input, the amplitude of interfering signals must be limited.

239

Capacitive Sensors

8.6.2 Selective Detection of a Selected Parameter In a well-designed electrode configuration, the use of floating electrodes must be avoided. In that case the electrical equivalent network can be modeled as shown in Figure 8.13. Here the capacitor Cs represents the sensor capacitor. The capacitances Cp1 and Cp2 represent the sum of all capacitances from each side of Cs , to ground, including the parasitic capacitances of wiring and connectors. Parasitic capacitance Cp3 results from imperfect shielding and forms an offset capacitance. When the sensor capacitance Cs is connected to an ac voltage source and the current through the electrode is measured with an amplifier with low input impedance, the effects of Cp1 and Cp2 are eliminated (see Chapter 2, Section 2.3.2). The effect of capacitor Cp3 can be eliminated by performing an offset measurement when the sensor capacitance Cs is zero. The current through Cs + Cp3 is measured by the amplifier with shunt feedback, which is designed to have low input impedance. To obtain the required linearity, the unity-gain bandwidth f T of the amplifier must satisfy the following condition that can be derived as follows: Assuming, for instance, that the capacitance Cp2 and Cint are much greater than Cs , the limited bandwidth f T of the amplifier (Figure 8.13) results in a time constant τ : τ=

Cp2 1 Cint 2π f T

(8.7)

This time constant will result in a relative error δ on the voltage output of the amplifier: δ = e−T /2τ

(8.8)

where T represents the period of the excitation voltage V m . An accuracy of, for instance, 10−5 would require that T/2τ > 12. From Equation (8.7) it has been found that the unity-gain bandwidth f T of the opamp must satisfy the following condition: fT >

12Cp2 π T Cint

(8.9)

Since Cp2 consists of the sum of the cable capacitance and the input capacitance of the opamp, its value might be higher than Cint . When the sensor capacitor is shunted by a conductance, a complementary approach is required, as will be explained in the next section. Cp3

Vm

~

Cint

Cs Cp1 Cp2

Figure 8.13 Elimination of parasitic capacitance effect

240

Smart Sensor Systems

Gs

Cint

Cs

Vm

~

Cp1 Cp2

Figure 8.14 A capacitive sensing element Cs with parasitic shunting conductance Gs and parasitic capacitances Cp1 and Cp2 connected to an excitation source V m and a front-end amplifier

8.6.3 Measurement Techniques to Reduce the Effects of Shunting Conductances In sensor elements where the properties of the dielectric medium between the electrodes can change, such as in capacitive humidity sensors and level sensors, conductive leakage of the sensor capacitor Cs can appear. This will cause a nonideality of the sensor element that can be modeled with a shunting conductance (Gs ) parallel to the sensor capacitance Cs (see Figure 8.14) [21, 22]. If this conductance were stable in time, than a simple calibration procedure would be sufficient to compensate for its effect. However, the value of such a conductance often is strongly dependent on environmental conditions of humidity, contamination and temperature and also shows a strong drift over time. Therefore, it is important to reduce or to eliminate its affect. A simple way to reduce its effect is to set the excitation signal frequency as high as possible. However, this technique requires amplifiers and IC technology that allows high-frequency designs. With improved circuit design, the effect of shunting conductances at intermediate frequencies can also be reduced. Below, various circuit techniques, to reduce the affects of shunting conductances, will be presented.

Circuit concepts for the reduction of the effect of shunting resistances When the voltage Vm has a square-wave shape with a top-to-top value which equals the powersupply voltage V CC , the shunting conductance of the measured capacitor will cause an additional charge current for the measured capacitance [22]. The amplitude of this current amounts to V CC Gs . With such a large current, severe measurement errors can occur. Figure 8.15 shows a simplified schematic diagram of a capacitive-sensor interface based on a charge-balancing technique [23]. An amplifier, a comparator, the capacitances Coff and Cint , a controlled current source I ch , and a number of switches form a basic relaxation oscillator. It will be shown that the period square-wave output signal V comp of the relaxation oscillator varies linearly with the capacitors Cs and Coff . To perform the measurement of the capacitance Cs , two phases are required: a charging phase and a discharging phase. Figure 8.16 shows these two phases for the capacitance measurement. During the charging phase, the measured capacitor Cs is charged from the dc voltage source V ex via switches S1 and S4 (see Figure 8.16(a)). Because of the low impedance of the voltage

241

Capacitive Sensors

Coff

Ich

ϕ1 Vex

Cint

Gs

S1

S3

ϕ2

Vint Vcomp

Cs

S2

Cp1

Cp2

ϕ1

Comp

S4

ϕ2

OPAMP Capacitive sensor

Figure 8.15 The schematic diagram of the interface, according to Li and Meijer [23] ľ 2002, IEEE

source V ex and low ON resistance of the switches, the effect of the shunting conductance on the charge (V ex Cs ) of the capacitor is negligible. In this phase, the oscillator converts the charge from the capacitor Coff into a time interval T 1 (see Figure 8.16(c)). The value of T 1 is given by: T1 =

Vcomp,p−p Coff |Ich |

(8.10)

where Vcomp,p−p is the peak-to-peak value of the comparator output voltage V comp , and |Ich | is the modulus of the value of the charge/discharge current I ch . During the discharging phase (see Figure 8.16(b)), one terminal of the measured capacitor is connected to ground by the switch S2 . Via the switch S3 the other terminal of the measured capacitor is connected to the input of the integrator that is at virtual ground potential. At the moment t1 (see Figure 8.16(c)), together with the charge in capacitor Coff , the charge (V ex Cs ) in the capacitor Cs is completely transferred to the integrator capacitor Cint via the switch S3 . In an ideal case, there is no voltage drop across Cs and Gs during the discharging phase so that the shunting conductance will not affect the charge-to-time interval conversion in the oscillator. In this phase, the oscillator converts the charge from the capacitor Coff and capacitor Cs into the time interval T 2 (see Figure 8.16(c)). The value of T 2 is given by: T2 =

Vcomp,p−p Coff |Vex | Cs + |Ich | |Ich |

(8.11)

where |Vex | is the modulus of the value of the dc excitation voltage V ex . From Equations (8.10) and (8.11), it has been found for the period T of the oscillator output signal that: T = T1 + T2 = 2

Vcomp,p−p Coff |Vex | Cs + |Ich | |Ich |

(8.12)

As compared to the design presented in ref. [22], the elimination of the shunting conductance effect is achieved by removing the dc biasing voltage across Cs , which eliminates the effect of

242

Smart Sensor Systems

Coff

Ich Cint

Gs

S3

S1 Vex

Vint Vcomp

Cs

S2

Cp1

Cp2

Comp

S4 OPAMP

Capacitive sensor

(a)

Coff

Ich Cint

Gs

S3

S1 Vex

Vint Vcomp

Cs

S2

Cp1

Cp2

Comp

S4 OPAMP

(b)

Capacitive sensor

Vint 0

T1

T2

T1

ϕ1 ϕ2

Ich

0

Vex 0

t1

t2 (c)

Figure 8.16 The circuit configuration during (a) charging and (b) discharging. (c) Some of the signals [23] ľ 2002, IEEE

243

Capacitive Sensors

undesired discharging of Cs . In practice, a small residual effect will remain due to the finite, nonzero discharge time of Cs . As shown in Equation (8.12) the period time T of the output signal of the oscillator has some nonidealities: (1) It is sensitive to the offset voltage of the Opamp, the comparator, and the delay time of the oscillation loop. (2) It is sensitive to the values of the current I ch and the capacitor Coff . (3) It is sensitive to the drift in the dc excitation signal V ex . As presented in Chapter 2, Section 2.5.3, the three-signal auto-calibration technique [24] can be used to eliminate the effect of those multiplicative and additive errors and parameters which are constant during the three measurements. To implement the three-signal calibration technique in addition to the measurement of capacitor Cs , two other measurements are performed for a reference capacitor Cref and the offset capacitor Coff . As an example, Figure 8.17(a) shows a circuit in which the three-signal auto-calibration technique has been implemented. The capacitor selection is performed with the switches S1 , S2 , S5 and S6 (see Figure 8.17(a)). All three ac voltage sources V ex , V 01 and V 02 are derived from the comparator output using a counter and a frequency divider in the switch control unit. To overcome the drawback of the dc excitation signal, the excitation signal V ex , which is used in the circuit shown in Figure 8.17(a), is an ac signal, as shown in Figure 8.17(b). Figure 8.17(b) shows some relevant signal levels and control signals in the interface circuit, which can be found when the value of capacitor Cs has to be converted into the time domain. For one complete cycle of the measurement of capacitor Cs , four measurements are included which have a chopping sequence of + − − +, + − − . . . . The application of this chopping technique eliminates the offset effect of the interface and the effects of any other low-frequency signals (see Chapter 2, Section 2.5.2). The measurement of the additional capacitor C01 is implemented in order to have enough time for the sample-and-hold action of the oscillator. The use of the capacitor C02 measurement ensures a linear range for the oscillator [20, 25]. As presented in ref. [20], the periods T s , T ref and T off of the output signal of the oscillator correspond to the measurement of Cs , Cref and Coff (C01 and C02 ), according to the following equations: Ts = 4

|Vex | Cs V01,p−p C01 + V02,p−p C02 +4 |Ich | |Ich |

Tref = 4

|Vex | Cref V01,p−p C01 + V02,p−p C02 +4 . |Ich | |Ich |

Toff = 4

V01,p−p C01 + V02,p−p C02 |Ich |

(8.13)

where |Vex | is the modulus of the value of the excitation signal V ex . V01,p−p and V02,p−p are the peak-to-peak values of the excitation voltages V 01 and V 02 for the capacitors C01 and C02 , respectively.

244

Smart Sensor Systems

r1

S5

Cref Ich

S6

r2 c1

Vex

Cint Gs

S1

S3

2

Cs

S2

Cp1

Vint OPAMP

Cp2

Comp

S4

1

c2

Capacitive sensor

2

C02

Vcomp Switch and Source Control

C01 OUT

S7

Vo2

S8

1

Vo1

(a) V02,p pC02

Vex Cs

C int V01, p pC01 / C int

Vint

0

V01, p pC01 / C int V02,p pC02

Vex Cs

C int

T11 T12

T21

T22

T31 T32

T41

T42

1

1

0 1

2

0

V01

V01,p

V02

V02,p

Ich

p

p

I ch 0 I ch

Vex

Vex

0 Vex

(b) Figure 8.17 Complete interface circuit and some of its signals [23] ľ 2002, IEEE

245

Capacitive Sensors

Then, the measured result for the capacitor’s value is calculated by the equation: Cs =

Ts − Toff Cref . Tref − Toff

(8.14)

This result does not depend on either the unknown offset or the unknown transfer factor of the interface. In this way the interface is auto-calibrated for additive or multiplicative errors. Even in the case of slow variations of the offset and transfer factor, these effects are eliminated. The algorithm can be implemented using, for instance, a microcontroller. Experimental results

200 180 160 140 120 100 80 60 40 20 0 0.00001

0.001

0.1

10

Shunting conductance Gs (µS)

(a)

1000

Relative error (Cs -C s0 )/Cs0

-6

Standard deviation of Cs/Cref (x10 )

For experimental evaluation, the circuit shown in Figure 8.17 has been built with commercial components [23]. The switches S1 –S6 were implemented with a simple quad-bilateral switch (CD4066). The relaxation oscillator was implemented using an OPAMP (OPA2350), a comparator (MAX987), and some extra components. The logic control circuit was implemented with some simple gates. The frequency of the oscillator was between 7 and 16 kHz, depending on the sensor signals. A microcontroller of the type INTEL D87C51AF, which has a counting frequency of 3 MHz, was employed to measure the output period of the interface to process the measured data and to communicate with the outside digital world. The system was powered with a single 5 V supply voltage. For this test setup, the standard deviation and the relative accuracy of the interface were measured for the condition that Cs = Cref = 2.2 pF and that the shunting conductance Gs varied from about (0.0005 to 15) µS. The measurement time amounted to about 100 ms. The measurement time equaled (NT off +NT s +NT ref ), which could have been adjusted by changing the period number N. Figure 8.18 shows the measured standard deviation and relative error of the interface as a function of the shunting conductance. It is shown that the measured standard deviation amounts to 0.01% and the error is less than ±0.44% for a shunting conductance up to 1 µS. For higher values of the shunting conductance, the result shows a rapid increase in the relative error. This is because the transition time from the charge phase to the discharge phase is not infinitesimal, which results in a small amount of charge loss.

0.01 0 0.00001 -0.01 -0.02 -0.03 -0.04 -0.05 -0.06 -0.07 -0.08

Shunting conductance G s (µS) 0.001

0.1

10

1000

-0.09 -0.1

(b)

Figure 8.18 (a) Measured standard deviation and (b) relative error of the interface [23] ľ 2002, IEEE

246

Smart Sensor Systems

The standard deviation of the interface output signal originates mainly from two parts: the oscillator noise, which is inversely proportional to the square root of the measurement time, and the quantization noise caused by sampling in the microcontroller, which is inversely proportional to the measurement time. For short measurement times, the quantization noise is dominant. For large values of the sensor capacitance, the linearity is limited by nonidealities of the integrator OPAMP. Especially the finite dc gain and limited bandwidth of the OPAMP will cause full-scale nonlinearity which is proportional to e−1/C S . For example, when the dc gain and bandwidth of the OPAMP are 120 dB and 38 MHz, the oscillator frequency is 100 kHz, and CS = 1000 pF, the nonlinearity amounts to 0.26%.

8.7 Summary and Future Trends Capacitive sensors are suited to measure many physical quantities in a high-performance and low-cost way. The systematic design of multi-electrode sensor systems has been discussed. This design starts with the design of an optimized electrode structure. The sensing elements must represent the physical measurand as accurately as possible. Shielding electrodes reduce the effects of electromagnetic interference, while guard electrodes not only ensure that the information-carrying electric fields are within the borders of a well-protected space but also help to create homogeneous fields. In this way a well-defined relation between the physical measurand and the sensor capacitance will exist. The occurrence of floating electrodes and the unpredictable existence of conductive layers due to, for instance, condensation and contamination, should be avoided, which limits the range of possible applications. Multi-electrode sensor structures are applied:

r r r r r

To obtain a large spatial range; To reduce the electric-field-bending effect; To reduce the effects of mechanical tolerances; To obtain spatial selectivity by using shielding and guarding; To reduce the effect of EMI by shielding.

The electronic circuitry can be designed in such a way that the system selectively detects the desired parameters and signals. With low-cost electronic circuits, the capacitance, which is shunted by a conductance, can be measured with high accuracy.

Problems 8.1 Effect of floating electrodes with capacitive coupling to ground (see Section 8.4.4) As shown in Figure 8.8, a capacitive sensor with floating electrode is used for the measurement of mechanical displacement. The capacitance values C0 , C1 and C2 change with the position of the floating electrode. When an ac excitation voltage V m is applied, the position of the floating electrode is obtained by measuring the current i2 . (1) Find the effect of the capacitor Cg on the current i2 . (2) The excitation voltage V m is a sine-wave signal with a peak-to-peak value of V p−p = 10 V and a frequency of 1 MHz. At a certain position, it holds that Cg = 20 pF, C0 = 10 pF, C1 = 20 pF and C2 = 20 pF. What are the values of current i2 when the coupling capacitor Cgd amount to 1 pF and 100 pF, respectively?

Capacitive Sensors

247

8.2 The required bandwidth of the opamp (see Section 8.6.2) To reduce the effect of parasitic cable capacitors Cp , a sensor capacitor is measured with the charge amplifier according to the schematic of Figure 8.13. To limit the effect of the parasitic capacitor Cp2 , a minimum bandwidth f T,min of the opamp is required. The excitation voltage V m is a square-wave signal with a peak-to-peak value of V p−p = 5 V and a frequency of 100 kHz. The feedback capacitor Cint has a value of 10 pF. The parasitic capacitor Cp2 has a value of 100 pF. Calculate the minimum bandwidth f T,min of the opamp. 8.3 Parasitic resistors shunting a capacitive sensing element (see Section 8.6.3) For this problem, please see Problem 2.2 in Chapter 2. 8.4 Calculation of the size of the voltage peaks at the output of the integrator (see Section 8.6.3) As shown in Section 8.6.3, to perform the measurement of the capacitance Cs , two phases are required: a charging phase and a discharging phase (Figure 8.16). During the charging phase, the charge from the capacitor Coff is transferred into the integrator. During the discharging phase, together with the charge in capacitor Coff , the charge in the capacitor Cs is transferred into the integrator. Suppose that the ON resistance of the switches is negligible and that the peak-to-peak value of the comparator output is Vcomp,p−p . Calculate the sizes of the voltage peaks (positive and negative) at the output of the integrator. 8.5 Calculation of the shunting conductance Gs effect on the oscillator period (see Section 8.6.3) (1) Supposing that the amplifier and comparator (Figure 8.16) are ideal, the ON resistances of the switches are equal to RON , the peak-to-peak value of the comparator output is Vcomp,p−p , and the amplitude of the charge/discharge current is |Ich |, calculate the effect of the shunting conductance Gs for the period T of the oscillator signal. (2) When Vcomp,p−p = Vex = 5 V, |Ich | = 5 µA, RON = 1 k, Gs = 1 µS, Coff = 1 pF, and Cs = 2 pF, calculate the relative error T/T of the oscillator period.

References [1] Baxter, L.K. (1997). Capacitive Sensors: Design and Applications, IEEE, New York. [2] Heerens, W. Chr. (1986). Review article: application of capacitance techniques in sensor design, Journal of Physics E: Scientific Instruments, 19, 897–906. [3] Hicks, T.R. and Atherton P.D. (2000). The Nanopositioning Book, Penton Press, UK. [4] Li, X., De Jong, G.W. and Meijer, G.C.M. (1996). An accurate low-cost capacitive absolute angular-position sensor with a full circle range, IEEE Transactions on Instrumentation and Measurement, 45, 516–520. [5] Li, X. (1997-1). Low-cost smart capacitive sensors for position and speed measurement, Ph.D. Thesis, Electronic Research Laboratory, Delft University of Technology. [6] Gasulla, M., Li, X., Meijer, G.C.M., Van der Ham, L. and Spronck, J.W. (2003). A contactless capacitive angular-position sensor, IEEE Sensors Journal, 3, 607–614. [7] Toth, F.N. (1997-1). A design methodology for low-cost, high-performance capacitive sensors, PhD. Thesis, Delft University of Technology. [8] Toth, F.N., Meijer, G.C.M., and van der Lee, M. (1997-2). A planar capacitive precision gauge for liquid level and leakage detection, IEEE Transactions on Instrumentation and Measurement, 46, 644–646. [9] Toth, F.N. and Meijer, G.C.M. (1992). A low-cost smart capacitive position sensor, IEEE Transactions on Instrumentation and Measurement, 41, 1041–1044.

248

Smart Sensor Systems

[10] Martin, K. (1981). A voltage-controlled switched-capacitor relaxation oscillator, IEEE Journal of Solid-State Circuits, 16, 412–414. [11] Van Drecht, J. (1991). Relaxatie oscillator, Patent Application 91.01076, The Netherlands. [12] De Jong, G.W. (1994-2). Smart capacitive sensor (physical, geometrical and electronic aspects), Ph.D. Thesis, Electronics Research Lab., Delft Univ. of Technology. [13] Heerens, W.Chr. (1982). Multi-terminal capacitor sensors, Journal of Physics E: Scientific Instruments, 15, 137–141. [14] Huang, S.M., Beck, M.S., Green, R.G. and Stott, A.L. (1988-2). Electronic transducers for industrial measurement of low value capacitances, Journal of Physics E: Scientific Instruments, 21, 242–250. [15] Toth, F.N., Meijer, G.C.M. and Zapico, J.A. (1996). A low-cost capacitive personnel detector with fuzzy-logic position estimation. In Proceedings of IMTC’96, Brussels, pp. 1270–1274. [16] De Jong, G.W., Meijer, G.C.M., Lingen, K, v.d., Spronck, J.W., Aalsma, A.M.M and Bertels, Th.A.J.M. (19941). A smart capacitive absolute angular-position sensor, Sensors and Actuators A, 41–42, 212–216. [17] Li, X., De Jong, G.W. and Meijer, G.C.M. (1997-2). The application of the capacitor’s physics to optimize a capacitive angular-position sensor, IEEE Transactions on Instrumentation and Measurement, 46, 8–14. [18] Li, X., De Jong, G.W. and Meijer, G.C.M. (2000-2). The influence of electric-field bending on the nonlinearity of capacitive sensors, IEEE Transactions on Instrumentation and Measurement, 49, 256–259. [19] Meijer, G.C.M., van der Goes, F.M.L., De Jong, P.C., Li, X. and Toth, F.N. (1999). Integrated interfaces for low-cost multiple-sensor systems, Journal of Intelligent Material Systems and Structures, 10, 105–115. [20] Van der Goes, F.M.L. (1996-2). Low-cost smart sensor interfacing, PhD. Thesis, Delft University of Technology. [21] Huang, S.M., Green, R.G., Plaskowski, A.B. and Beck, M.S. (1988-1). Conductivity effects on capacitance measurements of two-component fluids using the charge transfer method, Journal of Physics E: Scientific Instruments, 21, 539–548. [22] Li, X. and Meijer, G.C.M. (2000-1). Elimination of shunting conductance effects in a low-cost capacitive-sensor interface, IEEE Transactions on Instrumentation and Measurement, 49, 531–534. [23] Li, X. and Meijer, G.C.M. (2002). An accurate interface for capacitive sensors, IEEE Transactions on Instrumentation and Measurement, 51, 935–939. [24] Meijer, G.C.M., Van Drecht, J., De Jong, P.C. and Neuteboom, H. (1992). New concepts for smart signal processors and their application to PSD displacement transducers, Sensors and Actuators, A35, 23–30. [25] Van der Goes, F.M.L. and Meijer, G.C.M. (1997). A universal transducer interface for capacitive and resistive sensor elements, Analog Integrated Circuits and Signal Processing, 14, 249–260.

9 Integrated Hall Magnetic Sensors Radivoje S. Popovi´c and Pavel Kejik

9.1 Introduction This chapter deals with integrated magnetic sensors whose principle of operation is based on the Hall effect. The Hall effect is one of the physical effects arising in matter carrying an electric current in the presence of a magnetic field. The effect is named after the American physicist Edwin H. Hall, who discovered it in 1879 [1, 2]. A brief introduction into the Hall effect is given in Section 9.2 of this chapter. A detailed treatment of the Hall effect and the related magnetic sensors can be found in the monograph [3]. The first Hall effect magnetic sensors became commercially available in the mid-1950s, a few years after the discovery of high-mobility compound semiconductors. Since then, the development of Hall effect devices has taken advantage of using high-quality materials and sophisticated, highly productive fabrication methods available in the microelectronics industry. Today, Hall effect magnetic sensors form the basis of a mature and important industrial activity. They are mostly used as key elements in contactless sensors for linear position, angular position, velocity, rotation, electrical current, end so on. More that 2 billion Hall magnetic sensors were sold worldwide in the year 2000 [4]. In 2003, the global market of Hall magnetic sensors was about $600 million [5]. Most of currently produced Hall magnetic sensors are discrete elements; but the sales of discrete Hall elements stagnates, whereas the sales of integrated Hall sensors grows at more than 10 % per year. Integrated Hall magnetic sensors are ‘smart’: they incorporate electronic circuits for biasing, offset reduction, compensation of temperature effects, signal amplification, and more. The integration helps improve sensor system performance at moderate costs, which allows a continuous penetration of Hall magnetic sensors into new application areas.

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

250

Smart Sensor Systems

9.2 Hall Effect and Hall Elements 9.2.1 The Hall Effect The Hall effect comes about as a manifestation of the action of the Lorentz force on quasi-free charge carriers in condensed matter. The Lorentz force is the force acting on a charged particle in an electromagnetic field. It is given by F = eE + e [v × B]

(9.1)

Here e denotes the particle charge (for electrons e = −q and for holes e = q, where q is the magnitude of the electron charge), E is the electric field, v the carrier velocity and B the magnetic flux density. We shall refer to the two terms in Equation (9.1) as the electric part and the magnetic part of the Lorentz force, respectively. Let us consider the transport of charge carriers in a long and thin semiconductor strip exposed to crossed electrical and magnetic fields, as shown in Figure 9.1. Let the strip be of strongly extrinsic n-type material, so we may neglect minority carriers. We shall also neglect the thermal motion of carriers. This is of no importance in the present context. Because of the longitudinal electrical field in the sample Ee = (Ex , 0, 0), the electrons are subject to the action of an electrical force – see the first term of the Lorentz force, Equation (9.1). This electrical force causes the electrons to drift. The drift velocity is given by (9.2)

vdn = µn Ee

where µn is the mobility of electrons. The moving electrons produce an electrical current in the strip. The current density is Jn = qµn nEe

(9.3)

where n denotes the electron density in the strip.

N z F B y

Ee

vd

J

EH

M

x

Figure 9.1 The Hall effect in a long plate of n-type material. Ee is the external electric field, B the magnetic induction, vd the drift velocity of carriers, F the magnetic force, J the current density and EH the Hall electric field. The magnetic force presses the charge carriers towards the upper boundary of the strip. The Hall voltage appears between the charged edges of the strip

251

Integrated Hall Magnetic Sensors

Hall electric field Let us now consider the influence of a magnetic field with the vector B collinear with the y axis (Figure 9.1). Because of the presence of the magnetic field, the second part of the Lorentz force, Equation (9.1), also acts on the moving charge carriers. The average magnetic force acting on the charge carriers is given by Fn = e [vdn × B]

(9.4)

Fn = qµn [Ee × B]

(9.5)

By replacing here Equation (9.2),

The magnetic force pushes carriers towards the upper edge of the strip. Consequently the electron concentration at the upper edge of the strip start to increase, while the electron concentration at the lower edge start to decrease. Consequently, an electric field appears between the strip edges. This field, EH in Figure 9.1, acts on the moving electrons too, and pushes them downwards. Eventually the transverse electrical force becomes strong enough to balance the magnetic force, Equation (9.4): e [vd × B] + eEH = 0

(9.6)

From this moment on, the electrons again move parallel to the strip axis, as if only the external electric field were acting on them. The transverse electric field EH , which counterbalances the action of the magnetic force, is called the Hall electric field. From Equation (9.7), the Hall electric field is EH = − [vd × B]

(9.7)

EHn = −µn [E × B]

(9.8)

Using Equation (9.2), we also have

Therefore, in a sample carrying electrical current and exposed to a magnetic field, the magnetic part of the Lorentz force has a tendency to ‘press electricity’ against one edge of the sample. (‘pressing electricity’ is a notion used by Hall.) Electricity, however, behaves somewhat like an incompressible fluid. It reacts by developing an electric field, the Hall field, which exactly counterbalances the magnetic pressure.

Hall voltage A more tangible effect associated with the Hall field is the appearance of a transverse voltage between the edges of the strip. This voltage is known as the Hall voltage. Let us choose two points M and N at the opposite edges of the strip, under the condition that both points lie in the same equipotential plane when B = 0 T (Figure 9.1). Then the Hall voltage is given by VH =



N

EH dz M

(9.9)

252

Smart Sensor Systems

By replacing here EH , Equation (9.8), and evaluating the integral for the geometry shown in Figure 9.1, we obtain VHn = µn E x B y w

(9.10)

Here w denotes the width of the strip.

Hall coefficient From Equations (9.3) and (9.8) we can find a relationship between the current density and the Hall field: 1 [J × B] qn

(9.11)

EH = −RH [J × B]

(9.12)

EHn = − These equations can be rewritten as

where RH is a parameter called the Hall coefficient. The Hall coefficients of strongly extrinsic semiconductors is given by RH = sign [e]

rH qn

(9.13)

We added here the Hall factor rH , which represents the influence of the scattering effects, neglected hereto. Usually, rH ≈ 1. The sign of the Hall coefficient coincides with the sign of the majority carriers. The Hall coefficient is a material parameter that characterizes the intensity and sign of the Hall effect in a particular material. The unit of the Hall coefficient is V m A−1 T−1 (volt meter per ampere tesla), which is sometimes expressed in a more compact form as  m T−1 (ohm meter per tesla) or, equivalently, m3 C−1 (meter3 per coulomb).

V–I–B relationship In the practical applications of the Hall effect it is convenient to operate with macroscopic and integral quantities characterizing a Hall device. To this end, for a long Hall device we obtain from Equations (9.9) and (9.12) the Hall voltage: VH =

RH IB⊥ t

(9.14)

Here t is the thickness of the strip, I is the device current given by I = Jwt, and B⊥ is the component of the magnetic induction perpendicular to the device plane. Equation (9.14) shows why Hall devices usually have the form of a plate: for a given biasing current and magnetic induction, the thinner the sample, the higher the resulting Hall voltage.

253

Integrated Hall Magnetic Sensors

l B VH

S2

C1

C2 l

w

t V

S1

Figure 9.2 Hall device in the form of a rectangular plate. Typical dimensions are t =10 µm, 1 = 200 µm and w = 100 µm. A bias voltage V is applied to the plate via the two current contacts C1 and C2 and produces a bias current I. If the plate is exposed to a perpendicular magnetic induction B the Hall voltage VH appears between the two sense contacts S1 and S2

9.2.2 Hall Elements Electron devices based on the Hall effect are called Hall devices or Hall elements. A simple Hall device suitable for a practical application is shown in Figure 9.2. This is a thin plate of conducting material fitted with four electrical contacts at its periphery. The plate can be considered as a part of the strip shown in Figure 9.1. The bias current I is supplied to the device via two of the contacts, called the current contacts. The other two contacts are placed at two equipotential points at the plate boundary. These contacts are called the voltage contacts or the sense contacts. If a perpendicular magnetic field is applied to the device, a Hall voltage appears between the sense contacts. Apart of the rectangular shape, a Hall device may have many other shapes. Some of them are illustrated in Figure 9.3. The fact that a practical Hall device has a finite length and the contacts of finite sizes brings about a diminution of the Hall voltage compared with that expressed by Equation (9.14). The reason is that the sense contacts short-circuit locally a part of the bias current, and the current contacts short-circuit locally a part of the Hall voltage. The short-circuiting effects can be taken into account by multiplying the Hall voltage, Equation (9.14), by a coefficient GH < 1:

VH = G H

RH IB⊥ t

(9.15)

Since the short-circuiting effects depend on the geometry of a Hall device, the coefficient GH is called the geometrical correction factor. Typically, GH ≈ 0.8.

9.2.3 Characteristics of Hall Elements We shall now define the main coefficients of a Hall device, which characterize its performance when used as a magnetic sensor, i.e. a magnetic flux density to voltage transducer.

254

Smart Sensor Systems

C/S C/S

(a)

(b)

C/S

C/S θ (d)

k C/S

C/S

(e) S

(f) C1

S

S

C2

C

C

(g) C1

C

(h)

S C S C2

C/S

(i)

(j)

Figure 9.3 Various shapes of Hall elements. Current contacts are denoted by C, sense contacts by S, and C/S indicates that the current and sense contacts are interchangeable

Sensitivity The absolute sensitivity of a Hall magnetic sensor is its transduction ratio for large signals:    VH    SA =  (9.16) B⊥ c

Here V H is the Hall voltage, B⊥ is the normal component of the magnetic induction, and C denotes a set of operating conditions, such as temperature, frequency and bias current. Current-related sensitivity of a Hall device is defined by    1 VH  SA  VH = SI IB⊥  SI = (9.17) = I I B⊥ 

where I denotes the bias current of the Hall device. The units of SI are V A−1 T−1 (volts per ampere per tesla). Using Equation (9.15), we find SI = G H

|RH | t

(9.18)

255

Integrated Hall Magnetic Sensors

The Hall coefficient RH is approximately given by Equation (9.13), so Equation (9.18) reduces to rH (9.19) SI = G H qnt Recall the notation: GH is the geometrical correction factor, rH is the Hall factor, n is the electron concentration in the Hall plate, and t is the thickness of the plate. Current-related sensitivity hardly depends on the plate material, since rH ≈ 1, irrespective of the material. Typical values of SI range between (50 and 500) V A−1 T−1 . Voltage-related sensitivity is defined analogously to Equation (9.17):    1 VH  SA  = SI =  VH = SV V B⊥ (9.20) SV = V V B⊥  Rin

where Rin denotes the input resistance of the plate. The unit of SV is ‘per tesla’ (V V−1 T−1 = T−1 ). For a rectangular Hall plate, by replacing here 1/Rin = qµnwt/l and Equation (9.19) we obtain w (9.21) SV = µH G H l

Here µH = rH µ denotes the Hall mobility of the majority carriers and w/l is the width-to-length ratio of the rectangular Hall plate. Typical values of voltage-related sensitivities are: SV ≈ 0.07 T−1 for silicon Hall plates, SV ≈ 0.2 T−1 for GaAs plates, and SV ≈ 3 T−1 for thin-film InSb Hall elements. Offset Because of asymmetry of a Hall device, it may have a voltage at the sense contacts even at B = 0 T. This voltage is called offset voltage. The offset voltage is usually not stable. Therefore, it cannot be distinguished from a quasi-static useful signal. To characterize the error in measuring magnetic induction caused by offset, one can calculate the magnetic induction, which would yield a Hall voltage equal to the offset voltage. Using Equation (9.16), we have Boff = V/SA

(9.22)

This quantity is called the offset-equivalent magnetic field. In good silicon Hall plates, if no special offset-reduction measures are taken, a typical value is Boff ≈ 10 mT. Noise The major noise sources in a Hall plate are thermal noise and 1/f noise. The noise voltage at the output of a Hall magnetic sensor may be interpreted as a result of an equivalent magnetic field, acting on a noiseless Hall device. The noise-equivalent magnetic field (NEMF) spectral density is given by SNB ( f ) =

SNV ( f ) SA2

where SNV (f ) is the noise voltage spectral density at the sensor output.

(9.23)

256

Smart Sensor Systems

The absolute sensitivity of a Hall device is frequency independent up to very high frequencies. Therefore, in most cases of practical interest, the NEMF spectral density follows exactly the frequency dependence of SNV . In particular, we may talk about the 1/f range and the thermal-noise range of NEMF. In good integrated silicon Hall devices biased by the current of 1 mA, the corner frequency between the 1/f range and the thermal-noise range may be about 100 Hz. Thermal cross-sensitivity The magnetic sensitivity of a Hall magnetic sensor depends on temperature. For example, the temperature coefficient of the current-related sensitivity is defined by: T CI =

1 ∂ SI SI ∂ T

(9.24)

Silicon integrated Hall devices are made of a strongly extrinsic n-type semiconductor material. They usually work in the exhaustion temperature range, so n = f(T). Then T CI =

1 ∂rHn rHn ∂ T

(9.25)

where rHn is the Hall factor. For low-doped n-type silicon, around room temperature, (1/rHn )(∂rHn / ∂T) ≈ 10−3 K−1 . The temperature coefficient of the voltage-related sensitivity of strongly extrinsic n-type Hall plate is almost 10 times higher. Nonlinearity A practical way of expressing the nonlinearity of a Hall device is by stating that its currentrelated sensitivity, Equation (9.17), depends on the measured magnetic field or on the biasing conditions. The current-related sensitivity, Equation (9.18), may vary with a change in current or magnetic field if one of the quantities RH , GH and t does so. It was found that RH and GH depend on the magnetic field; and that in junction-isolated Hall devices t also depends on both the magnetic field and biasing conditions. The nonlinearity related to the t dependence is dominant in integrated Hall sensors working at small magnetic fields. It is due to the depletion layer width variation as a function of the biasing voltage.

9.2.4 Integrated Horizontal Hall Plates A great majority of integrated circuits (IC) have been made of silicon. Silicon IC technology is very mature, easily accessible and low cost. This makes this technology very attractive for the realization of Hall plates, in spite of moderate mobility of electrons in silicon. We shall now describe a few conventional structures of Hall devices fabricated with the aid of silicon integrated circuit technology. The conventional Hall plates are parallel with the chip surface; so considering a chip as an ocean in which floats a Hall plate, we say that such a Hall plate

257

Integrated Hall Magnetic Sensors

S2

S1

B

C2

C1

n+

n+

p

n+

p

n

DL p–

Figure 9.4 Rectangular horizontal Hall plate in silicon bipolar integrated circuit technology. The active device region is part of the n-type epitaxial layer. It is isolated from surrounding p-type material by the depletion layer DL. The heavily doped n+ regions enable low-resistivity metal–semiconductor contacts (not shown). The notation of the contacts is the same as in Figures 9.1 and 9.3: C1 and C2 are the current contacts, and S1 and S2 are the sense contacts. The device senses the magnetic field component perpendicular to the chip surface

is ‘horizontal’. Horizontal Hall plates are sensitive to the magnetic field perpendicular to the chip plane. Figure 9.4 shows a Hall plate realized as a part of the n-type epitaxial layer in the silicon bipolar integrated circuit process. The planar geometry of the plate is defined by the deep p-diffusion (‘isolation’) and the n+ -diffusion (emitter) regions. The n+ layers are used to provide good ohmic contacts between the low-doped n-type active region and the metal layer (the contacts). The reverse-biased pn junction surrounding the plate isolates it from the rest of the chip. Typical planar dimensions of integrated Hall plates are 100 µm to 400 µm. Incidentally, the typical doping (N D ≈ 1015 cm−3 to 1016 cm−3 ) and thickness (t ≈ 5 µm to 10 µm) of the epitaxial layers found in bipolar integrated circuits are almost optimally suited to be used as a Hall plate. The above values yield nt products (see Equation (9.19)) in the range from 5 × 1011 cm−2 to 1013 cm−2 , which corresponds to a current-related sensitivity of the order of 100 V A−1 T−1 . If the doping density in the epitaxial layer is less than required for the Hall plate, it may be increased by ion implantation. Implantation is also useful because an implanted layer is usually more uniform than an epitaxial layer. In bulk CMOS IC technology, Hall plates are usually realized using the n-well layer, see Figure 9.5. The active part of the device is realized using the n-well layer. The standard doping of this layer corresponds to a current-related sensitivity of the Hall plate of about 100 V A−1 T−1 and an input resistance of about 3 k. In bulk CMOS technology, the nwell is insulated from the p-type substrate by the depletion layer, which develops around the reversed-biased well/substrate p–n junction.

258

Smart Sensor Systems

C3

C1

C2

C4

(a)

CP

(b)

N+

N-well

Depletion Layer

P-Substrate

Figure 9.5 Cross-like horizontal Hall device in bulk CMOS technology. (a) General view: compare with Figure 9.3(e). CP denotes a crossing plane. (b) View with the cross-section along CP. The Hall plate is realized using the N-Well layer, which is normally used as a substrate for p-channel MOS transistors. The contact regions of the plate (C1–C4) are heavily doped by the layer N+ , which is normally used for source and drain regions of n-channel transistors. The Hall plate is insulated from the p-type substrate by the depletion layer of the p-n junction well/substrate

9.2.5 Integrated Vertical Hall Plates For applications where sensitivity to a magnetic field parallel to the device surface is preferred, a vertical Hall device is used. In a vertical Hall device the region that plays the role of the Hall plate is made to be perpendicular to the chip plane (therefore the attribute ‘vertical’). To realize this, the general plate shape is chosen so that all contacts become available on the top chip surface. Figure 9.6 illustrates the genesis of a vertical Hall device. A vertical Hall device may have more than four terminals – see Figure 9.3(i) and (j). For details see ref. [3], Sections 4.2.3 and 5.4.2. A good vertical Hall device should have a ‘height’ (the dimension perpendicular to the chip plane) comparable with the distance between the external contacts. If this is not the case, the sensor will suffer from degradation in voltage-related sensitivity. Modern integrated circuit technologies tend to use very thin layers. Therefore, they are not well suited for the realization of a vertical Hall device. To soothe this difficulty, one can use a CMOS process with an additional deep n-well. Such a CMOS process is available in some foundries and is used for high-voltage integrated circuits. However, a part of the problem persists, because the n-well is not uniformly doped: the doping follows a Gaussian distribution, with the maximum at the surface. Nevertheless, using this technology, vertical Hall devices with a reasonable

Integrated Hall Magnetic Sensors

259

Figure 9.6 A mind’s picture of the transformation of a conventional Hall plate (a) into a vertical Hall device (c). The back current contact of the plate (a) is first split into two halves, and the resulting structure (b) is conformally deformed until all contacts become arranged on the flat edge of the final vertical plate (c). Compare with Figure 9.3(i)

voltage-related sensitivity of about 0.025 V A−1 T−1 were demonstrated. Figure 9.7 shows two versions of such vertical Hall devices.

9.3 Integrated Hall Sensor Systems To operate, a Hall device requires a source of electrical energy, and its relatively low output signal must be amplified. Therefore, the Hall device must be incorporated into an appropriate electronic circuit. The circuit may also fulfill more complex functions, such as compensation of temperature cross-sensitivity, and reduction of offset and noise. Moreover, the sensor chip may incorporate parts of the sensor magnetic circuit. In this section we discuss the co-integration of Hall elements with these additional sensor functions.

Figure 9.7 Cross-sections of the vertical Hall devices realized in a deep n-well island in CMOS technology: (a) five-contact device, similar to those shown in Figures 9.3(i) and 9.6; (b) four-contact device, similar to that shown in Figure 9.3(j). If the contacts C1 and C3 are used to inject a current, then the Hall voltage appears at the contacts C2 and C4, and vice versa

260

Smart Sensor Systems

9.3.1 Biasing a Hall Device A Hall device can function when biased either by a voltage source or by a current source. However, the choice of biasing conditions affects the characteristics of the system with the Hall device, such as its temperature cross-sensitivity and residual offset. Consider the influence of the biasing conditions on the temperature dependence of magnetic sensitivity of a Hall device. Briefly, the (absolute) magnetic sensitivity of a voltage-biased Hall device is proportional to the product (Hall mobility) × (bias voltage), and that of the currentbiased device is proportional to the product (Hall coefficient / thickness) × (bias current). Depending on the details of the device design, these products may be more or less temperature dependent. Hall devices made of relatively large band-gap materials, such as silicon and GaAs, are strongly extrinsic at the usual operating temperatures. Since the temperature dependence of the Hall mobility is much stronger than that of the Hall coefficient, the temperature crosssensitivity of magnetic sensitivity of a current-biased Hall deice is much smaller than that of a voltage-biased Hall device. Compensation for the residual temperature variation of the Hall device parameters can be realized by measuring the temperature of the Hall device and using this information to adjust one or the other circuit parameter correspondingly. At low magnetic fields, when the magnetoresistance effect is negligible, a Hall device lends itself to the measurement of temperature: its input resistance is temperature dependent. At a constant bias current, the change in the input resistance produces a proportional variation in the input voltage. Thus the input voltage of a constant-current-biased Hall device can be used as a temperature indicator. Then we can use this temperature indicator to compensate the variation in magnetic sensitivity and/or to correct offset.

9.3.2 Reducing Offset and 1/f noise The fabrication tolerances of Hall devices usually result in offsets that are too great for intended applications. We shall present here two basic methods for offset reduction that can be implemented in an integrated Hall magnetic sensor. One of the basic concepts in integrated circuit engineering is the exploitation of the matching properties of devices. In integrated Hall magnetic sensors, the offset can be substantially reduced by the mutual compensation of asymmetries in closely spaced Hall plates. If two or four identical integrated Hall plates, biased orthogonally, are properly connected, their asymmetries tend to cancel out, leaving the output signal virtually free of offset, see Figure 9.8 [6]. This technique is called offset reduction by pairing. The increase in the number of Hall devices connected together has a positive side-effect of also decreasing the equivalent noise of the sensor. The costs of this are a larger chip area and higher bias current. A possible problem with the offset reduction by pairing of Hall devices exists if their matching is not stable in time. The drawback of instability of pairing is avoided in the dynamic offset cancellation method called the connection–commutation, switched Hall plate, or spinning-current technique. The connection–commutation technique is particularly efficient when applied on a Hall device with a shape which is invariant under a rotation of π /2. The simplest variation of the method is illustrated in Figure 9.9 [7]. The diagonally situated contacts of the Hall devices are periodically commutated and alternately used as the current and the sense contacts. The commutation is performed using a group of switches (therefore the term ‘switched Hall plate’). The bias

261

Integrated Hall Magnetic Sensors

R

Contact

R

R

R

R

∆R R

H

R

R

Vs

∆R

V out = V

Isolation boundary

Figure 9.8 Offset cancellation by pairing of integrated Hall devices. Two equal rotation symmetrical Hall devices are integrated side by side on a chip. The offsets of the Hall devices are modeled by a little asymmetry R of the resistor bridges. The asymmetry may appear because of doping gradient, misalignment or strain. When the two devices are interconnected as shown (electrically parallel, but with orthogonal current directions), the offset terms cancel in the output voltage

Figure 9.9 The connection–commutation (or spinning current) method for the cancellation of the offset voltage of a Hall device. (a) A rotation symmetrical Hall device is alternately biased in two orthogonal directions. Then the offset voltage due to the resistance asymmetry R changes the sign, and the Hall voltage does not. (b) The circuit. The switch network connects periodically the terminals 1–4 of the Hall device to the current source CS as shown in (a). The integrating network is intended to even out the ac offset signal

262

Smart Sensor Systems

current virtually rotates in the device for 90 ◦ back and forth (therefore: ‘spinning current’). Then the Hall voltage rotates with the bias current and the offset voltage does not. The offset voltage then appears as an ac signal with the switching frequency as the first harmonic and can be cancelled from the output voltage by filtering. The extraction of the Hall voltage from the overall measurement signal is possible only after at least four switching cycles. Note the similarity of the concepts for offset reduction shown in Figures 9.8 and 9.9. In both cases, we have two orthogonal biasing currents. In the first case, the orthogonal currents are in two separate devices; in the second case, the orthogonal currents are in two separate time slots. In the first case, the measurement is extended in space; in the second case, it is extended in time. In a way, the connection–commutation method is reminiscent of the chopping technique (see next section), although the former does not require a ‘de-connection’ of the measurand from the sensing device. Similarly as the chopping, the connection–commutation also reduces the 1/f noise of the measurement system. This can be best understood by imagining the 1/f noise voltage at the output terminals of a Hall device as a fluctuating offset voltage. Then we can model the 1/f noise source by a fluctuating asymmetry resistance R in Figure 9.9. If the biasing current of the Hall device spins fast enough so that the fluctuating voltage does not change essentially during one cycle, then the system will not ‘see’ the difference between the static and the fluctuating offset and shall cancel both of them. In order to completely eliminate the 1/f noise of a Hall device, we have to set the spinning current frequency well above the 1/f noise corner frequency.

9.3.3 Amplifying the Hall Voltage A Hall voltage is usually very small and in most applications requires amplification. Thereby it is often convenient to integrate into the system biasing and various corrective functions, discussed in the previous two sections. Figure 9.10 shows a simple system Hall device/current source/amplifier.

+V

H VH A

CS

Vo

IH

-V

Figure 9.10 Circuits for biasing a Hall device and amplifying its output voltage. Notation: H – Hall device, CS – current source, I H – biasing current of the Hall device, V H – Hall voltage, A – differential amplifier, V O – the output voltage of the sensor system

263

Integrated Hall Magnetic Sensors

One of the first concerns in designing such a system is the choice of the biasing currents of the Hall device and of the amplifier. The bias current of the Hall device should be high enough so as to ensure that the Hall voltage for a magnetic field of interest is superior to the equivalent input noise voltage of the amplifier. The first amplifying stage should boost the output signal of the Hall device above the noise level of the subsequent circuitry. Then the signal-to-noise ratio of the whole system stays essentially equal to that of the Hall device itself. The notion of ‘noise’ here comprises both fluctuations and interfering signals. After reducing the offset and 1/f noise of the Hall device using the connection–commutation technique (see previous section), the offset and 1/f noise of the amplifier itself may become dominant in the system. Then one may apply also the dynamic offset and 1/f cancellation techniques for the amplifier, such as auto-zeroing and chopper-stabilization. An elegant and efficient way to combine the two chopper-like offset and 1/f noise cancellations (for the Hall device and for the amplifier) into one, was described in ref. [8]. With reference to Figure 9.11, now at each change of state, the Hall voltage V H changes polarity.

V+

SwHP M5

1

B

M6

Hall

4

CK

CK

M2

M1

CK CK

3 M7

2

CK

Hi

M3

Voa

(VH + Voff) A1

V1

Lo

M4 M8 CK GND

VH t

Voff t

Figure 9.11 The front end of a switched Hall plate system for the cancellation of the offsets and 1/f noises of both the Hall plate (Hall) and the amplifier (A1 ). CK and CK bar are the clock signals, and M1–M8 are the switches implemented as complementary MOS transistors. When CK bar is ‘high’, the current flows between 1 and 2, and when CK is ‘high’, the current flows between 4 and 3 (compare with Figure 9.9). The lower part of the figure shows Hall voltage and the Hall plate offset signals vs. time at the input of the amplifier (adapted from ref. [8])

264

Smart Sensor Systems

V+

CK=H

CK B A1 CK

V1

LPF

A1 x VH

SwHP -1

loff CK=H

GND A2

Figure 9.12 Schematic diagram of the whole switched Hall plate system. The box SwHP represents the front-end of the Switched Hall Plate system shown in Figure 9.11, without the amplifier A1 . The switch S takes the upper position when CK bar is ‘high’, and the lower position when CK is ‘high’. Since the switch S works synchronously with the switches in the box SwHP (M1–M8) in Figure 9.11, the Hall voltage is demodulated, and the offset voltage is converted into an ac signal. In the low-pass filter LPF, the ac offset is cancelled (I off ), and the amplified Hall voltage is completely recovered

In other words, the Hall voltage becomes modulated (multiplied) by the values +1 and –1 at the clock frequency. On the other side, the offset voltage of the Hall plate V op remains quasiconstant. The consequence is that V oA , the input-referred offset of amplifier A1 , will become indistinguishable from the offset of the Hall plate V op . At the output of the amplifier A1 we have the voltage V1 = A1 (VH + Vop + VoA )

(9.26)

The part A1 × V H is modulated (ac) and the part A1 (V op + V oA ) is not modulated (quasi-dc). The demodulation of the amplified Hall voltage and the canceling of the sum of the two offset voltages A1 (V op + V oA ) can be performed in one of the ways used in conventional chopper amplifier circuits. The principle is depicted in Figure 9.12. The voltage V 1 at the output of the amplifier A1 is now multiplied again by the values +1 and −1, synchronously with the first modulation. After a low-pass filtering, we have the amplified Hall voltage A1 × V H , and virtually zero offset. The maximum allowable Hall plate switching frequency is determined by the plate voltage settling time after each commutation transition. For example, with reference to Figure 9.11, after the transition from the state 0 ◦ (current 4 → 3) to the state 90 ◦ (current 1 → 2), the voltage at the contact 4 has to decay from V+ to V+/2, and the voltage at the contact 3 has to increase from zero to V+/2. The corresponding time constant can be found experimentally or with the help of a model. For a cross-shaped integrated Hall plate of about 200 µm in length and with the resistance of 3 k, the maximum frequency of 200 kHz was found [8]. Because of the transition phenomena, charge injection of the switches, and other reasons, the canceling of offset in a switched Hall plate system is not ideal: usually, a residual offset corresponding to a fraction of millitesla persists. One way to virtually cancel this residual offset is to apply the so-called nested chopper amplifier scheme [9].

Integrated Hall Magnetic Sensors

265

Apart of the above basic functions, practical integrated Hall sensors often incorporate additional functions, such as compensation of a residual temperature dependence of sensitivity, signal level discrimination, differential field measurement, various programming capabilities, and so on.

9.3.4 Integrating Magnetic Functions In this section we shall briefly review two concepts that can be optionally used in an integrated Hall sensor system in order to improve its performance: integrated coil and magnetic concentrator. Integrated coils for self-calibration A serious problem in silicon integrated Hall magnetic sensors is the encapsulation stress, which causes instability in sensitivity and in offset. One promising way to cope with the problem of the sensitivity dependence on stress, temperature, and aging is the self-calibration using an integrated coil. This concept is feasible only if very small Hall elements and small coils are used. This is because the self-calibration is efficient only if the calibrating coil produces a sufficiently high test magnetic field; and the smaller the coil, the higher the test field for a given coil current. In a miniaturized combination of an integrated coil and a Hall plate, a reference field of 0.39 mT mA−1 was obtained [10]. By using this device for the autocalibration of a Hall magnetic sensor system, a temperature cross-sensitivity as low as 30 × 10−6 ◦ C −1 was obtained [11]. Integrated magnetic concentrators If a long ferromagnetic object is placed in a magnetic field parallel with the long axis of the object, the ferromagnetic object tends to collect the magnetic field lines in itself (Figure 9.13). The distribution of the magnetic field lines is virtually independent of the exact value of the permeability of the plate as long as the permeability of the plate material is much higher than that of the surroundings. The origin of this phenomenon is that magnetic flux uses the lowest reluctance paths and therefore concentrates in the high-permeability object. We say that the ferromagnetic object shown in Figure 9.13 operates as a magnetic flux concentrator. We shall refer to such a device in short: a magnetic concentrator (MC). A Hall device placed close to an end of a magnetic concentrator will ‘see’ a stronger magnetic field than elsewhere. This means that a magnetic concentrator can provide magnetic gain to a Hall sensor. A magnetic gain is a very useful property of a magnetic sensor: it improves its magnetic resolution. But a magnetic concentrator may also add offset and deteriorate linearity of a magnetic sensor. An example of an integrated combination Hall devices and a magnetic flux concentrator is shown in Figure 9.14 [12]. We shall refer to such integrated magnetic concentrators in short as IMCs. By inspecting Figure 9.14, we notice that the stray magnetic field near the extremities of the IMC has a vertical component. Here the IMC converts the external magnetic field, which is parallel with chip surface, into a magnetic field perpendicular to the chip surface. The perpendicular component of the magnetic field is the strongest near the extremities. There we place the Hall elements.

266

Smart Sensor Systems

Figure 9.13 Illustrating the magneto-concentration effect. When a high-permeability soft ferromagnetic plate is placed in a homogeneous magnetic field parallel with the plate plane, the plate concentrates the magnetic flux in itself. The shown distribution of the magnetic field (B) lines is found by two-dimensional numerical simulation

We can use two Hall elements because two equivalent places, one under each side of the concentrator, are available. So we can increase the signal to noise ratio. The Hall plates ‘see’ the useful magnetic field in opposite directions. So the system is insensitive to an external field component perpendicular to the chip surface. The structure of this magnetic concentrator is compatible with integrated circuit technology: it can be fabricated by electroplating or

Figure 9.14 Cross-section of a Hall chip with an integrated magnetic flux concentrator. The magnetic concentrator usually has the form of a disc, similar to that shown in Figure 9.13

Integrated Hall Magnetic Sensors

267

lamination of a thin ferromagnetic ribbon on an IC-wafer, and its planar geometry can be determined by photolithography. The typical magnetic gain of an IMC is in the range 2 to 10.

9.4 Examples of Integrated Hall Magnetic Sensors In this section we present three recent examples of integrated Hall magnetic sensors developed in or in collaboration with our laboratory. Each of these magnetic sensors has characteristics particularly useful for a certain application. Achieving extraordinary performance of these sensors was made possible by a synergetic combination of elements and concepts inherent to integrated sensors.

9.4.1 Magnetic Angular Position Sensor An important application of the integrated magnetic concentrator (IMC) described above in Section 9.3.4 is to build a simple contactless angular position magnetic sensor. The sensor is based on a two-axis integrated Hall magnetic sensor. Figure 9.15 shows the structure of a Hall sensor for two in-plane components of a magnetic field parallel with the x and y axes [12]. The sensor consists of an integrated combination of conventional planar integrated Hall plates and a magnetic concentrator. The concentrator has the form of a thin disk. The Hall elements are positioned under the periphery of the disk. For each sensitive axis two Hall elements are used, placed at the two opposite ends of the disk diameter parallel with the corresponding axis. For example, for the component of a magnetic field collinear with the axis x, Hall elements Hall X1 and Hall X2 are used. With reference to Figure 9.14, the Hall element X1 ‘sees’ a positive Z magnetic field component, whereas the Hall element X2 ‘sees’ a negative Z magnetic field component. If we subtract the output voltages of the two Hall elements, we shall obtain a signal proportional to the sum of the perpendicular components of the magnetic fields at the two extremities of the ferromagnetic disk. Assuming a constant permeability of the disk and no saturation, the

Figure 9.15 A schematic view of a two-axis Hall magnetic sensor. It consists of an integrated combination of a soft ferromagnetic disk (FD) and four conventional Hall elements (Hall X1, X2, Y1, Y2) placed under the periphery of the disk. The role of the ferromagnetic disk is to convert an in-plane magnetic field into a perpendicular magnetic field, as illustrated in Figure 9.14 [12]

268

Smart Sensor Systems

Figure 9.16 Photograph of the two-axis single IMC Hall sensor. The dimensions of the silicon chip are 2.7 mm × 1.9 mm. The white circle in the center of the chip is the disk-shaped IMC of 200 µm in diameter (reproduced by permission of SENTRON AG, Zug, Switzerland)

sensor output signal is proportional to the input (parallel) magnetic field. It can be shown that, if the magnetic field acting on the sensor rotates in the chip plane, than the vertical components of the field ‘seen’ by the two pairs of the Hall elements vary as cosine and sine of the rotation angle. From these two harmonic signals phase shifted by π /2, we can easily retrieve the information about the angular position of the magnetic field vector component parallel with the chip surface. Figure 9.16 shows an integrated two-axis magnetic sensor based on this principle. The electronic part of the sensor is realized in CMOS technology as a smart ASIC. The circuit includes biasing, amplification, offset cancellation, and temperature stabilization functions. The residual offset of the sensor is programmable using the Zener zapping technique. A typical application of this two-axis IMC-Hall sensor is illustrated in Figure 9.17. This is a contactless angular position sensor. The two-axis IMC sensor, shown in Figures 9.15 and

Figure 9.17 A small rotating magnet and the two-axis Hall sensor, shown in Figures 9.15 and 9.16, form a very accurate angular position sensor [13] (reproduced by permission of SENTRON AG, Zug, Switzerland)

269

0.8

2.00

0.6

1.50

0.4

1.00

0.2

0.50

0

0.00

-0.2

-0.50

-0.4

-1.00

Angular error X

-0.6

Output signals Hall [V]

Angular error [°]

Integrated Hall Magnetic Sensors

-1.50

Y -0.8 0

30

60

90

120 150 180 210 240 270 angle reference encoder 17 Bit [°]

300

330

-2.00 360

Figure 9.18 The output voltages and the error of the angle sensor shown in Figure 9.17. The error is less than 0.3 ◦ over the full 360 ◦ rotation

9.16, is positioned under a magnet mounted on the shaft end of a rotating axis. The magnet is magnetized diametrically, so that by rotating the shaft, the field through the sensor also rotates. The generated output voltages of the sensor Vx and Vy represent the sine and cosine of the magnetic field direction in the sensor plane. The two output voltages can be transformed into the angle information via the arctangent function. Even though both signal amplitudes Vx and Vy depend on temperature and vertical distance between the sensor and the magnet, the angle information, which is derived from the ratio of Vx and Vy, does not. Measurement results show an absolute accuracy of better than 0.5 ◦ with a resolution better than 0.1 ◦ over full 360 ◦ of rotation (Figure 9.18). Various versions of magnetic sensors based on integrated combinations of Hall magetic sensors and IMCs are commercially available from Sentron AG [13], Melexis [14] and Asahi Casei [15].

9.4.2 Fully Integrated Three-axis Hall Probe Several researchers have attempted in the past to develop a single-chip Hall probe for all three components of a magnetic field. Perhaps the most successful was the one described in ref. [16]. However, this device could not be integrated directly with signal processing electronics since it was not CMOS compatible. Another problem was the fact that the same semiconductor volume was used to retrieve information about all three components of a magnetic field. This led to a large cross-talk between the channels. Also the application of the spinning-current technique was impossible. We developed a first completely integrated three-axis Hall probe which does not suffer of these problems [17]. The sensor is based on a combination of (at least) two of our vertical Hall

270

Smart Sensor Systems

Bonding connections

200µm

Driving part

Read-out electronics and buffers

Sensing part

Front-end electronics

Figure 9.19 The photograph of the 3D Hall probe. The chip dimensions are of about 4300 µm × 500 µm

sensors described in Section 9.2.5 and of one horizontal Hall plate described in Section 9.2.4. Such an integrated 3D Hall probe has a separate current flow for each measurement channel. We have therefore virtually no cross-talk between the channels. Moreover, since the Hall elements have (electrical) rotational symmetry, we can use dynamic offset compensation by the spinning current method. The spinning current improves the performance of the magnetic probe considerably, e.g. brings about low offset and low noise, as shown in the Sections 9.3.2 and 9.3.2. This three-axis Hall probe microsystem is developed for precise magnetic field measurements in the range from milliteslas up to tens of teslas in the frequency range from dc to 30 kHz and with a spatial resolution of about 150 µm. The system, integrated in industrial double-poly double-metal CMOS process, consists of a sensing part, tree-channel multiplexed electronics and a digital driving part as depicted in Figure 9.19. A temperature sensor based on band-gap cell is also integrated directly on the chip in order to make possible a good control of the temperature behavior of the system. The sensing part is composed of two types of micro-Hall sensor: the horizontal Hall (HH) element and the vertical Hall (VH) element, as illustrated in Figure 9.20. A single HH element is located in the center of the sensing part. It measures the magnetic field component perpendicular to the magnetic probe (Z-component). Two pairs of VH elements measure the magnetic fields in the two directions parallel to the chip plane (X and Y directions). The outline dimensions of the sensing part are about of 150 µm × 150 µm, and its depth is less than 10 µm. The sensor offset and 1/f noise is suppressed by the spinning-current technique. The current spinning frequency is 600 kHz. The block diagram of the fully integrated 3D Hall probe is shown in Figure 9.21. The system is composed of three Hall units (VH X, VH Y and HH Z), one common multiplexed read-out channel and three sample-andhold (S/H) circuits. The multiplexing principle is used in order to obtain better matching between the channels. The multiplexing is realized at the input of the read-out channel, whereas the de-multiplexing is done at the input level of the S/H circuits. Therefore, because of threechannel multiplexing, the effective sampling frequency of each channel is 200 kHz. The logic block including low-power ring oscillator ensures the right timing of the spinning and multiplexing of the system. The read-out channel consists of a differential difference amplifier (DDA) and peak-to-peak (P-P) detector to amplify and demodulate the signal, respectively. The signal demodulation is synchronized with previous modulation, realized by the commutation of the Hall voltages during the Hall cell spinning. The S/H blocks memorize the analog voltages of appropriate channels and a continuous voltage value is consequently buffered to the output.

271

VH single

VH single HH single

VH single

VH single

VH single

VH single

150 µm

VH single

VH single

Integrated Hall Magnetic Sensors

150 µm

Figure 9.20 The layout of the sensing part of the three-axis Hall probe. The single horizontal Hall element HH measures the magnetic field component perpendicular to the drawing plane; and the two pairs of vertical Hall elements VH measure the two component of the magnetic field parallel with the drawing plane

The measuring range can be changed by a 2 bit digital signal from 20 mT to 200 mT, 2 T and 20 T. The 2 bit digital signal modifies the gain of the read out channel and the level of the bias current flowing through the Hall cells. The temperature sensor integrated in the system is based on voltage-proportional-to-a-temperature (Vptat) signal available in the band gap cell. The Vptat signal is directly proportional to the temperature variation of the probe and therefore allows an external compensation of residual probe temperature drifts. The current consumption of the whole system is 9 mA at 5 V of supply voltage. Three-axis analog and digital Teslameters based on this integrated Hall probe are commercially available from SENIS GmbH [18] and Metrolab SA [19].

9.4.3 Integrated Hall Probe for Magnetic Microscopy A Hall device is relatively well suited for scanning magnetic microscopy. The reasons are the following: a Hall device is magnetically noninvasive; with scaling down of a Hall plate, its spatial resolution is enhanced; and in the limits of macroscopic dimensions, the scaling down of a Hall plate does not influence its basic characteristics (current and voltage related sensitivity, resistance) [20]. However, the scaling down of a Hall device beyond a certain level may dramatically increase its offset and 1/f noise; and the miniaturization beyond the mesoscopic level also deteriorates its sensitivity [21]. We present here an example of a Hall probe developed for scanning magnetic microscopy. The problem of excessive 1/f noise and offset is solved by the application of the

272

Smart Sensor Systems

X

REFERENCE AND SENSOR BLASING X, Y, Z (variable Ibasis)

LOGIC

VH TEMP. sensor

DDA PREAMPLIFIER (variable gain)

VH

P-P SC DETECTOR (variable gain)

Z

S/H- X

S/H- X

S/H- X

PROGRAMMING AND TRIMMING

Y

HH

Analog output of temperature

Analog outputs X Y Z

Input logic data Supply voltage (2 bits) gain (5V)

Figure 9.21 Block diagram of the fully integrated 3D probe

spinning-current technique. In order to efficiently apply the spinning-current technique, the whole probe system is integrated on a single chip. However, here integrating the Hall element brings about new problems: the lateral diffusion during the fabrication process and the junction field effect limit the miniaturization of the Hall device. So the final choice of the parameters was governed by a reasonable compromise of various limiting aspects. The Hall magnetic microprobe is integrated in an industrial 0.8 µm CMOS process. The micro-Hall plate sensor is placed in the peripheral corner of the silicon chip as depicted in Figure 9.22. The bonding connections are placed on the opposite side of the chip far away from the Hall sensor. Such a design allows better access of the Hall sensitive area to the surface to be magnetically characterized and minimizes the tilting to about 5 ◦ with respect to the analyzed plane. The gap limitation is only a passivation layer with the thickness of about 3 µm protecting the silicon chip. A microcoil made with the metallization layer of CMOS process surrounds the Hall cell. The microcoil can be used to create a local magnetic bias field for the calibration purpose. The microsystem exhibits the sensitivity of 7.5 V T−1 in perpendicular direction to the chip surface and the magnetic field resolution of 300 nT Hz−1/2 at 1 Hz. The current consumption of the microsystem is 8 mA at 5 V supply voltage.

273

Integrated Hall Magnetic Sensors

25µm 200µm

Figure 9.22 Photograph of the integrated micro-Hall probe. Chip dimensions are 2600 µm × 900 µm. The inset shows the combination of the micro-Hall plate and the microcoil

The sensitive device of the probe is a micro-Hall plate with the sensitive area of only 2.4 µm × 2.4 µm. The active area of the Hall plate is made of the N-Well, normally used as the substrate for PMOS transistors (see Figure 9.23). The junction depth of N-Well, obtained through diffusion, is 4 µm. The diffusion is not only vertical, but also lateral, expanding the N-Well region beyond the edges of the mask. The lateral diffusion length, which is about 80 % of the depth of diffusion, is more than 3 µm. Our miniaturized Hall sensor has a width of only few micrometers, which is the same order of magnitude as the lateral diffusion and therefore this phenomenon cannot be neglected. Consequently, effective dimensions of the Hall plate are different from those of the corresponding mask, and the doping of the plate is lower than that given in the process parameters of the foundry. The front-end electronics is based on a spinning current technique to suppress the excessive offset and 1/f noise of the micro-Hall sensor, as described in Section 3.2. In order to demonstrate the efficiency of the method on our micro-Hall sensor, we measured the power spectrum

4µm NWell Diffusion

Contact

NPlus

Mask bias

Implentation

Figure 9.23 The micro-Hall plate in 0.8 µm CMOS technology: the sensor layout and the photograph. A small notch on the mask decreases the doping inside the center of the cross. After diffusion, the implantation area is increased, which results in a cross with a homogenous doping level

274

Smart Sensor Systems

-12

10

2

PSD [T /Hz]

without spinning current with spinning current

-13

10

-14

10

10

100

1000

frequency [Hz]

Figure 9.24 Comparison of the power spectrum density of the noise equivalent magnetic field for the integrated micro-Hall sensor with and without applying the spinning-current technique

density (PSD) of the noise equivalent magnetic field with and without spinning current, see Figure 9.24. The 1/f noise of the micro-Hall sensor is almost completely removed with the spinning current technique and the resulting spectrum has a flat form corresponding to the thermal noise of a 12 k resistor. The Hall microsystem was mounted on the fixed stage of a home-made scanning probe microscope. The sample under investigations was mounted on a step by step moving system having a scanning range of 10 mm × 10 mm × 10 mm with a minimum step size of 1 µm. We performed two basic experiments with such scanning Hall probe microscope. The first experiment was realized on a 720 kB double-density 3.5 inch floppy disk containing the data on the tracks. The disc surface was scanned with the step of 5 µm over the area of 500 µm × 500 µm. As a result, three tracks with the pitch of about 190 µm appear on the scanned image showed in Figure 9.25. As a second experiment, we magnetically scanned a thin film ferromagnetic cross-shaped structure. The goal was to better understand its magnetization distribution. The cross core originally used as a sensing element in a fluxgate microsensor [22] is fabricated from 18 µm thick amorphous material with the leg width and length of 35 µm and 700 µm, respectively. The experiment was performed in the laboratory environment without any shielding against the Earth’s magnetic field. Therefore, the structure was permanently exposed to the bias magnetic field Be of about 20 µT in the lateral direction. We scanned the vertical component of the magnetization field generated by the structure under the bias field with the step resolution of 10 µm as depicted in Figure 9.26. The sampling time was about 0.5 s limited by moving parts of the scanning probe microscope and GPIB communication. Currently, more work toward further magnetic microscopy applications of this integrated Hall probe is under way at Laboratoire d’Electrotechnique de Grenoble, LEG–ENSIEG, France.

275

Integrated Hall Magnetic Sensors

375.72u 350.00u

100 95

300.00u

90 85

250.00u

80 75

200.00u

70

150.00u

65 100.00u

60

50.00u

55 50

40.66z

45 40

–50.00u

35

–100.00u

30 –150.00u 25 –200.00u

20 15

–250.00u

10 –300.00u

5 0 0

5

10 15

20

25 30

35

40

45 50

55

60 65

70

75 80

85 90

95 100

–350.00u –377.82u

Figure 9.25 A 500 µm × 500 µm image of magnetic tracks on the 720 kB double-density 3.5 inch floppy disk. Intensity scale: 400 µT to 400 µT

Ferromagnetic cross core

Be

10µm step scanning movement

Y110 105 100 95 90 85 80 75 70 65 60 55 50 45 40 35 30 25 20 15 10 5 0

294,51E-6 250,00E-6 200,00E-6 150,00E-6 100,00E-6 50,00E-6 94,87E-21 –50,00E-6 –100,00E-6 –150,00E-6 –200,00E-6 –250,00E-6 –300,00E-6 –350,00E-6 –400,00E-6 –450,00E-6 –500,00E-6 0

10

20

30

10

50

60

70

80

90

100 110

–550,00E-6 –560,21E-6

Figure 9.26 The scanning process and the image of the vertical magnetic field of a ferromagnetic cross core over the area of 1 mm × 1 mm. Intensity scale: −600 µT to 300 µT

276

Smart Sensor Systems

Problems 9.1 Hall device characteristics (see Section 2.1) The Hall device shown in Figure 9.2 is made of homogeneously doped n-type silicon of resistivity ρ = 1  cm at 300 K. The dimensions are: length l = 300 µm, width w = 100 µm, thickness t = 20 µm. The biasing voltage is V = 10 V, and the perpendicular magnetic induction B⊥ = 100 mT. Find the numerical values of the following characteristic quantities for this Hall device: (a) Hall coefficient, (b) device resistance, (c) current, (d) Hall voltage, (e) absolute sensitivity and (f) current-related sensitivity. 9.2 Noise of an integrated Hall device in silicon (see Sections 9.2.3 and 9.4.3) The noise-equivalent magnetic field spectral density of the micro-Hall device shown in Figure 9.23 was measured in two modes: in a static mode and with implemented spinning-current method. The obtained results were plotted in Figure 9.24. Calculate the improvement factor of the spinning-current method on the micro-Hall device in the frequency bandwidth from 0.1 Hz to 100 Hz.

References [1] Hall E.H. (1879). On a new action of the magnet on electric current, American Journal of Mathematics, 2, 287–292. [2] Hall E.H. (1880). On a new action of magnetism on a permanent electric current, American Journal of Science, Series 3, 20, 161–186. [3] Popovic R.S. (2004). Hall Effect Devices, 2nd edn, IOP, Bristol. [4] Popovic, R.S., Schott, C., Shibasaki, I., Biard, J.R. and Foster, R.B. (2001). Hall-effect magnetic sensors. In Ripka, P. (ed.), Magnetic Sensors and Magnetometers, Chapter 5, Artech House, Boston. [5] Popovic, D.R., Fahrni, F. and Stuck, A. (2003). Minimizing investments in production of sensor micro systems. In Proceedings of The 8th International Conference on the Commercialization of Micro and Nano Systems, 8–11 September 2003 Amsterdam. [6] Maupin, J.T. and Geske, M.L. (1980). The Hall effect in silicon circuits. In Chien, C.L. and Westgate, C.R. (eds), The Hall Effect and its Applications, Plenum, New York, pp. 421–445. [7] Taranow S.G. et al. (1973). Method for the compensation of the nonequi- potential voltage in the Hall voltage and means for its realization, German Patent Application 2333080. [8] Bilotti, A., Monreal, G. and Vig, R. (1997). Monolithic magnetic Hall sensor using dynamic quadrature offset cancellation, IEEE Journal of Solid-State Circuits, 32, 829–836. [9] Bakker, A., Thiele, K. and Huijsing, J. (2000). A CMOS nested chopper instrumentation amplifier with 100 nV offset. In Proceedings of International Solid-State Circuit Conference ISSCC 2000, Paper TA 9.4. [10] Demierre, M., Pesenti, S., Frunchi, J., Besse, P.A. and Popovic, R.S. (2002). Reference magnetic actuator for self-calibration of a very small Hall sensor array. Sensors and Actuators A, 97–98, 39–46. [11] Demierre, M., Pesenti, S. and Popovic, R.S. (2002). Self calibration of a CMOS twin Hall microsystem using an integrated coil. In Proceedings of Eurosensors XVI, 15–18 September 2002 Prague, pp. 573–574. [12] Popovic, R.S. et al. (2001). A new CMOS Hall angular Position sensor, tm – Technisches Messen, 68, 286–291. [13] Sentron AG, www.sentron.ch (Application notes and Technical papers) [14] http://www.melexis.com [15] http://www.asahi-kasei.co.jp [16] Schott, Ch., Waser, J.-M. and Popovic, R.S. (2000). Single-chip 3-D silicon Hall sensor, Sensors and Actuators A: Physical, 82, 167–173. [17] Kejik, P., Schurig, E., Bergsma, F. and Popovic, R.S. (2005). First fully SMOS-integrated 3D Hall probe. In Proceedings of the 12th International Conference on Solid-State Sensors, Actuators and Microsystems, 5–9 June, Seoul, South Korea. [18] http://www.senis.ch [19] http://www.metrolab.ch

Integrated Hall Magnetic Sensors

277

[20] Boero, G., Demierre, M., Besse, P.-A. and Popovic, R.S. (2003). Micro-Hall devices: performance, technologies and applications, Sensors and Actuators A: Physical, 106, 314–320. [21] Popovic, R.S. (2004). Hall Effect Devices, 2nd edn, IOP, Bristol, Chapter 5.5. [22] Drljaca, P., Kejik, P., Vincent, F., Piguet, D. Gueissaz, F. and Popovic, R.S. (2004). Single core fully integrated CMOS micro-fluxgate magnetometer, Sensors and Actuators A: Physical, 110, 236–241.

10 Universal Asynchronous Sensor Interfaces Gerard C.M. Meijer and Xiujun Li

10.1 Introduction Universal sensor interfaces form the ‘signal bridge’ between common sensing elements, which convert physical signals into electrical ones and the digital world. The functions of these interfaces include sensing, signal conditioning, analog-to-digital conversion, bus interfacing and data processing. Sometimes sensors and interface circuits can be implemented in single chips, called smart sensors. For instance, with acceleration sensors, it is quite possible to integrate the sensing element into micromachined chips, together with the required electronics for signal interfacing. Packaging such chips will not degrade the performance of these smart sensors. Also in the case of temperature sensors, it is quite possible to integrate electronic circuitry together with the sensing elements, provided that the self-heating caused by the power dissipation of the electronic circuitry does not cause inaccuracy (see Chapter 7). For other types of sensors there can be many reasons to implement the various parts of a sensor system separately, using different components. Usually, these reasons stem from physical or economical conditions and circumstances, such as:

r Harsh temperature conditions and corrosion disable the use of electronic circuits in an environment in which the sensing elements are operated.

r The product volumes are often far too low to make full integration economically feasible. r Often, sensing elements cannot be manufactured in a process compatible with that needed for the electronic circuitry.

r Often, the use of off-the-shelf products makes it easier and less expensive to qualify and test sensor systems.

r Using off-the-shelf products will often speed up system design. For a medium-volume market and for designs in which the sensing elements have to be separated from the interface electronics, the hardware configuration of Figure 10.1 could offer Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

280

Smart Sensor Systems

physical distance sensor element

physical distance modifier A/D converter

micro computer

output interface

clock

RS 232 I2C . . .

Figure 10.1 Possible hardware configuration for a smart sensor system

a good solution. As will be discussed in Section 10.3, the use of a clock line is optionally. The modifier consists of electronic circuits that provide multiplexing, and conditioning and conversion of the sensor signals, including A/D conversion. In this setup, each of the system parts can be selected or designed for optimum system performance. For each part the best technology can be selected, for instance:

r The sensing elements and their packages can be implemented in technologies that yield a good compromise between interaction with the physical environment and immunity against corrosion. r The modifier hardware, which is often used under less harmful conditions than the sensor elements, can be implemented in a technology that gives the best performance for precision and speed of the analog and digital signal processing. r The microcontroller hardware can be an off-the-shelf component that gives the best performance-to-price ratio for data processing. For such a setup, the use of universal interfaces, such as those introduced in Chapter 2, Section 2.6, could reduce the burden of separately redesigning the electronics for each type of sensing element. As explained in Chapter 2, Section 2.3.2, the use of two-port measurement helps to overcome the problems posed by the parasitic impedances of connecting wires or cables. In many cases the cost of wiring can make up a considerable part of the total system costs. To reduce wiring costs, the use of high-frequency signals transported via the wiring should be minimized. In that case, a modifier (Figure 10.1) has to be selected that does not apply high-frequency excitation signals for the sensing elements, and does not need high-frequency clock signals. In Sections 10.3 and 10.5 it will be shown that for such cases, modifiers based on asynchronous converters will be a good choice. In sensor system design, the appreciation of universal interfaces is rapidly increasing. In the next subsection, a number of desirable features and the design criteria of such interfaces will be discussed, while in Section 10.3 the typical features of asynchronous converters will be discussed. For the overall performance of the whole sensor system, the quality of the frontend part of the modifier is very important. Examples of such front ends are introduced in Section 10.5. Finally, as a case study, Section 10.6 discusses the designs and features of asynchronous signal processors for capacitive sensors, resistive bridges and thermopiles.

10.2 Universal Sensor Interfaces For low-cost rapid prototyping of sensor systems, the use of universal sensor interfaces can be very beneficial. The universal properties allow users to re-use their tools and knowledge in alternative applications. Paradoxically, the front-end circuitries in these interfaces are not

Universal Asynchronous Sensor Interfaces

281

universal at all, but have been designed to offer optimal signal-processing quality for a specific range of specific types of sensing elements. These dedicated front ends should assist users to make the most appropriate choice for the excitation signals, measurement techniques, and measurement configurations (see Chapter 2). Generally, sensor systems lack standardization. For communication between microcontrollers and computers, standard bus protocols can be applied. However, because of their physical constraints for the sensing elements, no standards are available. The electrical output signal of a sensing element can be one of many different types, e.g. a change of resistance, or capacitance, or voltage, or current, or charge, or bridge imbalance, or power, etc. Some sensing elements, such as the voltage-generating pH sensors, have a very high internal impedance, so that the input amplifier of the applied interface should also have a very high input impedance. Other types of sensing elements, such as photocurrent sensors, require input amplifiers with very low input impedance. These examples show that the requirements of a universal sensor interface for various sensing elements are conflictive. Therefore, it is not possible to implement a universal sensor interface with just one type of input amplifier stage. Instead of this, for universal applications, a variety of possible input amplifier stages is required. Each of these stages has to be optimized for a certain category of applications. This results in a complex input configuration, which nevertheless must demonstrate high performance. During the last 15 years highly interesting interface chips have been developed: In the early 1990s, during the course of a Eureka project, a group of scientist and companies developed an interface chip called ‘USIC’ [1]. This chip offered many functions. However, the chip was very large and assembled in a bulky package. According to the best of our knowledge this product is not available anymore. Afterwards, many other interface chips were introduced to the market. Some of these interface chips mainly consist of a microcontroller or DSP core with only a few front-end circuits for some specific applications. Other interface chips [2, 3] mainly consist of an A/D converter with a programmable gain-amplifier implemented with front-end circuits for a few types of sensors. Interface chips that are more universal are presented in refs [4–6]. Besides economic reasons, important considerations in selecting a certain type of interface include: the types of supported sensing elements, the applied measurement techniques, the specifications and the supporting tools. Types of sensing elements to be supported The input stages of sensor interfaces must be optimized for the type and the dynamic range of the input signals and for the source impedances. Therefore, in the various interface modes, dedicated front-end circuits with a special configuration are applied to specific classes of sensing elements, such as:

r Low-impedance resistive elements, such as Pt100, Pt1000, etc. For these elements the twoport configuration, according to Chapter 2, Figure 2.3(a), is recommended.

r High-impedance resistive elements, such as conductivity sensors. For these elements the two-port configuration, according to Chapter 2, Figure 2.3(b), is recommended.

r Thermistors. With a special input-circuit configuration, the exponential characteristic of these resistive temperature sensors can be linearized.

r Capacitive elements. A wide variety of input ranges can be offered. Some interfaces are more suited to reduce the effect of shunting resistors.

282

Smart Sensor Systems

r Potentiometers. These resistive elements are frequently applied for set-point control and position measurement.

r Resistive bridges for strain gauges, load cells, etc. For current excitation or voltage excitation, special modes can be offered.

r Voltage-generating elements, including thermopiles, thermocouples etc. To eliminate the effect of the internal impedance of these elements, a front-end amplifier with high input impedance is required. r Current-generating elements, including photo-cells, PSDs etc. In this case a front-end amplifier with low input impedance is required. Specifications and features There is a wide range of special features to be considered by the user. For instance:

r Accuracy and dynamic range. The accuracy of the interfaces should be sufficient for the full signal range of the sensor system.

r Measurement time. The effects of random errors as caused by noise and interference can be

r r

r r r r

reduced by filtering (for instance averaging) over a longer measurement time interval. The required bandwidth or speed of the sensor system will limit this. In some sensor interfaces, the measurement time is programmable, so that it can be changed for the best performance at the moment of a specific measurement. Temperature range. Supply voltage and current. It would be an important advantage if the various system parts could be supplied with the same supply voltage. In view of EMC problems, separate voltage regulators are recommended for digital and analog system parts. The number and types of input channels for sensing elements, for instance, to be able to connect more than one sensing element. The need for clock signals, reference voltages, or external components (see next subsections). The type of output signal. Built-in peripherals for temperature compensation and voltage references.

The applied measurement techniques In addition to the specifications of a sensor interface, it is important for the user to know and understand the applied measurement techniques, because these are important for the reliability, long-term stability, and immunity against cross-effects and undesired signals (see Chapter 2). Important items concern:

r Autocalibration. Autocalibration compensates for the effects of inaccuracy, drift, and temperature and voltage coefficients of the interface transfer parameters.

r Two-port measurements. The application of this technique is important for the elimination of the parasitic effect of connecting wires. The interface should provide excitation sources and front-ends for proper measurements. r The frequency, the wave shape, and the magnitude of the excitation signals generated by the interface. A wrong choice can lead to corrosion, nonlinearity, and increased influence of the parasitic effects.

Universal Asynchronous Sensor Interfaces

283

r Reduction of the influence of noise and interference. r Chopping. The use of this modulation/demodulation technique reduces the effects of offset and low-frequency disturbing signals generated within the interface or received via its external wires. r Input biasing conditions. Together, the interface circuit and the sensing elements form an electronic circuit. The biasing conditions of the electronic circuit can easily be disturbed by improper external connections or out-of-range common-mode voltages. Special attention is needed for grounding of the sensing elements and the power supply. r Dynamic element matching (DEM). The application of this technique can result in better reliability and long-term stability of the interface system. Supporting tools To enable rapid prototyping, the interface providers should deliver sufficient documentation as well as hardware and software tools.

10.3 Asynchronous Converters Analog-to-digital converters (ADCs) used in sensor systems often require very high absolute accuracy and linearity, and very low noise. Furthermore it is important that their power consumption is low and that their clock signals do not cause interference for the sensitive front-end circuits. Often, in sensor systems the required acquisition rate is limited to a few kHz or even less. For such applications the required features can be obtained with incremental sigma–delta A/D converters [7] and asynchronous converters. For a review of principles and architectures of A/D converters, the reader is referred to, for instance, ref. [8]. In this chapter, we will mainly focus on asynchronous converters, which have the advantages of simplicity, flexibility, and do not require a clock line. In Section 10.3.5 a comparison is made between the features of asynchronous converters and those of sigma–delta converters. The output signals of the asynchronous converters are square-wave signals, which have been modulated in the time domain. Various types of modulation can be applied, such as dutycycle modulation, period modulation, and pulse-width modulation. The trade-offs originate from the unavoidable distance between the various system parts.

Example 10.1: Let us assume that for the system configuration of Figure 10.1, the sensing element has to sense a low-frequency physical signal while being situated 4 m away from the microcomputer hardware. For economical reasons it could be appealing to implement the modifier on the same PCB board as the microcontroller, so that only a single board is required and the modifier and the microcontroller can share the same clock line for timing. However, this yields a rather long distance between the sensing element and the modifier. The wiring is a long antenna for interfering signals or, when shielded, causes large parasitic capacitances, which can result in too long time constants for the excitation signal. In that case, it could be preferable to make the distance between the modifier and the sensing element as small as possible, for instance 50 cm or less, and to accept a distance of about 3.5 m between the modifier and the microcomputer.

284

Smart Sensor Systems

In such a case, the modifier and the sensing element could be assembled in, for instance, a single probe. Because of the long distance between the modifier and microcontroller, it will be an advantage when the clock line could be skipped, because the high-frequency clock signals can cause interference, or, when shielded, can be attenuated because of the time constants of the connection. The use of coaxial cable would have the drawbacks of increased costs and size of assembly material and handling. Using asynchronous converters can solve such problems. With these converters, no clock line is required. The frequency of the output signal can be limited to a bandwidth of a few kHz. The use of period-modulated output signals (as shown in Chapter 2, Figure 2.7) will allow rather large time constants, up to about 10 % of the period time.

This example shows why it could be advantageous to use asynchronous converters. On the other hand, many manufacturers of sensor interface circuits [2, 3] have decided to use onboard sigma–delta converters. In Section 10.3.6, a comparison is made between the features of these two types of converters.

10.3.1 Conversion of Sensor Signals to the Time Domain In asynchronous converters, the conversion of sensor signals to the time domain is accomplished in two steps. A) Firstly, a charge Qx that represents the sensor signal is transferred to an integrator capacitor Ci . In switched-capacitor (SC) converters, implemented with voltage-independent capacitors, the charge Qx equals the product Cs V x of a sampling-capacitor value and its voltage. Often the voltage V x represents the sensor signal, which is obtained with front-end circuitry similar to those discussed in Chapter 2, Section 2.6.1. These front-end circuits consist of selectors, choppers and amplifiers. In Section 10.5 of this chapter, some more front-end circuits will be presented. In other sensor systems, such as some of the capacitive sensors described in Chapter 8, the capacitor Cs represents the measurand. In continuous-time converters, such as those used in smart temperature sensors, the charge Qx is obtained by integrating a sensor current till a certain voltage difference has been obtained. For detailed discussions about these converters, the reader is referred to Section 7.5 and ref. [9]. In this chapter, we will mainly focus the attention on the SC converters. B) Secondly, according to the charge balancing concept, the transferred charge Qx is compared with a well-known reference charge, by changing the reference charge up to the level that the both charges are equal (balanced). In a frequently used implementation, the reference charge is obtained by integrating a precision reference current I ref over a certain time interval tx . This charge removes the charge Qx from Ci , which was brought there from the sampling capacitor. This charging process goes on till the capacitor voltage equals the original value, which was there before sampling the charge Qx . When no charge has been lost, it holds that I ref tx = Qx , so that: tx =

Qx Cs Vx = Iref Iref

(10.1)

285

Universal Asynchronous Sensor Interfaces

S5

Iref

RCS

ϕ3 S1

CS

S4

ϕ1 VX

Ci

ϕ4 ϕ 2 S2

comparator Vi

ϕ 1 S3

integrator VDD/2

(a)

tp

Vi VDD/2

t tx

(b)

Figure 10.2 Basic circuit configuration for conversion of sensor signals to time intervals: (a) circuit diagram; (b) the integrator output voltage V I . Charge added as a result of the switched-capacitor action is – on average – removed by the reference current source I ref . The switches are controlled by the comparator output voltage

In this way, signals represented by charge, voltage or capacitance can be converted into the time domain. Figure 10.2 shows a simple circuit in which this principle has been implemented. This circuit is suited for capacitive sensors as well as voltage-generating sensors. In the latter case, after closing the switches S1 and S3 , the sensor voltage V x is sampled. Next, upon a control signal of the comparator output, the pair of switches (S1 , S3 ) are opened and (S2 , S4 ) are closed, successively. By this action, the charge Qx = V x Cs in the capacitor Cs is transferred to the integrator capacitor Ci , which causes a jump in the output voltage. The integrator consists of an opamp with a feedback capacitor Ci . Supposed, that the opamp is ideal, with an infinite slew rate, then the opamp is operated in its linear mode, so that the input voltage is ‘zero’ and the inverting input terminal is virtually at potential V DD /2. In case of linear operation, the effects of the various excitation voltages and currents can be found by applying the superposition theorem, which says that the total response upon the various excitations equals the sum the responses for each excitation, separately. When we suppose that the internal resistance Rcs of the reference-current source is infinitely high, then the current I ref will flow into the capacitor Ci , causing a linear decrease of the integrator output voltage. Once, the integrator voltage crosses the threshold voltage V DD /2 at the noninverting input terminal of the comparator, the comparator output voltage changes its state, which generates the command signal for controlling the switches, as mentioned before. To eliminate the effect of 1/f noise, offset and other low-frequency nonidealities of the opamp and comparator, the whole process is repeated with the opposite signs of the relevant voltages and currents. This is accomplished by inverting the direction of the current I ref .

286

Smart Sensor Systems

Table 10.1 Basic requirements of the components of the charge-to-time converter Component

Requirements

Switches Capacitors Cs and Ci Opamp Comparator Current source I ref

Very low clock feedthrough and channel-charge injection Very low leakage current and voltage coefficient Low-noise, low input-bias current Low-noise voltage Stable, low-noise, high output impedance

Inversion of the charge Qx is obtained by replacing the switch pair (S1 , S3 ) by the pair (S5 , S2 ). In this way, dc sensor signals are converted to ac signals, which can selectively be processed, while removing the dc disturbances of the electronic circuitry. As a result of the described actions, a total period time tp is obtained (Fig. 10.2(b)) for which it holds that: tp = 2tx = 2Cs V x /I ref . From this simple circuit, the basic requirement to obtain an accurate conversion can easily be understood: let us suppose that autocalibration is applied. As described in Chapter 2, Section 2.5.3, this will eliminate the effects of multiplicative and additive parameters, including the absolute values of Cs and I ref . However, these values should be stable during the conversion time and therefore also be independent of the sensor signal. Furthermore, undesired charge injection, as caused by clock feedthrough and channel-charge injection of the switches, should be as low as possible and/or compensated by applying autocalibration. For the opamp, a high slew rate is not a first requirement. As explained in Example 10.3, only in combination with the finite value of the internal impedance Rcs of this current source, a finite slew rate will cause a problem. The effects of a finite loop-gain are partly reduced by autocalibration. The effects of transients in the integrator output signal are only relevant, when there is a residual effect at the moment of crossing the threshold value of the comparator. Therefore, the bandwidth and slew-rate requirements for the opamp are rather moderate [10, 11]. In Table 10.1 the basic requirements of the components of the converter circuit (Figure 10.2(a)) have been summarized. The details of the requirements are related to the nominal values of the currents and voltages to be processed. It is helpful to distinguish between additive and multiplicative errors and to consider their dependence on other voltages and currents during the conversion.

Example 10.2: Undesired charge injection. For a high converter precision even small charge errors have to be eliminated. Let us suppose that Cs = 10 pF and that V x = 1 V, then Qx = Cs V x = 10−11 C. For an accuracy of 16 bits, the charge errors should be less than 10−11 C × 2−16 = 1.5 × 10−16 C, corresponding to the charge of only 1000 electrons!

Example 10.3: Requirements for current source Iref . From Equation (10.1) we can find that a relative error in this current will give an equal relative error in time interval tx . As mentioned before, multiplicative and additive errors that are constant over all autocalibration phases will be eliminated. However, in the case of a large value of the sensor

Universal Asynchronous Sensor Interfaces

287

signal, the integrator opamp can be overloaded. Such an overload can seriously reduce the amplifier gain to almost zero, so that the input voltage at the inverting input terminal is not zero anymore. This voltage will affect the voltage over the current source with the same amount. Because of the finite value of the current source impedance Rcs , this voltage change will change the value of the current. The same problem occurs during slewing of the integrated output voltage. In case of high overload, the opamp input voltage can be so high, that protection diodes (not shown in Figure 10.2) start to conduct, which will cause a dramatic loss of the converter accuracy. In the other autocalibration phases, overload can easily be avoided. Consequently, the combination of the described effects causes errors that are not or only partly compensated by autocalibration. In the next section, a solution to such a problem is presented.

10.3.2 Wide-range Conversion of Sensor Signals to the Time Domain for Very Small or Very Large Signals From Table 10.1 it can be concluded that there are many ways to improve the performance of the charge-to-time converters. In ref. [12] the noise performance of a circuit, similar to that of Figure 10.2, has been analyzed for possible application in high-speed capacitive sensor interfaces. It has been shown that to achieve a low jitter, a CMOS opamp with a low input-noise voltage and a relatively narrow bandwidth has to be applied together with a low-noise comparator. A prototype of a complete setup implemented with discrete low-noise components, a microcontroller and a high-speed (50 MHz) counter (see also Section 10.3.4) has been tested. As to be expected, the resolution is inversely proportionally to the square root of the measurement time (the longer the measurement time, the smaller the changes that can be detected). Their best reported results show a resolution ranging from 14 × 10−7 (19.4 bits) to 10−4 (13.2 bits) for measurement times of 20 ms and 0.2 ms, respectively. The paper cited shows the limitations when measuring very small signals and what to do to improve the performance. As shown in Example 10.3, for large signals there are also limitations, which are due to, for instance, the combined effects of on the one hand, overload or slewing of the integrator amplifier and, on the other hand, the finite value of the current source impedance Rcs . In ref. [14] it is shown that the dynamic range for large signals can be extended by applying a negative feedback technique. Figure 10.3(a) shows the basic principle of this technique, which is applied in the input integrator circuit. In this circuit the use of a negative feedback, via the U-I converter, prevents the integrator output from being overloaded. This is achieved by controlling the discharge rate of the sampling (or sensor) capacitor CS . Because of this control, the discharge process will be rather smooth. There is also no need to discharge rapidly. The only requirement for the discharge rate as that, at the end of the time interval (t0 − t3 ), the total charge from the capacitor CS is transferred to the integrator capacitor Ci . In the mean time, over the time interval tp = t0 − t3 , the total charge delivered via the reference current source I ref has completely compensated the charge Qx = V x CS , delivered via the capacitor CS . So the charge balance and Equation (10.1) are still valid. Note that, although the integrator output voltage V i has a strange shape, according to Equation (10.1), in case of accurate charge processing, the relation between the period time and sensor signal is highly linear. It can be shown, that application of negative feedback can also improve the noise performance [14]. An improved, integrated version of this circuit has been presented in [15].

288

Smart Sensor Systems

V+

U-I converter (OTA)

I1

2Iref

Vref

S1

Iref

S3

CS i CS

ϕ1

ϕ2

I2 M2

Ci

M3

Coff

iCi VI

ϕ1 S2

integrator comparator

VX

VDD/2

Logic control

(a) tp

Vi Vref VDD/2 0

t0

t1

t2

t3 t4

t

(b)

Figure 10.3 An SC front-end with a wide dynamic range for large signals. (a) Basic circuit diagram; (b) although the output signal V i of the integrator has a strange shape, by applying the charge-balancing principle, a linear tp (Qx ) is obtained

10.3.3 Output Signals The output signal of an asynchronous converter is a square-wave signal (Figure 10.4), which is modulated in the time domain. Various types of modulation can be applied, such as duty-cycle modulation, period modulation or pulse-width modulation. Duty-cycle modulators In duty-cycle modulators (Figure 10.4(a)) the sensor signal is converted to the time ratio M = t1 /(t1 + t2 ). Such a signal is rather suited for sensors with a ratio-metric output signal and is applied in, for instance, the smart temperature sensors described in Chapter 7, Section 7.5.1.

289

Universal Asynchronous Sensor Interfaces

t1

t2

output voltage

td1

td2

Tx

time

(a) Tref

T0

Tslot

(b)

Tcycle

Figure 10.4 Various types of output signals (a) duty-cycle modulation (b) period modulation

An interesting feature of duty-cycle modulation is that the signal information is present in both the time domain and the amplitude domain. The time-domain information can easily be converted to the amplitude domain by applying a low-pass filter with a time constant τ lp ≫ (t1 + t2 ). This is applied in the temperature detector, depicted in Figure 10.5. For example, when the smart temperature sensor SMT [16] is supplied with a voltage V DD , the averaged output voltage V average amounts to Vaverage = MSMT VDD

(10.2)

in which M SMT represents the duty cycle, which is linearly related to the temperature. This voltage is connected to one of the comparator input terminals. The other comparator input terminal is connected to a resistive divider, which is used to control the set-point. The divider output voltage V setpoint equals: Vsetpoint = Msetpoint VDD

(10.3)

VDD

smart temp. sensor Vaverage

Vsetpoint

Schmitt trigger

0V

Figure 10.5 A simple electronic circuit for a temperature detector. The average value of the dutycycle-modulated signal is compared with output voltage of a resistive divider. With the potentiometer the temperature is set at which the comparator changes it output

290

Smart Sensor Systems

When the temperature measured with the temperature sensor crosses a value, for which it holds that M SMT = M setpoint , then the Schmitt trigger inverts its state. The hysteresis of the Schmitt trigger is chosen to be larger than the ripple at the input signals, which eliminates the effect of oscillation or multi-triggering of the output voltage. The output signal can be used to control a switch that, for instance, triggers an alarm circuit, or switches on a heater in a thermostat. In this way, the potentiometer is used to control the set-point at which the comparator switches to another output state. Note, that in addition to its simplicity, dutycycle modulation has another interesting advantage: because both values V average and V setpoint are proportional to V DD , the set-point value M setpoint of the resistive divider is independent of the supply voltage V DD . Usually sensors with a duty-cycle-modulated output voltage are read out with a timer in a microcontroller. In that case, a problem could arise when such a sensor is connected with a long cable to the timer circuit. Because of the cable capacitance and the sensor output resistance, the 0–1 and 1–0 transients in the sensor signal might be too slow (Figure 10.4(a)). This will cause a time error that will depend on the threshold level of the timer and which might be different for rising and falling edges. There are solutions to this problem, such as using a buffer amplifier, using optical modulators/demodulators, or using period modulation. Period modulators In period modulators, the sensor information is converted to the full length of one or more periods (Figure 10.4(b), see also Chapter 2, Section 2.4). In this case, the time intervals are measured using only one type transient: the rising or the falling edge. In case of a large time constant, there will be some time delay in the detection moment. These delays are equal for all detected transients, so that their effect on the measured time differences (intervals) is compensated. Pulse modulators In the time domain, the output signal of an asynchronous converter is analog. Each signal sample requires a full period time. Therefore, such a time-modulated signal can considered as sampled analog signal, where a new sample is available at the end of each period. The time intervals between the signal samples are equal to an integer number of periods. When the period time is signal dependent, then the samples are not time equidistant. Usually, this does not pose a problem. However, when time-equidistant samples are required, pulse modulation can be applied. In this case the sensor signal is converted to, for instance, a time interval t1 , while the period time tp is fixed.

10.3.4 Quantization Noise of Sampled Time-modulated Signals With a microcontroller the various time intervals, t1 , t2 and/or the period time tp (= t1 + t1 ) of a time-modulated signal (Figure 10.6) can easily be digitized. For this purpose, the outputvoltage line of the asynchronous converter is connected to a timer input of the microcontroller. At the first sampling moment, after an up-going or down-going edge of the signal, the contents of a fast-running timer are copied to a special register (the capture register). In this way, time moments and time intervals are converted into integer numbers of counts. In between two

291

Universal Asynchronous Sensor Interfaces

tp

output voltage

t1

t2 sampling moments

ts

time tm

Figure 10.6 Sampling moments with time intervals ts during a total measurement time tm of a timemodulated output signal

sampling moments, within the sampling period ts exact information about the precise moment of the transient is lost. This loss of information is similar to the loss of information in any other type of A/D converter and gives rise to a conversion error, which is called sampling error or quantization error. Averaging the result of the measurement over a large number of periods will significantly reduce this type of noise. For period modulation, this averaging process is more effective than for duty-cycle modulation. This can be explained as follows: (a) With period modulation, after a series of concatenated periods, only the very beginning and the very end of the counting interval will introduce uncertainties. Therefore, the relative effect of the quantization error will go down proportionally to the total number N of periods. (b) With duty-cycle modulation, any up-going and down-going edge will introduce uncertainty. When these edges are not synchronized with the sampling moments, the quantization noise contributions of the various transients are stochastically independent. Then, in the average, the quantization noise will go down with the square root of the number of counts. Care should be taken to avoid that sampling pulses of the microcontroller do not cause undesired synchronization of the sensor signal. Undesired synchronization would change the stochastic errors into systematic ones, which will exactly be repeated, each period. In that case, increasing the number of periods will not help to reduce the relative error. Injection of noise or pseudo noise can help to avoid undesired locking [17]. Averaging over N concatenated periods will yield a total measurement time tm which equals tm = N tp = N (t1 + t2 )

(10.4)

The quantization noise has a uniform distribution function. In case of duty-cycle modulation, this noise causes a relative error with a standard deviation σ q,dcm that equals σq,dcm

 ts 1 = ts =√ t 6t 6N p m tp 1

(10.5)

292

Smart Sensor Systems

Often resolution is expressed in term of bits. In that case, the resolution Rbits is related to the standard deviation σ as: Rbits = −2 log σ =

− ln σ ln 2

(10.6)

Often, for ADC converters the resolution is specified for three or six times the standard deviation. This will yield resolution figures being 1 or 2 bits less. For comparison with other publications, in this chapter we will use resolution figures as defined in Equations (10.5) and (10.6). In case of period modulation, quantization noise causes a relative error with a standard deviation σ q,pm that equals: 1 ts 1 ts σq,pm = √ =√ 6 N tp 6 tm

(10.7)

For both types of modulation, using a fast microcontroller will significantly reduce the quantization noise.

Example 10.4: Quantization noise for a sampled duty-cycle-modulated signal. In Section 7.5.1, Example 7.7 refers to a smart temperature sensor with a duty-cyclemodulated output signal, where the measurement time tm = 30 ms and the period time tp = 0.3 ms. In addition to that, for this example we suppose that the sampling time ts = 0.3 µs, which represents a typical value for microcontrollers of the type 8051. According to Equation (10.5), it is found that σ q,dcm = 4.1 × 10−5 , which corresponds to a resolution of −(2 log σ q,dcm ) = 14.6 bits. Nowadays, microcontrollers can be much faster (see Chapter 12). For instance, with microcontrollers of the type LPC2101, with a clock frequency of 11.7 MHz, the internal sampling frequency is as fast as 70 MHz, so that ts = 14.3 ns. With such a microcontroller, for the same measurement conditions, the quantization noise would be reduced to only σ q,dcm = 1.95 × 10−6 , which corresponds to a resolution of 19 bits.

Example 10.5: Quantization noise for a sampled period-modulated signal. Suppose that the asynchronous converter would generate a period-modulated output signal. With the same data as in Example 10.4: tm = 30 ms, tp = 0.3 ms and ts = 14.3 ns (70 MHz), with Equation (10.7), it is found that σ q,pm = 0.2 × 10−6 , which corresponds to more than 22 bits. Such a resolution is close to that mentioned by Quiquempoix et al. [7] for a third-order incremental sigma–delta converter. √ From Equations (10.5) and (10.7), it is found that σ q,dcm /σ q,pm = N . This explains why, for a measurement with N = 100 periods, period modulation has a 10 times better resolution than duty-cycle modulation.

Universal Asynchronous Sensor Interfaces

293

Example 10.6: Quantization noise in relation to thermal (white) noise. Figure 10.7 shows the noise in the measurement for a sensor resistor R ( = 100 ), as measured with the universal interface presented in Chapter 2, Section 2.6. The four graphs represent the results for various values of measurement time tm and sampling time ts .

Figure 10.7 Noise of an interface system at various measurement times tm and sampling periods ts : (a) tm = 14 ms and ts = 200 ns; (b) tm = 112 ms and ts = 200 ns; (c) tm = 14 ms and ts = 14.2 ns; (d) tm = 112 ms and ts = 14.2 ns

In Figure 10.7(a) the effect of quantization noise can easily be recognized. With increasing measurement time (Figure 10.7(b)) the quantization noise decreases significantly. Equation (10.7) shows that an increase of the measurement time by a factor eight should result in a decrease of the standard deviation by also a factor of eight. However, at tm = 112 ms, the thermal noise dominates over the quantization noise, so that the reduction is only a factor of five. When the sampling time is reduced to 14.2 ns (Figures 10.7(c) and (d)), the graphs show √ that there is no significant quantization noise. Thermal noise is inversely proportional to tm . Therefore, increasing the measurement time by a factor of eight (graphs (c) and (d)), decreases the standard deviation with a factor of about 2.9. Note that systems in which thermal noise dominates over quantization noise cannot be improved by using an A/D converter with a higher resolution. For this reason a sensor systems with a 24 bit A/D converter seldom shows a 24 bit resolution (see also Problem 10.4 at the end of this chapter). The values of the standard deviations of the measured noise signals shown in Figure 10.7 are listed in Table 10.2.

294

Smart Sensor Systems Table 10.2 Standard deviation σ R in the relative value of (R/R) Standard deviation tm (ms)

for ts = 200 ns

for ts = 14.2 ns

−4

14 112

0.58 × 10−4 0.22 × 10−4

1 × 10 0.26 × 10−4

10.3.5 A Comparison between Asynchronous Converters and Sigma–delta Converters Both asynchronous and sigma–delta converters belong to the group of indirect A/D converters, with as key characteristic the use of an intermediate time-domain signals in A/D conversion processes for signals in the low- and intermediate frequency range. The attractive features of indirect converters concern their high resolution, low power consumption and simplicity. Therefore, indirect converters are highly suited for smart sensor systems. The basic block diagrams of asynchronous and sigma–delta converters show a strong similarity (Figure 10.8). In asynchronous converters, the microcontroller (Figure 10.8(a)) takes care of digitizing, using a clocked gate and a fast-running timer. Generally, to reduce power dissipation and emission of disturbing signals, it is advised to limit the application of high-frequency signals to a limited space/area. In the setup of Figure 10.8(a), the timer clock is used only within a small area at the microcontroller chip, without external wiring or connections and far away from the sensitive input amplifiers. Therefore, a very high timer frequency can be applied. As shown in Example 10.4, this results in (very) low quantization noise. In sigma–delta converters, the clocked gate is within the feedback loop. The advantage is that the output signal is already digitized (Figure 10.8(b)), which usually will be appreciated by the user. Furthermore, when applying higher-order converters, the quantization noise and/or the clock frequency can highly be reduced. time-modulated signal Iin

+





Vi

integrator

gate Schmitt trigger

bit stream timer

clock

Iref

Iin

+





Vi

decimation filter

integrator

digital output

clock Iref VC

VC

Quantized duty cycle

0 1 0 0 0 1 1 0 0 0 1 0 (a)

bit stream 0 0 1 0 0 1 0 0 0 1 0 0 1 (b)

Figure 10.8 Block diagrams indirect A/D converters: (a) asynchronous converters, (b) synchronous (sigma–delta) converters

Universal Asynchronous Sensor Interfaces

295

However, with sigma–delta converters also a number of problems can arise:

r The occurrence of dead zones [9]. Practical integrators will show some leakage. Dependent of the amount of leakage, at specific dc values of the sensor signal, the resolution can drop significantly. Consequently, the worst-case resolution will drop. For the large group of sensing elements that generate low-frequency or dc output signals, this problem should be taken into account. r Multiplexed sensing elements. To perform autocalibration or to select elements from a sensor array, at the input of the sensor system, the sensing elements are multiplexed. This causes the problem that at the beginning of each conversion the memory elements, including all of the capacitors, have to be reset. This would have the drawback of an increased acquisition time, thus slowing down the operation speed of the sensor system. The use of special filter topologies and initialization circuits (feed-forward paths) [9], can solve this problem and speed up the conversion rate. In ref. [9], many examples can be found of sigma–delta converters that have been designed for smart temperature sensors. It has been shown that such circuits can be combined with other circuits, which are needed for, for instance, calibration of reference purposes. In ref. [7], a third-order incremental converter is presented, implemented in 0.6 µm CMOS technology. The ADC chip contains also an internal programmable oscillator, a decimation filter and a bus interface. With a clock frequency of only 30 kHz, a resolution of 22 bits is obtained for a conversion time of 67 ms. When using a microcontroller with a fast timer (see Example 10.5), with asynchronous converters a similar performance can be obtained. In this case, the excellent properties of the asynchronous converters are due to the very high sampling frequency in the microcontroller timer. These high frequencies are only found locally in a limited area and volume of the microcontroller chip. In this part of the chip, power consumption can be limited by minimizing the parasitic capacitances and by using a reduced supply voltage. Related to this, possible undesired effects of interference and cross-talk can also be limited. Always, limiting the spatial volume of EM fields is an effective way to reduce possible problems of high-frequency signal processing. The simplicity of asynchronous converters is because they concern a first-order system and because the quantization process is performed in the microcontroller. Furthermore, the very limited number of internal memory cells allows rapid adaptation of the converter to changes in the front-end circuit, or to multiplexing of sensing elements, or to change the acquisition time. It is possible to make asynchronous converters with a built-in quantizer, so that a digital output signal is achieved. However, then the advantage that no counter, external clock line or internal clock is needed, is lost. In summary, it can be concluded that asynchronous converters have the attractive features of simplicity and flexibility for rapid changes in the front-end circuitry or in the signal processing. These features make these converters attractive for universal converters with many front ends and many users’ options. Because of the small chip size, integrated asynchronous converters can easily be combined with sensing elements as a system in a package. Moreover, because of their simplicity, asynchronous converters are rather suited for low- and mediumvolume applications. In such applications, a universal interface can perform multiplexing,

296

Smart Sensor Systems

chopping and precision analog processing of the sensor signal, while a low-cost microcontroller performs quantization and digital signal processing. On the other hand, sigma–delta converters offer the advantage of delivering a digital output. For high-volume applications the complexity of sigma–delta converts is not a big problem, which makes these converters rather suited for dedicated designs of smart sensors and systems on a chip (SOCs) with embedded microcontrollers.

10.4 Dealing with Problems of Low-cost Design of Universal Interface ICs For users of universal interfaces it is helpful to understand the trade-offs to be made by designers of universal integrated circuits. This will help them to understand the limitations of present designs and to discover possible opportunities for making improved dedicated designs. The main drive behind the development of universal chips is the wish to offer low-cost high-volume products for the small- and medium-volume market. Designers and producers of universal interface chips have to take care to optimize the number of modes. From one hand, because of the higher production volumes, it can be an advantage to have a large number of modes for a very wide range of possible applications. For the user this could be attractive, because he can reuse his knowledge and tools for various applications. On the other hand, a too large a number of modes will increase the testing costs (see below) and will also increase the complexity for the user. Therefore, it will be more attractive to have a family of chips, with different members for various sensor groups. Each of them being users friendly and fabricated at low costs. In case of sensor-interface chips, the cost ingredients are related to the costs of:

r Integrated Circuits. The die (chip) costs are proportional to the chip size. In CMOS technology the chip size can be much less than in, for instance BICMOS technology. In case of small circuits, shrinking of the chip size is limited by the number of bonding pads. Therefore, CMOS technology and architectures with moderate number of bonding pads will be favorable. r Packaging. Small packages will be attractive to limit costs and size of the sensor systems. r Testing. Testing costs can make up a considerable part of the total chip costs. In universal systems, a large number of tests have to be performed, to check the functionality and quality of the various front-end circuits. The specific users will only be interested in a few of the available modes and does not want to pay for unused options. This limits the optimum number of modes for each member of the interface family. The use of CMOS technology introduces some specific problems for the design of precision front-end circuits. These problems and their solutions are:

r Mismatching of components. This will cause a large offset-voltage of the input amplifiers and also cause (systematic) inaccuracy of transfer functions. As explained in Chapter 2, these problems can be solved by applying autocalibration, chopping and dynamic element matching (DEM).

Universal Asynchronous Sensor Interfaces

297

r Excessive low-frequency (1/f) noise. This is because CMOS transistors are surface devices, where the main currents flow along the irregular Si–SiO2 interface, instead of through a perfectly-shaped bulk crystal. This problem can be solved by applying chopping and autocalibration (see Chapter 2). The chopper should modulate the desired signal and convert it to a frequency higher than the noise corner frequency. Afterwards, the desired and undesired signals are separated using appropriate filters. With an output chopper, the original signal is restored. For noise frequencies below the autocalibration rate, in addition to the chopper action, also autocalibration helps to reduce noise. r Switching effects. To apply the techniques mentioned above, in the sensitive parts of the front-end circuitry many switches are used, which introduce problems to be discussed in the next subsection.

10.5 Front-end Circuits 10.5.1 Cross-effects and Interaction The front-end circuits are very important, because they have to act as converters with optimum adaptation to the sensing element and the A/D converter, at input side and the output side, respectively. Often the sensing elements acquire physical information under difficult physical circumstances. Therefore, as discussed in Chapter 2, Section 2.3, for selective signal processing also the nonidealities of the sensing elements have to be taken into account. These nonidealities will include physical cross-effects, noise, interference, electrostatic discharge (ESD), impedance levels, etc. To cope with these problems, even in a universal sensor interface, the front ends are not universal at all. Instead of this, front-end circuits are tailored to have optimal features for specific tasks. This is accomplished by applying the best measurement techniques (Chapter 2) and, in addition to this, by using high-quality components. In front-end circuits, the electronic properties of the interface interact with those of the environment. This can cause undesired physical, chemical and electrical effects in both parts of the system.

Example 10.7: Undesired interaction Chemical effects: A dc biasing input current or excitation voltage generated by the interface can cause chemical problems, including corrosion, of the sensing element. Also the use of a switched-capacitor (SC) input of the interface will cause some dc current, which flows through the sensing element. Physical effects: An excitation current for a resistive temperature sensor can cause undesired heating of the sensitive element. Electrical effects: The decision to connect or not to connect sensing elements to ground can easily affect the biasing conditions of an input amplifier in a front end.

In addition to these measures, the properties of the interface circuit can be improved by minimizing the systematic and random errors of the interface and the connecting wires, as will be explained in the next subsection.

298

Smart Sensor Systems

10.5.2 Interference In high-resolution sensor systems, noise and interference should be kept as low as possible, to minimize the stochastic errors. As a first step, thermal noise can be reduced by optimizing filter characteristics for the best signal-to-noise ratio of the front-end circuit. As a next step, the resolution can be improved with an increase of the measurement time. As shown in Section 10.3.4, for period-modulated signals, quantization noise reduces inversely proportionally to the measurement time, while thermal noise reduces inversely proportionally to the square root of the measurement time. In addition to noise reduction, it is very important to design for a high immunity to interfering signals. Shielding of connecting wires at the interface input should reduce the interfering signals as much as possible. However, to function properly, sensing elements have to interact with their environment, which limits the possibilities of taking proper measures against electromagnetic interference. Therefore, it is advised where possible to use interference filters in the connecting wires. For low-frequency disturbing signals, filtering can be realized by autocalibration and chopping. In Section 10.6.1, for capacitive sensor systems, some theoretical and experimental results have been presented. To suppress high-frequency disturbing signals, good low-pass filtering is required. Interference of the microcontroller clock can cause undesired locking of the oscillator signal to the microcontroller clock frequency. In that case, over a wide range of periods the quantization errors are repeated in exactly the same way, so that a reduction of quantization noise cannot be obtained by averaging over a number of periods. To eliminate this effect, in addition to filtering of clock-feedthrough signals, dithering techniques can be applied [10, 17] to disable locking.

10.5.3 Optimization of Components, Circuits and Wiring At the interface site of the sensor system, systematic errors can be reduced, for instance, by optimizing the features of the following components and circuits:

r Protection circuits. These circuits protect the chips against electrostatic discharge and contain protection diodes. For sensor application, possible problems of these diodes concern their temperature-dependent leakage current and their internal capacitances. Sensing elements, such as thermopiles and pH sensors, can have a rather high internal resistance. The product of resistance leakage current results in an equivalent voltage error, which limits the accuracy. Special low-leakage circuits for ESD protection should be applied (Figure 10.9). When connecting, for instance, capacitive sensors, the effects of the parasitic capacitances have to be taken into account. In smart temperature sensors and smart acceleration sensors, the input signals are physical instead of electrical. This makes protection much easier. This is one of the advantages of implementing a sensor and its interface as a smart sensor. r Switches. Switches are used to select specific sensing elements, and to connect them with the most appropriate input circuit. They are also used as choppers, to convert dc sensor signals to ac signals. Electronic switches have parasitic diodes, which introduce leakage currents and parasitic capacitances similar to those mentioned for the protection diodes. Fortunately, optimization of the size and lay-out of the switches enables the magnitude of these nonidealities to be reduced. For choppers, at the dc side of the switches minimization of leakage currents is important, while at the ac side time constants have to be minimized. The size of the switches in discrete off-the-shelf multiplexers is often large. The manufacturers of

299

Universal Asynchronous Sensor Interfaces

VDD

bonding pad





to sensitive input circuit

VSS

Figure 10.9 Principle of a bonding pad with active guarding with low-leakage ESD protection

these switches have made this choice in order to reduce the switch ON resistance. However, a large switch size has the disadvantages of a high leakage current and large capacitance. For specific front ends, with dedicated designs, for each switch the best compromise can be found. For switches used for precision charge transfer, the effects of clock-feedthrough and channel-charge injection have to be minimized. Also in this case optimizing the switch size is a good start for optimizing the front-end performance. As a next step, switching effects can be reduced by appropriate timing of the mutual ON/OFF sequence of the various switches, using nonoverlapping/overlapping switch control signals. Finally, especially for low-frequency sensor signal, compensation of switching effects can be realized with autocalibration and nested-chopper techniques (see Chapter 2, Section 2.5.2) r Capacitors. Capacitors are used for filtering, for charge transfer in switched-capacitor (SC) front-ends, and for dc decoupling. In the latter application, these capacitors have to prevent that the connections of external sensing elements disturb the biasing conditions of the frontend amplifiers. In IC technology, there is a wide variety of capacitors available. Important capacitor properties concern: the capacitance per unit of chip area, the breakdown voltage, the nonlinearity (voltage dependence), the leakage current or charge loss, and the parasitic capacitance to the substrate (GND). With metal or polysilicon electrodes and high-quality oxides, excellent capacitor features are achieved. In today’s IC technology many metal and polysilicon layers are available. Some of these layers can be used for shielding or guarding purposes (see also Chapter 8), which can improve immunity for interference and surface effects. r Amplifiers and dividers. In the front-end circuits, amplifiers and dividers are used to amplify or to reduce the size the signals, thus reducing the required dynamic range of the signal processors (see Chapter 2, Section 2.5.4). As mentioned before, typical problems of these circuits, which are mainly related to poor component matching and low-frequency (1/f ) noise, can be solved by applying chopping, DEM and autocalibration.

10.6 Case Studies 10.6.1 Front-end Circuits for Capacitive Sensors As a first case study, we will compare and discuss the architectures and properties of various interfaces for capacitive sensors that have earlier been presented in Chapters 2, 8, and in

300

Smart Sensor Systems

Coff

Ich

ϕ1 Vex

Cint

Gs

S1

S3

ϕ2

Vint Vcomp

Cs

S2

Cp1

Cp2

Comp

S4

ϕ1

ϕ2

OPAMP Capacitive sensor

Figure 10.10 Direct use of the charge-to-time converter as interface by using the capacitive sensing element Cs as sampling capacitor

Section 10.3 of this chapter. In Chapter 8, Section 8.6.3, an interface circuit (Figure 10.10) has been presented, that generates a square-wave output signal which is proportional to the sensor capacitance Cs . The basic principle of this circuit is similar to that of the charge-to-time converter presented in Figure 10.2. In Sections 10.3 and 8.6 it has been explained that the sensor capacitor is used as a sampling capacitor and that the circuit has the attractive feature that when the sampling capacitor is discharged rapidly, the effect of a shunting conductance Gs is reduced. This makes this configuration rather suited for sensing elements with leaky capacitors, such as capacitive humidity sensors. However, when the sensing capacitors have a small value, then the signals can be too small to take full advantage of the large dynamic range of the charge-to-time converter. Especially in universal interfaces, the charge-to-time converters should be able to be operated over a large range, to enable a wide variety of applications. Usually, such a universal converter does not show the optimum performance to be connected directly to a sensing element. For instance, in the universal transducer interface (UTI) presented in Chapter 2, Section 2.6.1, the charge-to-period converter is preceded by a dedicated front-end amplifier (Figure 10.11). Because this amplifier has been designed for just one type of sensing element,

Cref S1

Cx

VDD

So1

Co1 So3

VDD C

S2

VDD

A

So2

Co2 Iref So4

Sr

Cp

Switch control

VDD B

sampling capacitor

OA1

Ss1

Cint OA2

Cs

Ss2

integrator comparator

VDD/2

Charge-to-Period converter

UTI chip

Figure 10.11 Principle of the UTI system for capacitive-sensor modes

to µC

301

Universal Asynchronous Sensor Interfaces

its noise performance can be optimized for this application. This explains that, even for sensor capacitors with a full-range value of only 2 pF, still a very high resolution/repeatability of 50 aF (Table 2.1) can be obtained. However, the user should realize that with an excitation frequency in the range of (50 to 100) kHz the sensor impedance is very high, so that even a small shunting conductance will have a large impact for the resolution.

Example 10.8: It can be shown (see the solution to Chapter 2, Problem 2.2), that for the interface circuit of Figure 10.11, a shunting leakage conductance Gleak of sensor capacitor Cx will cause a relative error εsh in the measurement, which equals: εsh =

(t2 − t1 )G leak 2Cx

(10.8)

From this equation it can be calculated that, for Cx = 2 pF and (t2 − t1 ) = 10 µs and an error smaller than 500 aF (εsh = 0.25 × 10−3 ), it should hold that: Gleak < 2 × 10−10 S!

Example 10.8 shows that for high-precision capacitive sensors the occurrence of shunting conductance should be avoided. Therefore, such sensors should be applied in a clean environment. When this is not possible, because of its lower sensitivity for shunting conductance, application of the circuit of Figure 10.10 would be a better option. In both circuits, the use of the advanced chopping technique (Chapter 2, Section 2.5.2) suppresses interfering signals at the input of the sensing element. In fact the interface circuit, which generates the excitation signal and detects the responding signal of the sensing element, acts as a synchronous detector (Figure 10.12) [18]. The high-pass filter (HPF) represents the action of the advanced chopping technique. As explained in Chapter 2, Section 2.5.2, the filter transfer function is given in the z-domain by: 1 − z −1 − z −2 + z −3

(10.9)

Substitution of z = exp(jωT mod ), T mod being the modulator period, will transform Equation (10.9) into an expression in the frequency domain, which shows that the filter has a secondorder frequency filtering for low frequencies of the interference. In an experimental setup for capacitive sensors [18] test results on the suppression of low-frequency interfering signals have been reported. In an experimental setup a test voltage that simulates the interference was interference DC drive signal

×

+

sensing element

HPF

×

Modulated asynchronous oscillator

Figure 10.12 Principle of the interface system for capacitive-sensor modes

302

Smart Sensor Systems

Relative error in time domain

1E-1

measurement simulation 200 Hz, measurement 200 Hz, simulation

100 Hz, measurement

50 Hz, measurement 50 Hz, simulation

100 Hz, simulation

1E-2

1E-3 Noise level (1ms)

1E-4 0

100

200

300

400

500

600

700

Relative interfering amplitude

Figure 10.13 Suppression of low-frequency interfering signals for capacitive measurements with the system of Figure 10.11

capacitively coupled to input A (the common electrode at the left-hand side in Figure 10.11). The coupling capacitor was as large as the sensor capacitance Cx . The relative interfering amplitude equals the ratio of the amplitude of the interference and the amplitude of the signal on the transmitting electrode (V DD /2). Figure 10.13 depicts the experimental results together with the calculated ones found with Equation (10.9), which appear to be close to each other.

10.6.2 Front-end Circuits for Resistive Bridges The second case study concerns a front-end circuit for a resistive-bridge sensor. Such sensors are frequently used in mechanical sensors for measuring force, pressure, acceleration etc. In many traditional interface circuits for resistive bridges, reference voltages are applied as a dc excitation source. The same or another dc reference source is used to perform precise measurement of the bridge-output voltage V o (Figure 10.14), where it holds that Vo = εbridge Vs = εbridge Is Rbridge

(10.10)

where εbridge is the relative bridge imbalance, Rbridge is the bridge resistance, and V s and I s the bridge supply voltage and current, respectively. Sometimes, instead of a reference supply voltage V s , a reference supply current I s is used. The difference is that in this case the output voltage is also proportional to the bridge resistance, which is temperature dependent. Some manufacturers of sensor gauges use this temperature dependence as a low-cost way to compensate for opposite temperature effects of, for instance, mechanical effects in their gauges. Of course, it would be a better approach to measure the temperature and to perform temperature compensation in an algorithmic way in, for instance, a microcontroller.

303

Universal Asynchronous Sensor Interfaces

Is

R

E

R C D

R

Vs

R

F

Figure 10.14 Resistive bridges with voltage supply or current supply

From Equation (10.10) it can be concluded that it is not necessary to use (expensive) references sources. Since the relative bridge imbalance εbridge represents the measurand, a ratiometric measurement of V o and V s or of V o and I s will be more precise. In that case, stability of the supply voltage is only required over the short measurement time interval, which is in the order of tens of ms. Another drawback of the traditional setup is that it uses a dc supply voltage. This is often not allowed, because it can cause corrosion, thus reducing the lifetime of the sensor gauge. Moreover, many signal nonidealities, such as offset, parasitic Seebeck voltages, and 1/f noise, are also in the low-frequency range. Therefore, often ac supply voltages are preferred. These problems are solved in the universal sensor interface presented in Chapter 2. In Figure 2.23 of that chapter, the system setup has been presented, which is shown in more detail in Figure 10.15. The interface circuit consists of:

r r r r r r

an excitation part which generated an ac bridge-supply voltage/current; a divider part to measure the bridge-supply voltage/current; a selector, which selects the various voltages to be measured; a DEM amplifier to amplify small output voltages; a voltage-sampling circuit; a universal charge-to-time converter.

The excitation signal is derived from the supply voltage, using a pair of chopper switches S1 and S2 , which can invert the supply voltage, thus generating a square-wave bridge-supply voltage with amplitude V DD (and peak-to-peak value of 2V DD !). The switches are controlled by the relaxation oscillator in the charge-to-period converter. This is an interesting feature: Although the interface is asynchronous with respect to an external clock, internally it is synchronized by the oscillator signal, which period also represents the measurand. The importance of this feature is that in this way it is possible to apply synchronous detection as shown before in Figure 10.12, and enabling filtering of low-frequency interference as described for the capacitive sensors. For the output voltage V out optionally an amplifier can be used. In case that no amplifier is used, the bridge output voltage is sampled with the four sampling capacitors Cs,1 –Cs,4

304

Smart Sensor Systems

Resistive divider R4

R3

R2

R1

VDD

+ Vo2

Co2

− Cs,1

capacitive divider R5

R6

R7

R8

Cs,3 E

S1

Iint

Cs,2

VDD

ϕ1 Cs,4

A

3

+

D

VBS

Resistive DEM loop

Vint

1 integrator

ϕ1

C Vout

Cint

ϕ2

Vo1

Co1



ϕ2

0.5VDD

comp. B F

4 S2

VDD

to µC

Logic and switch control 0.5VDD

Figure 10.15 Setup of a bridge interface circuit

connected in parallel. Next, the sampled charge is transferred to the charge-to-period converter. For an accurate ratiometric measurement of V o /V s , the same sampling capacitors should be used for sampling the bridge supply voltage V s . Because of the high value of the supply voltage, this voltage is split up into smaller parts, which are more close to the size of the output voltage, but do not have to be exactly equal. This action is performed with the resistive divider R1 –R8 and the corresponding switches. The voltage across each of the resistors is sampled by one of the capacitors Cs,1 –Cs,4. After a complete cycle of 32 samples, each of the eight voltage parts has been sampled by each of the four capacitors. The results of all of these submeasurements are added in the microcontroller. In this way, the sampled supply voltage is measured part-by-part with the same sampling components as the output voltage. This equalization of the size of the charge samples, results in much more relaxed requirements for the dynamic range of the charge-to-period converter. When an amplifier with gain A is used, following the same procedure, the ratio AV o /V s is measured. Therefore, the amplification factor A should be known very precisely. This can be achieved by applying an amplifier with dynamic element matching (DEM) as described in Chapter 2, Section 2.5.4. To complete the method of three-signal autocalibration, in each measurement phase an offset measurement is also performed. During the offset measurement the sensor signal is

Universal Asynchronous Sensor Interfaces

305

zeroed. To compensate as well as possible for circuit nonidealities, including switching effects, the offset measurement is performed by sampling a zero-voltage difference, for instance, at the CM level of V DD /2. In the ideal case, the magnitude of the common-mode voltage should not make any difference. However, in practice there are some differences, which are due to the common-mode dependence of nonidealities. Therefore, to optimize the precision of the measurements, for each of the relevant CM levels, an offset measurement should be performed. This will have the drawback of an increased measurement time. To overcome this drawback, the number of offset measurements can be reduced by performing them one by one, over a longer series of measurements. In the microcontroller it will not be difficult to handle the larger series of data. In Figure 10.15 two offset capacitors C01 and C02 have been indicated. The offset capacitor Coff1 is used to create time intervals for capacitors Cs,1 –Cs,4 to sample the voltage to be measured. During this sampling time, the signal voltages are converted into charge in the capacitor Cs,1 –Cs,4 . In the next subphase of a measurement cycle this charge is transferred to the integrator capacitor Cint . Together with the comparator and control switches a charge-controlled relaxation oscillator is formed that linearly converts the voltages into periods of the oscillator output signal. The second offset capacitor Coff2 is needed to enable negative values of the thermocouple voltages. More details concerning the applied signal processing techniques in this circuit can be found elsewhere [19].

10.6.3 A Front-end Circuit for a Thermocouple-voltage Processor As a third case study, we will discuss a front-end circuit of a measurement system for thermocouple signals. Thermocouples generate small output voltages which, depending on the type, are in the range of (5 to 40) µV K−1 (see Chapter 6, Table 6.3). For a measurement accuracy of, for instance 0.5 K, the inaccuracy of the thermocouple interface should be less than an equivalent input value of a few tens of microvolts. With thermocouples the temperature difference between, at least, two junction temperatures is measured. To measure an absolute temperature, it is necessary to measure also the temperature of the reference junction(s), with for instance a thermistor or a smart temperature sensor (see Chapter 7). The inaccuracy of this measurement should be equal to or less than that of the thermocouple-voltage. Of course, for such a precise measurement, autocalibration should be applied. In addition to the measurement of the thermocouple voltage V X and the reference-junction temperature T J , this will require the measurement of a reference voltage V ref and an offset voltage V os . So with these measurements, at least four measurements have to be performed. For the reference voltage, an external reference source can be applied. However, as an interesting alternative, the principles of transistor sensors (Chapter 7, Section 7.4.4) can be applied for both the generation of the required reference voltage and the measurement of the referencejunction temperature. This measurement principle has been applied in the system shown in Figure 10.16 [20]. This system is implemented with an interface chip in which the base–emitter voltage V BE of a bipolar transistor and the PTAT voltage V PTAT = V BE are used to generate both the reference voltage and the temperature-sensor voltage. The various voltage sources and the offset voltage are selected with the multiplexer switch. In the lower position of the switch the offset voltage V OS is measured. In total, four basic signals,

306

Smart Sensor Systems

The Interface Voff

Voltage-to-period converter

µC

Switch control Vx

VBE

VPTAT

Figure 10.16 Basic setup for a dynamic voltage measurement system

VX , V BE , V BE and the offset voltage V OS are measured. These voltages are converted to the time domain by a linear voltage-to-period converter, which is operated in a similar way to the charge-to-period converter discussed in the previous case study (Section 10.6.2). When the output periods during the successive measurements are tX , tBE , tPTAT and tOS , respectively, then the final result F V for the thermocouple-voltage measurement amounts to: FV =

Vx tx − t0S = tBE + AtPTAT − (A + 1)t0S Vref

(10.11)

The final result F V is independent of the multiplicative and additive errors and parameters of the converter. In a similar way, with the three periods tBE , tPTAT and tOS , the chip temperature can be calculated. In the microcontroller, the algorithmic signal processing, including adding, subtracting, division and nonlinearity correction is performed. Also data storage and data processing is performed in the microcontroller. The microcontroller (Figure 10.17) measures the each phase duration and calculates the ratio F using Equation (10.11) and with a similar equation also the chip temperature. VDD

switch control

Iint Voff1

Coff1

S3

S2

Cint

S1

Comp.

S4 Voff2

Coff2

S7

S6

S8

S25

N - bit counter

S27 S23

VPTAT

S17

S24 Vx

S5

phase selection

S21 Cx

+αd

VBE

S26

Figure 10.17 Schematic diagram of a system for dynamic voltage processing

µC

Universal Asynchronous Sensor Interfaces

307

The tested chip shows a standard deviation of 8 µV for the voltage measurement and 50 mK for the temperature measurement, for a measurement time of 50 ms. For the voltage measurement a relative (scale) error of −550 × 10−6 is reported.

10.7 Summary and Future Trends 10.7.1 Summary In this chapter it is shown that, for the medium- and low-volume markets, universal sensor interfaces enable rapid prototyping of low-cost, high-performance sensor systems. The output signals of the interface chips can be digital or time-modulated, so that they can be read out by microcontrollers. Attractive features of generating digital signals concern the easy way of data processing, where for high-volume products, a high complexity and a low flexibility of circuits is not a main problem. This chapter deals with systems in which time-modulated signals are applied. Attractive features of such systems concern the simplicity of the circuits and the flexibility of the signal processing. For use in sensor systems, such features are important with an eye on a reduction of power consumption, and to enable immediate adaptation to multiplexing of the sensor elements. Time-modulated signals can easily be generated with asynchronous converters, which can be implemented with relaxation oscillators. The application of faster microcontrollers reduces the level of quantization noise of the sensor system, which are suited for acquisition ranges up to a few kilohertz. When using a microcontroller with a 70 MHz counter, for an acquisition rate of 1 kHz, systems with asynchronous converters can show a resolution of better than 12 bits. The application of integrators with negative feedback can improve the resolution with one or two bits. The universal sensor-interface chips can be implemented with low-cost CMOS technology. Because of the smaller transistor dimensions, circuits implemented in CMOS technology are much smaller than those implemented in BICMOS or Bipolar technology. The typical drawbacks of CMOS technology, such as 1/f noise and component mismatching can be overcome by applying autocalibration and dynamic element matching (DEM). To make users-friendly interface circuits, the interface-chip designer has to deal with the specific physical measurement problems. To cover a wide range of applications, a range of dedicated front-end circuits is required for a number of typical applications. The use of dedicated measurement techniques, including autocalibration, two-port measurement and advanced chopping, is important to make the chips easy to use. Moreover, the mentioned techniques enable selective detection of the measurand with a high immunity for parasitic effects of the sensing elements, and for the effects of the connecting wires. By applying synchronous detection, autocalibration and advanced chopping also high immunity is obtained for interfering signals, 1/f noise and parameter drift. As case studies, the design details and features of interface circuits and systems have been presented for capacitive sensors, resistive-bridge sensors and thermocouple-voltage processors.

10.7.2 Future Trends Only recently has the introduction of sensor interface products been started. These interfaces have a high added value for a wide range of industrial and commercial products. The

308

Smart Sensor Systems

application of these low-cost products will cause acceleration of ‘sensorization’ of our society. Because of their low costs, advanced sensor systems will soon be found in consumer products, games and toys, thus changing everyday life style. Universal devices are attractive for products with a small- and medium-volume market. However, for higher product volumes, universal products have the drawback that the user pays for unused options. Therefore, it is expected that soon sensor-interface products will be offered in large families, with many chip members for specific tasks. The use of multi-chip packages will enable to use the best technologies for each of the system parts.

Problems 10.1 Charge-to-time converter (see Section 10.3.1) Figure 10.18 shows the integrator part of the signal-to-time converter, earlier presented as Figure 10.2. The reference current source has an internal resistance RCS , which affects the period time and the duty cycle of the output signal. The supply voltage amounts to V DD = 4 V, RCS = 10 M and I ref = 1 µA. Answer the following questions: (1) How large is the duty cycle of the output signal? (2) How large is the period time tp of the output signal when the capacitor CS (Figure 10.2) is measured and when V x = V DD ? 10.2 Charge-to-time converter (see Section 10.3.1) Consider the SC front-end circuit of Figure 10.3 and answer the following question: What is the magnitude of the current iCs during the time interval t1 to t2 ? 10.3 The effect of time constants for a duty-cycle-modulated signal (see Section 10.3.3) The duty cycle of a square-wave signal V 1 (Figure 10.19(a)) is to be measured. However, internal resistance R = 1 k and the cable capacitance C = 1 nF cause the output

VDD = 4V Iref = 1µA

RCS

Ci

VDD/2

Figure 10.18 The integrator part of a signal-to-time converter

309

Universal Asynchronous Sensor Interfaces

t1

Voltage

R = 1kΩ

t2

VDD VDD

0V

V1

C= 1nF (a)

V2

V1

V2

VDD/4 0 td1

td2

Time

(b)

Figure 10.19 A duty cycle voltage generator with its internal resistance and parasitic capacitance of a connecting cable. (a) Equivalent circuit diagram; (b) output signal

signal V 2 at the input of the counter to have the shape depicted in Figure 10.19(b). The time intervals are derived from the moments that V 2 crosses a threshold value V thres . Answer the following questions: (1) How large are the time intervals td,up and td,down for the case that V thres = V DD /4 and t1 = t2 = 150 µs? (2) How large is the error εdc in the measured duty cycle, as caused by the time delays td,up and td,down ? 10.4 A comparison of quantization noise and white noise (see Section 10.3.4) Compare the graphs of the noise signals depicted in Figure 10.7 and the corresponding values of the standard deviations listed in Table 10.2. Find solutions for the following problems: (1) When ts = 200 ns (Figures 10.7(a) and (b)), find an estimation for the value of the measurement time tm for which the quantization noise and the white noise have equal standard deviations. (2) Figures 10.7(c) and (d) show that for ts = 14 ns, there is no significant quantization noise. However, when shortening the measurement time tm , the relative effect of the quantization noise will increase (see also Chapter 2, Figure 2.25). Calculate the measurement time tm for which the quantization noise and the white noise have equal standard deviations. 10.5 Front-end circuits for resistive bridges (see Section 10.6.2) (1) A resistive bridge is supplied with a dc excitation voltage V S ,DC = 5 V. (Figure 10.20(a)). The output voltage of the bridge is amplified with a differential amplifier, which has an offset voltage V os = 1 mV. When the full-scale value of the bridge imbalance amounts to ±0.25 %, calculate the relative error εos caused by the offset voltage V os . (2) To overcome the problem with the offset voltage, the dc excitation voltage is replaced by an ac voltage V S ,AC . This voltage is derived from the supply voltage with a pair of switches with an internal resistance of 100 . Figure 10.20(b) shows an electrical circuit diagram of this configuration. Calculate the error εsw caused by the switch reisistors RS .

310

Smart Sensor Systems

Vos VS,DC = 5V

+

Differential amplifier

Vout



(a) Rs = 100Ω

A

1kΩ

1kΩ

Vout

VS,AC

5V 0V

C

D 1kΩ Rs = 100Ω B

1kΩ

(b)

Figure 10.20 Equivalent electrical circuit diagrams of a resistive bridge system with (a) dc excitation, (b) ac excitation

10.6 Front-end circuits for resistive sensors (see Section 10.5 and Chapter 2, Section 2.3.2) A temperature T is measured with a resistive temperature sensor Rt of about 100 . The sensor resistor is connected in a four-wire configuration to a resistance meter (Figure 10.21). Each of the wires has an internal resistance RW = 1 . In attempt to reduce interference, at the side of the resistance meter, the input terminals have been short circuited, as shown in Figure 10.21. When dR/dT = 0.4 % K−1 , calculate the equivalent temperature error εT caused by making these short circuits. Rw = 1 Ω

RT

temperature T

Rw = 1 Ω Rw = 1 Ω

Multimeter

Rw = 1 Ω

Figure 10.21 Equivalent electrical circuit diagram of a resistive sensor connected in a four-wire configuration to a resistance meter. In attempt to reduce interference some input terminals of the meter have been interconnected

Universal Asynchronous Sensor Interfaces

311

References [1] ERA Technology (1994). Target Specifications of the USIC. [2] Analog Devices (2006). www.analog.com, data sheet LC2 MOS Signal Conditioning ADC with RTD excitation currents. [3] Maxim (2006). www.maxim.com, data sheet MAX1452 Low-cost, precision sensor signal conditioner. [4] Melexis (2006). www.melexis.com, data sheet MLX90314 High gain programmable sensor interface. [5] Triad Semiconductor (2004). www.triadsemi.com, data sheet Universal smart sensor interface. [6] Smartec (2006). www.smartec.nl, data sheet Universal Transducer Interface UTI. [7] Quiquempoix, V., Deval, P., Barretto, A., Bellinni, G., Markus, J., Silva, J. and Temes, G. (2006). A low-power 22-bit incremental ADC, IEEE Journal of Solid-State Circuits, 41, 1562–1571. [8] Jespers, P.G.A. (2001). Integrated Converters, Oxford University Press, Oxford. [9] Pertijs, M.A.P. (2005). Precision temperature sensors in CMOS technology. PhD Thesis, Delft University of Technology. [10] van der Goes, F.M.L. (1996). Low-cost smart sensor interfacing. PhD Thesis, TUDelft, The Netherlands. [11] van der Goes, F.M.L. and Meijer, G.C.M. (1996). A novel low-cost capacitive-sensor interface, IEEE Transactions on Instrumentation and Measurement, 45, 536–540. [12] Gasulla, M., Li, X. and Meijer, G.C.M. (2005). The noise performance of a high-speed capacitive-sensor interface based on a relaxation oscillator and a fast counter, IEEE Transactions on Instrumentation and Measurement, 54, 935–939. [13] Meijer, G.C.M. and Iordanov, V.P. (2001). SC front-end with wide dynamic range, Electronics Letters, 37, 1377–1378. [14] Heidary, A. and Meijer, G.C.M. (2007). A low-noise switched-capacitor front end for capacitive sensors. In IEEE Sensors, Atlanta. [15] Heidary, A. and Meijer, G.C.M. (2007). An integrated switched-capacitor front-end for capacitive sensors with a wide dynamic range. In 33rd European Solid State Circuits Conference, 2007, ESSCIRC. [16] Smartec (2006). www.smartec.nl, data sheet Temperature sensors. [17] de Jong, P.C., Meijer, G.C.M. and van Roermund, A.H.M. (1996). A new dithering method for sigma–delta modulators, Analog Integrated Circuits and Signal Processing, 10, 193–204. [18] van der Goes, F.M.L. and Meijer, G.C.M. (1997). A universal transducer interface for capacitive and resistive sensor elements, Analog Integrated Circuits and Signal Processing, 14, 249–260. [19] van der Goes, F.M.L. and Meijer, G.C.M. (1997). A simple accurate bridge-transducer interface with continuous autocalibration, IEEE Transactions on Instrumentation and Measurement, 46, 704. [20] Khadouri, S.H., Meijer, G.C.M. and van der Goes, F.M.L. (1997). A CMOS interface for thermocouples with reference-junction compensation, Analog Integrated Circuit and Signal Processing, 14, 235–248.

11 Data Acquisition for Frequencyand Time-domain Sensors Sergey Y. Yurish

11.1 Introduction The rapid development of microsystems and microelectronics promotes the further development of different time-domain sensors and transducers due to advantages of frequency (period) and duty-cycle as informative parameters of sensors output signals: high noise immunity and output signal power; wide dynamic range; high accuracy of frequency standards (references); simplicity of communication, interfacing, integration and coding. Frequency–time domain sensors are rather interesting from a technological and fabrication compatibility point of view, because of their metrology performances and the simplifications of the signal conditioning circuitry and measurand-to-digital converter. The simplicity yields a reduction of the required hardware and/or of the chip area. Such sensors are based on resonant phenomena and variable oscillators, whose information is embedded not in the amplitude but in the frequency or the time parameter of the output signal. The output signals are modulated in one of the domains of frequency ( fx ), period (Tx = 1/fx ), pulse width (tp ), spacing interval (ts ), duty cycle (tp /Tx ), on-line time ratio or the off-duty factor (Tx /tp ), pulse number (N), phase shift (ϕ x ) or the single time interval (τ ) output. Because the relevant information is simultaneously in both the analog and digital domain, these sensors have been called ‘quasi-digital’. A definition of such sensors is as follows: quasi-digital sensors are discrete frequency–time domain sensors with frequency, period, duty-cycle, time interval, pulse number or phase shift output [1]. Frequency and duty-cycle output sensors groups are the most numerous among all quasidigital sensors. Today there are such quasi-digital sensors practically for any physical and chemical, electrical and nonelectrical quantities. The obvious tendency of accuracy increasing up to 0.01 % and above is observed. These devices work in broad frequency ranges: from several hundreds part of hertz up to several megahertz. For example, the company Pressure

Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

314

Smart Sensor Systems

Systems produces frequency-output transducers (series 960) [2]. The frequency output is between 30 kHz and 45 kHz and the relative error within ±0.01 % FS. Pressure sensors with the same high accuracy also are manufactured by Paroscientific Inc. [3]. The high-accuracy (relative error ±0.01 % FS) fiber-optic pressure transducers series 8000 (8DP, 8WD, 8B) have been developed by ALTHEN GmbH [4]. An example of a temperature sensor is SBE 3F [5]. It has high metrological performances (relative error ±0.003 %) and (0.1 to 200) Hz output. Some sensors, for example, light sensors TSL230/235/245 from Texas Instruments (USA) have very wide frequency output range: (0 to 1) MHz [6]. The processing and interpretation of information arriving form the outside are the main tasks of data acquisition systems and measuring instruments based on computers. Data acquisition and control systems need to get real-world signals into the computer. These signals come from a diverse range of transducers and sensors. According to ref. [7], data acquisition (DAQ) is defined as collecting and measuring electrical signals from sensors and transducers and inputting them to a computer for processing. The further processing can include the sensors’ characteristic transformation, joint processing for many parameters as well as statistical calculation of results and represent them in a user-friendly manner. For the usage of quasi-digital sensors in various data acquisition systems it is necessary that DAQ boards provided high accuracy for frequency–time signal conversion (at least, in order higher, than the sensor’s relative error) in a wide range of frequencies. It will allow neglecting the conversion error of frequency–time parameters to digital in the data acquisition process.

11.2 DAQ Boards: State of the Art Let us consider modern DAQ boards for frequency–time parameters of electric signals. There are many different manufacturers producing such boards. The main ones are shown in Table 11.1.

Table 11.1 Technical performances of DAQ boards for frequency–time parameters DAQ board

Max source frequency (MHz) Number of channels Base clock accuracy

PC-TIO-10 NI 660X

National Instruments 7 10 4 to 8 20 to 80 (60 to 125)a

KPCI-31XX 5 (40)b CTM-05/A, CTM-10 7

Keithley 3 to 4(8)b 5, 10

0.01 % 50 × 10−6 to –75 × 10−9 n/a 0.01 %

Iotech DBK7 ME-1400 A/B a

With prescaling. For KPCI-3140. n/a – not available. b

0.95 10

4 Meilhaus Electronic 3 to 6

Accuracy 0.1 % 0.01 %

Data Acquisition for Frequency- and Time-domain Sensors

315

The maximum frequency and the number of channels meet modern requirements. However, the accuracy is not perfect in order to use these DAQ boards with the modern frequency–time domain sensors. Despite of the use of a modern approach to software and driver design for DAQ systems, all modern boards are as rule based on the classical frequency (period)to-digital conversion methods. Hence, for low frequencies, period measurement is used. In this case, the counter typically counts an internal, fixed time base. Quantization error can cause period measurement to be off by ±1 timebase cycle. For high frequencies, the measurement is performing by measuring the time over N periods of the waveform. Then the period of the signal is computed and the reciprocal calculated to obtain the frequency. In this case, quantization error, which can be ±1 timebase cycle, is spread over a number of cycles of the measured signal. As the total time of the measurement increases, the quantization error becomes less significant. For both methods the maximum frequency, fxmax that can be measured with a given error is obtained using the formula [8]: f x max =

x N f0 1+x

(11.1)

where x stands for the error, N stands for the number of periods, and f 0 stands for the frequency of the timebase. For example, if we are using an 80 MHz timebase and stay within 0.001 or 0.1 % error, the maximum frequency that can be measured with N = 1 is about 79.9 kHz. With N = 13, the maximum frequency is 1 MHz. However such an approach satisfies programmers more than it does metrologists and customers. In the counter/timer boards from National Instruments (USA), the indirect measurement method (period measurement) and standard direct counting method (frequency measurement) are used. Both are classical methods with many drawbacks and restrictions, namely, the dependence of quantization error on the frequency, low accuracy in an appropriate part of specified frequency range and redundant conversion time (for the standard direct counting method). National Instruments also offers the SCXI-1126 module for the design of a computer-based frequency meter [8,9]. However, although these days frequency can be measured with the greatest accuracy in comparison with other informative parameters, the frequency-to-voltage measurement technique is implemented in this device. The frequency-to-voltage hardware produces an analog output that precisely represents the frequency of an applied input signal. Then the resulting analog signal is digitized, using a DAQ board. Such a approach result in inevitable additional conversion errors (one due to the frequency-to-voltage conversion and the second due to the voltage-to-digital conversion) and also narrows the range of converted frequencies to 15 Hz to 128 kHz. There is also a mathematical measurement method [9]. This method mathematically calculates the frequency of a periodic signal by applying the Power Spectrum VI module (available in the LabVIEW advanced analysis library) to a finite set of points digitized from the signal by a DAQ board. The Power Spectrum VI performs a fast Fourier transform in order to determine the main frequency component of the signal. This method works on signals that do not change frequency during the sampling period. The classical conversion methods mentioned, including combined and interpolation methods, are described in detail in ref. [1]. None of the convenient methods can be used for the design of modern DAQ boards for the frequency–time parameters of signals from modern sensors and transducers because of their low metrological characteristics. Hence, the task of

316

Smart Sensor Systems

creating advanced DAQ boards based on modern advanced methods of measurement is rather relevant and well timed.

11.3 DAQ Board Design for Quasi-digital Sensors 11.3.1 Advanced Methods for Frequency-to-digital Conversion One of the main parts of DAQ systems for frequency–time domain sensors is the frequency (period, duty-cycle or time interval)-to-digital converter. This unit directly influences such sensor metrological characteristics as the accuracy and the conversion time as well as the power consumption. In spite of the fact that the frequency can be converted into a digital signal most precisely in comparison with other informative parameters of the signal, in practice it is not a trivial task of simple time-window counting. The choice of methods of measurement for frequency–time parameters of signals is the major task of DAQ boards design. It directly will influence metrological performances of future DAQ systems. It is natural to use advanced frequency-to-digital conversion methods in order to eliminate the main disadvantages of classical methods. Today the main advanced frequency-to-digital conversion methods are the following:

r The ratiometric counting method; r The reciprocal counting method (this method was used in the Hewlett-Packard counter r r r r r r r

HP5345A); The coincidence measuring method; The high resolution M/T method; The constant elapsed time method (CET); The single- (SB) and double- buffered (DB) methods; The direct memory access (DMA) transfer method; The accurate method with the nonredundant reference frequency; The method of the dependent count (MDC);

All these methods are described in detail in ref. [1]. All of these methods have a redundant conversion time, except the MDC. Let us consider this most advanced novel method in detail. Originally it was patented as a method for the measurement of frequency and period [10]. Subsequently it was adapted for frequency (period) difference, ratio conversion [11], duty cycle and phase shift (time interval). Continued research has resulted in several novel methods for frequency–time measurements using the MDC principle as a core. The basic advantages of MDC are high programmable accuracy (it can be set up by the user for each measurement mode or measurement), nonredundant conversion time, independence of any quantization error from the measurand over the whole range of frequencies of an input signal, the possibility to convert an input signal with a higher frequency than the reference signal ( fx ≫ f 0 ) and the ability for self-adaptation, i.e. an accuracy exchange on speed and conversely, depending on the measurement conditions. In this context we shall use the following notations for deducing the main mathematical formulae: F is the greater of the two frequencies fx and f 0 ; f is the lower of the two frequencies fx and f 0 . The time diagram of the method is shown in Figure 11.1. The method works in the following way. With the arrival of the impulse of the signal with the lower frequency f (this

317

Data Acquisition for Frequency- and Time-domain Sensors



N n

Figure 11.1 Time diagrams of the method of the dependent count

corresponds to the moment t1 in Figure 11.1), the counters start to calculate the impulses of both signals. The number of impulses Ni of signal F is stored in the up–down counter, until it reaches the value Nδ at the moment t2 in Figure 11.1. This value has been set in advance by the microcontroller. Both counters are stopped at the next impulse of f at the moment t3 in Figure 11.1. The number of impulses (of signal of lower frequency f ) counted by one of the counters is n, and the number of impulses (of signal of higher frequency F), counted by the second counter, is N = Nδ + N. The conversion time tx is always equals to an integer number of periods of a signal with the lower frequency f : tx + tx = τ n =

n f

(11.2)

With an accuracy up to one period of the frequency F, this interval can be approximated as: tx + tx ≈ T N =

Nδ + N N = F F

(11.3)

From Equations (11.2) and (11.3) it follows that f ≈ F(n/N) or F ≈ f (N/n). If the measured frequency fx is the lower frequency, e.g. fx = f , and the reference frequency f 0 is the higher one ( f 0 = F), it follows that f x = f0

n N

f x = f0

N n

or when fx = F and f 0 = f.

(11.4)

318

Smart Sensor Systems

For the period τ or T, the conversion is carried out similarly. The microcontroller calculates its values from the following equations: τ=

N f0 n

T =

n f0 N

(11.5)

or

Because the interval tx (conversion time) is not necessarily equal to N periods of the signal with the high frequency, a quantization error may occur, e.g. tx = N T = N /F

(11.6)

A change of the lower frequency will result in a change of the interval tx (likewise a change of the higher frequency results in a change in N/F). It will result in a change of the number of impulses N from N = 0 to N = N max calculated by the counter. Here N max is the number of impulses in the interval tx max = τ . Taking into account the fact that the period of these pulses is equal to T, the following equation will be true: Nmax =

F τ = T f

(11.7)

The maximum quantization error δ q,max arises in the case that the number of impulses N counted by the counter is at its minimum and equal to Nδ (one of the frequencies is changed). Then δq,max =

1 1 = Nmin Nδ

(11.8)

Hence, the maximum error δ q,max is determined by the value Nδ only and practically does not depend on the measured frequency. The minimum value δ q,min of the error will be at N = N max . However, as N max = Nδ + N max , then δq,min =

1 1 = Nmax Nδ + Nmax

(11.9)

To compare the MDC with the standard counting methods, as well as with other advanced methods for frequency-to-digital conversion let us determine the coefficient of variation for the quantization error α = δ q,max /δ q,min for these methods. So, for the MDC, if fx is the lower of the two frequencies ( fx = f ), and f 0 is the greater of the two frequencies ( f 0 = F), i.e. fx < f 0 , then from Equations (11.8) and (11.9) and taking into account Equation (11.7) we have α=

1 F Nδ + Nmax =1+ · Nδ Nδ f

(11.10)

or α1 = 1 +

1 f0 · Nδ f x

(11.11)

Data Acquisition for Frequency- and Time-domain Sensors

319

At f x > f 0 α2 = 1 +

1 fx · Nδ f 0

(11.12)

Let us determine how many times the quantization error will be varied by the measuring frequency fx = 2 Hz, if f 0 = 106 Hz and Nδ = 106 (δ = 10−6 ). On substituting of these values in Equation (11.11) we obtain α1 = 1.5 i.e. the greatest error δ q,max = 1/Nδ = 10−6, and the lowest δ q,min = 0.67×10−6 . As the greatest quantization error for the MDC is constant for any measurand, it is possible to characterize the possible range of variation of this error in the specified measuring range of frequencies by the coefficient of variation α. From this example it follows that the error variation is no more than 1.5 times in the frequency range from 2 Hz to 1 MHz (by this, the time of the measurement is constant for the given quantization error). Using the standard direct counting method or the indirect method measuring period, the variation of the quantization error will be 500 000 (at the same time of measurement). The MDC has the highest measurement speed for all frequencies in the frequency range and consequently allows a marked reduction in the dynamic error. According to Equation (11.3) in the case, when f x ≤ f 0 the maximum time of conversion tx,max is tx,max =

Nδ + f0

f0 fx

(11.13)

and when fx > f 0 : tx =

Nδ + fx

fx f0

(11.14)

Thus, with the aim of covering many existing frequency–time domain sensors, working in various parts of the frequency range, the following ranges were used: fx ∈ [0.1 to 10 000 000] Hz; f 0 ∈ [100 000 to 1 000 000] Hz; Nδ ∈ [1 000 to 107 ] (which corresponds to the size of given quantization error changing from 10−3 to 10−9 ; N ∈ [0 to N max ]. Modeling results of the tx = ϕ(N δ , fx , f 0 ) function are shown in Figures 11.2–11.7 [12]. As the most expressed dependence of the conversion time on fx , f 0 at the fixed number N δ is observed in the of low frequency range, for the best visualization, diagrams of the dependence tx = ϕ(N δ , fx , f 0 ) are constructed for this frequency range (the greatest conversion time). At increasing converted frequencies from 1 Hz and higher, the conversion time is essentially decreasing (by some orders). As was specified earlier, the number N can be varied during the conversion from 0 (in the case of N δ = Ni equality in the counter at the ending of the current period of converted frequency fx ) up to N max = f 0 / fx (in the case of N δ = Ni equality in the counter at the any moment of time). In the first case (Figure 11.2), the conversion time is the minimum possible for the appropriate low frequency. The hyperbolic dependence of conversion time on the reference frequency f 0 is quite distinct in the plot. In the second case, the conversion time is increased

320

Smart Sensor Systems

0.1 0.08 tx 0.06 0.04 0.02 0.2

200000 400000 600000 800000 f0 1 1e+06

0.4 fx 0.6

0.8

Figure 11.2 Modeling result of the tx = ϕ ( fx , f 0 ) function at N δ = 10 000; N = 0; f 0 = 100 000 Hz to 1 000 000 Hz; fx = 0.1 Hz to1 Hz

10 tx

8 6 4 2 0.2 0.4 fx

0.6 0.8 1

200000 400000 600000 f0 800000 1e+06

Figure 11.3 Modeling result of tx = ϕ ( fx , f 0 ) function at N δ = 10 000; f 0 = 100 000 Hz to 1 000 000 Hz; fx = 0.1 Hz to 1 Hz

20 16 tx

12 8 4 0.2 0.4 fx

0.6 0.8

1

200000 400000 600000 800000 f0 1e+06

Figure 11.4 Modeling result of tx = ϕ (fx , f 0 ) function at N δ = 106 ; f 0 = 100 000 Hz to 1 000 000 Hz; fx = 0.1 Hz to 1 Hz

Data Acquisition for Frequency- and Time-domain Sensors

321

100 tx

50

0.2 0.4 fx

0.6 0.8 1

200000 400000 600000 f0 800000 1e+06

Figure 11.5 Modeling result of tx = ϕ ( fx , f 0 ) function at N δ = 107 ; f 0 = 100 000 Hz to 1 000 000 Hz; fx = 0.1 Hz to 1 Hz

tx

0.01 0.008 0.006 0.004 0.002 2e+06 4e+06 6e+06 8e+06 fx 1e+07

200000 400000 600000 800000 f0 1e+06

Figure 11.6 Modeling result of tx = ϕ ( fx , f 0 ) function at N δ = 104 ; f 0 = 100 000 Hz to 1 000 000 Hz; fx = 1 000 001 Hz to 10 000 000 Hz

10 8 6 tx 4 2 0 2e+06 4e+06 6e+06 8e+06 fx 1e+07

200000 400000 600000 800000 f0 1e+06

Figure 11.7 Modeling result of tx = ϕ ( fx , f 0 ) function at N δ = 106 ; f 0 = 100 000 Hz to 106 Hz; fx = 1 Hz to 10 000 000 Hz

322

Smart Sensor Systems

Figure 11.8 Hardware realization of MDC

by two orders of magnitude. For N δ ∈ [1 000 to 10 000] the conversion time tx is relatively constant and equal to ∼ = 10 s in the low frequency range. The dependence of tx on Nδ begins essentially to be visible approximately from N δ = 25 000. Plots of the researched function at N δ = 106 and N δ = 107 are shown in Figures 11.4 and Figure 11.5 respectively. Modeling results for the mode fx > f 0 are shown in Figure 11.6. The plot of modeling results for the function tx = ϕ (Nδ , fx , f 0 ) in the wide frequency range from 1 Hz up to 10 MHz at the relatively high accuracy of conversion N δ = 106 (the quantization error does not exceed 10−4 %) is shown in Figure 11.7. This example illustrates one more important advantage of the MDC – an opportunity to convert frequencies exceeding the reference frequency fx ≥ f 0 . The example show that it is only necessary to use a high reference frequency in low frequency ranges. This opens a prospect for the adaptive control of reference frequency during the conversion, which results in a reduction of the power consumption in smart sensors. One of the possible hardware realizations of MDC is shown in Figure 11.8. This realization is relatively complex, because there are two channels working in parallel in order to produce a quasi-pipeline high-speed frequency (period)-to-digital conversion. It is possible to implement much of this circuit in software, as is shown in Figure 11.9.

11.3.2 Examples Assume that it is needed to convert the frequency fx = 2×104 Hz at f 0 = 106 Hz and Nδ = 106 (δ = 10−6 ). According to the MDC, with Equation (11.13), we find for the maximum conversion time that tx ≈ 1s

Data Acquisition for Frequency- and Time-domain Sensors

323

Figure 11.9 Microcontroller-based frequency (period)-to-digital conversion

In turn, according to the standard counting method, the time of measurements necessary for the same accuracy is calculated according to the following formula: tx =

Nδ 1 = = 50 s, δ · fx fx

Let us consider the conversion of same frequency, but with the help of the indirect counting method. Although this is a conversion method with a nonredundant conversion time, in order to obtain the required quantization error for the given frequency range it will be necessary to convert many more than one periods of fx : NT =

f x Nδ fx = = 2 × 104 f0 δ f0

In this case, the conversion time will be calculated according to the following formula: tx = N T Tx = 2 s The use of other advanced conversion methods with a fixed conversion time, for example, ratiometric, reciprocal, CET, M/T, and DMA methods, also requires the conversion time to be increased as in the standard counting method – up to 50 s. In other words, the measurement time for the MDC is nonredundant in all specified measuring range of frequencies. In the standard counting method and other advanced methods, the time of measurement is redundant, except at the nominal frequency. As a bonus, for the

324

Smart Sensor Systems

MDC, the time of measurement can be varied during measurements depending on the assigned error.

11.3.3 Methods for Duty-cycle-to-digital Conversion A duty-cycle output signal is widely used as output signal for various quasi-digital sensors. For example, there are the temperature sensor SMT160-30, accelerometer ADXL202E and accelerometer inclinometer KXG20-L20, optical sensor [13], and other sensors [14]. All these sensors produce an output that is a duty-cycle-modulated quasi-digital signal. These kinds of signals can be easily interfaced with modern microcontrollers. In comparison with a frequency output signal, the duty cycle is rather immune to interfering signals, such as spikes [15], and the ratio does not depend on the absolute value of any component [16]. Various methods exist to measure the duty cycle of an impulse signal. For example, some simple PWM A/D converters use the classical approach: to measure the pulse width and period of signal, then calculate the ratio: D.C. =

τx Tx

(11.15)

where τ x is the pulse width, Tx is the period of pulse signal. Main error components are quantization errors for pulse width and period. Both components can be quite large. If a high accuracy is needed, a very high clock frequency should be used. The result also depends on the frequency fx = 1/Tx . Another approach to measure a duty cycle is to take random samples of a digital signal (random sampling method) [17]. The method can be realized very easily in software. But this method is suitable only for low-resolution conversions, for which the necessary resolution is a maximum of about 9 bits. A new method of converting the time-domain sensor signals is described in ref. [18]. It can eliminate part of the quantization error without increasing the clock frequency. The method uses an internal clock frequency at 2N times the signal frequency. So, it means that Tx does not change with the sensor output signal. However, in many cases, the frequency (period) of a signal is not constant and this method cannot be used. The novel proposed method [19] is based on the determination of average pulse width and average period during the conversion time T q . The latter is determined by the required quantization error δ and is equal to an integer number of periods. Because of this, the component error by reason of nonmultiplicity of conversion time T q and period Tx is eliminated. The time diagrams of the method are shown in Figure 11.10. At the beginning of conversion the given relative error δ Tx = 1/Nδ is set up. The beginning of T q always coincides with the wavefront of first pulse with τ x1 duration, and the wavetail coincides with the τ Nx + 1 pulse. Hence, the requirement of the MDC [14] – the multiplicity of number of periods Tx to the conversion time T q is fulfilled. The numbers Nτ x = Nδ τ x /T 0 and NTx = Nδ Tx /T 0 are counted by two counters during the conversion time T q. The averaged duty cycle is calculated according to the following equation: N D.C. =

τ¯x Nτ¯ x = D.C. = N T¯ x T¯x

(11.16)

325

Data Acquisition for Frequency- and Time-domain Sensors

Tx

∆t1

τx



t



t



t

∆t2 T0

Tx

T0 Nδ=T0/δ

∆NT0



t

Tq=NxTx Figure 11.10 Time diagrams of advanced method for duty-cycle-to-digital conversion

The relative quantization error is: δD.C. =

τx τx

(11.17)

Without the use of any advanced conversion methods, Nτ x and NTx are equal to ±1. However, using the principle incorporated in MDC [1,10,11], the conversion interval can be chosen to be a multiple of the period of input signals. Hence, in this case the NTx = 0. Then the quantization error will be calculated according to the following equation: δD.C. =

Nδ · T0 + τx , τx · Nδ

(11.18)

As is clear from this equation, the quantization error does not depend on the converted frequency of the signal and is determined mainly by the pulse width τ x . As an example the following values were used, which correspond to the output parameters of modern sensors with a duty cycle: f 0 = 1 MHz; Nδ = 20, τ x ∈ [0.271×10−4 to 9.31×10−4 ]s, fx ∈ [1 to 25] kHz. In comparison to the quantization error for the proposed method, the quantization error of classical duty-cycle-to-code conversion method also has been modeled according to the following equation:   1 1 (11.19) δD.C. = T0 + τx Tx where n is the number of periods Tx. during the averaging. The dependence of δ D.C = f (τ x ) for this advanced duty-cycle-to-digital conversion method is shown in Figure 11.11. For

326

Smart Sensor Systems

Figure 11.11 Dependence of δ D.C = f (τ x ) for the advanced conversion method

comparison, the dependence of δ D.C = f (τ x , Tx ) for classical conversion method is shown in Figure 11.12.

11.3.4 Methods for Phase-shift-to-digital Conversion Digital phase-shift-to-digital conversion is used for measurement of instantaneous and average phase shifts between two sequences of electric pulses with the same frequency. Such devices are applied to determine the phase ratios in various four-terminal circuits, phase (-response) characteristics over a wide range, and investigation of different pulse devices and instruments. This conversion can also be used for measurement of distances, small rotation

δD.C., % 0.3 0.25 0.2 0.15 0.1 0.05 0.0002 0.0004 0.0006 0.0008 Tx, S 0.001

0.0002 0.0004 0.0006 0.0008 τx, s

Figure 11.12 Dependence of δ D.C = f (Tx , τ x ) for the classical conversion method

327

Data Acquisition for Frequency- and Time-domain Sensors

N1’Tx N1’

Tx

t N2’Tx N2’

Tx

t ϕx /tx N2T0=N2’Tx

T0

N2

N1

t N2T0 N1T0=N1’Tx

Figure 11.13 Time diagrams of the method of coincidence for phase-shift-to-digital conversion

angles, differences of electric potentials, linear and angular movements, deformations, and other variables. The phase shift ϕ x between two periodic sequences of pulses with period Tx can be converted by the method of coincidence [20]. Time diagrams of the method are shown in Figure 11.13. In this case the number N 1 of pulses with period T 0 and the number N1′ of pulses of the first sequence Tx between coincident pulses of these sequences is counted. Then N1 T0 = N1′ Tx

(11.20)

Similarly, the number N 2 of pulses T 0 and the number N2′ of the second sequence with the period Tx , shifted on the tx and taking place between the first moment of coincidence of the first pair of pulses and the nearest moment of coincidence of the second pair of the pulse are counted. Then N2 T0 = N2′ Tx + tx

(11.21)

From these two equations, we obtain the formula for the phase shift calculation: ϕx =

N1′ N2 − N2′ N1 360 ◦ N1

(11.22)

N1′ N2 − N2′ N1 T0 N1

(11.23)

and for the converted time interval: tx =

The analysis of Equations (11.22) and (11.23) shows that ϕ x and tx do not depend on the period Tx . Conversion errors will be determined mainly by the duration of pulses only. For

328

Smart Sensor Systems

Tx

t

t1

t t2

t ϕx /tx

fo

t Nδ

∆N t3 t4

t

n

Figure 11.14 Time diagrams of advanced method for phase-shift-to-digital conversion

reduction of these errors, the method of forming pulse packets of coincidences can be used. Thus, the absolute error of measurement for tx can be reduced to a maximum of 0.5×10−12 s and the absolute conversion error for the phase shift ϕ x to a maximum of 0.05 ◦ at 1 MHz. The method of coincidence considered above has the following disadvantages: the difficulty of exact indication of coincidences, and also the complexity of subsequent processing for result (three operations of multiplication, one division and one subtraction). In turn, it results in an increased conversion time. In order to eliminate these disadvantages, an advanced method for phase-shift-to-digital conversion suitable for use in smart sensors and systems has been proposed [21]. The method is based on the determination of the average time interval and the average period during the conversion time, multiplied by the period Tx of the signal. As a result, the error caused by noninteger ratios of the conversion time T and period Tx is eliminated. In addition, the frequency conversion range is extended down to very low frequencies. In comparison with the advanced method, described in ref. [20], the conversion time is determined by the error of the period conversion. δ Tx = 1/Nδ , e.g. T = Nδ T 0 + (0 . . . Tx ) = nTx . The time diagrams of the method are shown in Figure 11.14 and a possible realization of the method is shown in Figure 11.15. The converter works as follows. After initialization and set up of the given error δ Tx = 1/Nδ , the control trigger T 1 and start trigger T 2 are set to the initial state (Q = 0). At the moment of first positive zero crossing of the signal in the second channel, the control trigger T 1 is switched. It switches on the logical elements AND3 and AND4 . During the n intervals of tx , the pulses of frequency f 0 pass into the counter CT1 through the logical element AND3 . The same pulses pass into the counter CT2 through the logical element AND4 . At the moment t3 when the counter CT2 has finished the count of given number Nδ , the pulse from the CT2 counter’s output will set the start trigger T 2 to the high logical level ‘1’ (Q = 1). Thus, the control

329

Data Acquisition for Frequency- and Time-domain Sensors

G

f0

&1 1

1

F1

&3

G

&2 2

C CT1

F2

CLC

µC &4

C CT2

P0, INT, P1

G

S T1 Q C D R

&5 C CT3 G

S T2 R

Figure 11.15 Universal phase-shift- and frequency (period)-to-digital converter

trigger T 1 is prepared for the next switching into the high logical level ‘1’. Therefore, at the first zero crossing of the signal after this (the moment of time t4 ) the control trigger T 1 will be switched. Hereupon, the elements AND3 and AND4 are closed. The pulse counts in both counters CT1 and CT2 are stopped, and the interrupt signal arrives at the microcontroller. After this, the numbers Ntx = Nδ tx /T 0 and NTx = Nδ Tx /T 0 are read out by the microcontroller and the converter is prepared for the next conversion cycle. Then the microcontroller calculates the phase shift according to the following formula: Nϕx = 3600

Nt x t¯x = 3600 = ϕ¯ x NT x T¯x

(11.24)

or Nϕx = 2π

t¯x = ϕ¯ x (rad) T¯x

(11.25)

The microcontroller can also calculates the derived parameters as cos ϕ x , sin ϕ x , etc.

330

Smart Sensor Systems

If we use the additional counter CT3 with the logic element AND5 on its input (its connection is shown by the dotted line in Figure 11.13) for determination of the number n of periods of the signal in a measurement cycle, it will be possible, in addition, to determine the average period of signal: N T¯ x =

N T T0 n

(11.26)

Nf x =

n N T T0

(11.27)

or frequency:

where NT = N δ + N. The relative error for frequency- or period-to-digital conversion is δ Tx = δ fx = 1/Nδ. It does not depend on the frequency over the full range of converted frequencies. The relative quantization error is: δϕx =

ϕx ϕx

(11.28)

Without the use of any advanced conversion methods, Ntx and NTx are equal to ±1. However, using the principle incorporated in the method of the dependent account [1,10,11], the conversion interval can be chosen to be a multiple of the period of the input signals. Hence, in this case N Tx = 0. Then the quantization error can be calculated according to the following equation: δϕx =

f 0 tx + Nδ Nδ f 0 tx

(11.29)

As is clear from this equation, the quantization error does not depend on the converted frequency of the signal and is determined mainly by the duration of pulses tx . The measurement range for time intervals with the use of modern direct conversion methods is (2×10−9 to 1) s [22]. However, for realization of the proposed conversion method for the phase shift, the following condition is necessary: T 0 ≪ tx. Measuring converters based on the described advanced conversion method can be realized with minimal hardware based on PLC, FPGA, ASIC or microcontrollers. As an example the following values were used for modeling according to Equation (11.29): f 0 ∈ [100 000 to 10 000 000] Hz; Nδ ∈ [1 000 to 1 000 000]. The modeling results are shown in Figures 11.16 and 11.17.

11.4 Universal Frequency-to-digital Converters (UFDC) There are many new technologies suitable for the creation of smart sensors. However, there is still a problem of how to connect them and use them in a framework of smart sensor systems. A lack of approved standard interfaces for smart sensor networking is a serious challenge for manufacturers. Implementation of standards would enable sensors to be networked in the distributed measurement and control systems used in industrial processes. Although the IEEE

331

Data Acquisition for Frequency- and Time-domain Sensors

0.01 0.008 0.006 δϕx, % 0.004 0.002 0 0 0.002 0.004 0.006 tx 0.008 0.01

0 2e+06 4e+06 6e+06 f0 8e+06 1e+07

Figure 11.16 Dependence of δ ϕx = f (tx , f 0 ) at N δ = 10 000

has proposed some standards, they are inadequate for many companies since they require integration of analog–digital converters and microprocessors into sensors [23]. One proposed method was to use novel frequency-to-digital conversion methods and converters in order to move from the traditional analog (voltage and current) signal domain to the frequency–time signal domain. The latter brings many benefits due to the properties of frequency as an informative parameter. No output standardization is necessary as in the case of the analog signal domain. Nevertheless, since the sensitive response of many sensors is in millivolts, it is necessary to use an intermediate voltage-to-frequency conversion. Additionally such an approach will enable us to create new self-adaptive smart sensors.

0.01 0.008 0.006 δϕx, % 0.004 0.002 0 0 0.02

0.04 tx 0.06

0.08 0.1

0 200000 400000 600000 800000 Nδ 1e+06

Figure 11.17 Dependence of δ ϕx = f (tx , N δ ) at f 0 = 100 000 Hz

332

Smart Sensor Systems

New advanced conversion methods play a role as a bridge between many different technologies in smart sensor systems design. However, it is first necessary to eliminate some drawbacks. Firstly, in order to use novel conversion methods in the frequency–time signal domain, a customer or designer must be an expert in these methods. Secondly, they must buy a license. How can such problems be eliminated? It is necessary to create a new IC that can be used for the frequency–time signal domain as the standard ADC is used for analog signal domain [24]. The best modern approach for the creation of smart sensors systems is to use both modern technologies and advanced methods for signal processing and measurement, especially in the frequency–time signal domain. Many types of sensing elements and read-out circuitry can be merged in this way on a single chip or in a SoC. The International Frequency Sensor Association (IFSA) has initiated such research with the aim of establishing precise frequency–time measurements with all its benefits as an alternative to traditional analog measurement procedures in the area of sensor technology, and to exploit the great potential for applications in industry [25]. Some results are described in this chapter.

11.4.1 ICs for Frequency-to-digital Conversion: State of the Art As integrated circuit manufacturers continue to improve micro-electro-mechanical sensors (MEMS), demand will rise for smart sensors, which are created by combining traditional sensor technologies and microchips. The promise of using MEMS for sensing, computation, and communication will open these markets to new end user groups while enticing new participants into the marketplace [23]. The first integrated ICs for frequency-to-digital conversion have been created at the end of 1980s. This direction was also well developed in the former Soviet Union. An example was the 48-pin single-chip specialized microprocessor USP-30 [26]. It can measure frequency, period, time interval and pulse width, and count pulse number. This microprocessor utilized a pipeline mode. The main drawback of this device is the narrow frequency range from 0.1 Hz up to 100 kHz. The IC ALU for time interval measurements [27] uses standard counting methods for frequency measurements and indirect counting methods for time interval measurements. The absolute accuracy T = ±33 ns at the reference frequency f 0 = 30 MHz. This IC has relatively high power consumption. The universal 42-pin CMOS frequency-to-digital converter K512PS11 works in two modes: single conversion and multiple conversions. It is based on the indirect counting method with an interpolation [28]. An ASIC for a single-channel frequency-to-digital converter has been designed to handle one input channel [29]. The channel is capable of measuring frequencies from 100 Hz to 100 kHz. The frequency measurement accuracy is 0.1 %. The chip can be interfaced to a 16-bit bus. The hybrid technique for frequency measurement has been used in order to meet these specifications. Disadvantages of this IC are low accuracy and a narrow converted frequency range. A frequency-to-digital converter (FDC) from AutoTEC, implemented on a Xilinx FPGA provides digital data for frequency signal [30]. The FDC has 16-bit and 12-bit counters and uses a 1 MHz free running clock frequency as a reference. The frequency range is from 35 Hz

333

Data Acquisition for Frequency- and Time-domain Sensors Table 11.2 TDC-GP1 performances Measuring range

Period

Frequency

Resolution (1 measurement per second)

Accuracy (sampling 1000 measurements per second)

2

min max

60 ns 100 ms

16.7 MHz 10 Hz

0.5 % 10−7 %

0.015 %

1

min max

3 ns 6.7 µs

333 MHz 150 kHz

4% 0.05 %

0.11 %

to 24 kHz with an accuracy of ±5 Hz. Disadvantages of this IC are also low accuracy and a narrow converted frequency range. Acam-messelectronic GmbH manufactures some versions of a time-to-digital converter (TDC) [31]. These CMOS ICs provide frequency, time and phase measurements. The TDCGP1 is a universal two-channel time-to-digital converter with a resolution of typically 125 ps and maximum measuring range of 200 ms. As a reference a quartz clock in the range of 500 kHz to 35 MHz is needed. TDC-GP1 is realized in a 0.8 µm CMOS process and packaged in 44 TQFP. The IC offers a standard 8-bit bus interface. Some TDC-GP1 properties are summarized in Table 11.2. The TDC-F1 is a complex eight-channel time-to-digital converter with a resolution of 120 ps per channel or four channels with 60 ps resolution each. The measurement range is 5 ns to 7.8 µs. The data are provided directly on a 24-bit parallel bus. The reference clock is 1 MHz to 40 MHz. The chip is packaged in a 160-pin PQFP. The main disadvantages of both chips are the dependence of quantization error on frequency range and a redundant conversion time. The latter is reduced by using technological methods for improvement of metrological performance.

11.4.2 UFDC: Features and Performances All ICs considered above for frequency (time)-to-digital conversion are based on classical conversion methods. Hence, they cannot be used with all existing modern frequency–time domain sensors, owing to low accuracy or/and narrow frequency ranges. Also, they do not cover all types of frequency–time signals. Targeted for a cost-driven industrial market, a low-cost high-performance highly flexible Universal Frequency-to-Digital Converter (UFDC-1) has been developed [33]. It is a universal two-channel high precision multifunctional converter based on novel frequency–time conversion methods. By using this IC, it is possible to build a wide variety of digital smart sensors and intelligent sensor systems. The device represents a high-resolution conversion for data acquisition systems designed to support all ranges of the frequency–time domain and many digital sensor applications. It offers high performance with flexibility and requires the minimum possible number of external components. In the UFDC design, many novel techniques are used to guarantee high accuracy and resolution. The chip is based on four patented advanced conversion methods and working in 16 different modes with programmable accuracy from 1 up to 0.001 %. The UFDC converts

334

Smart Sensor Systems

N0…N3

UFDC-1

FX1 ST1

RXD UART

Channel 1

Measuring Unit

FX2 ST2

Communication Unit

M0…M3

TXD MISO

__

SS/TEST

Channel 2

SPI ÷2

SCK MOSI SCL

2

IC

SDA

Oscillator OS1

OS2

A0…A2

Figure 11.18 UFDC-1 block diagram

frequency–time domain signals to the digital domain and provides an interface to a processor or a PC to read this digital data. Its block diagram is shown in Figure 11.18. The device has the following features: Advanced RISC architecture, CMOS technology; Single +5V supply; 2 separate channels; 16 modes of measurement in each channels: – Frequency, fx1 ; – Period, Tx1 ; – Phase shift, ϕ x ; – Time interval between start and stop pulses, τ x ; – Duty cycle, D.C.; – Duty-off factor, Q; – Frequency difference, fx1 – fx2 with a sign recognition; – Period difference, Tx1 – Tx2 with a sign recognition; – Frequency ratio, fx1 / fx2 ; – Period ratio, Tx1 /Tx2 ; – Rotation speed, nx ; – Pulse width, tp ; – Space interval, ts ; – Pulse number (events) counting, Nx ; – Frequency, fx2 and period, Tx2 . r Programmable relative quantization error (constant over all frequency measuring range): 10−2 to 10−5 ;

r r r r

Data Acquisition for Frequency- and Time-domain Sensors

335

r Frequency measuring range from 0.05 Hz up to 7.5 MHz (at 40 % to 50 % D.C. for fxmax ) r r r r r

without prescaling and up to 120 MHz with prescaling; Nonredundant conversion time; 3-wire SPI, I2 C bus and RS-232 interfaces; 28-lead, plastic dual inline package (PDIP); Power consumption at 16 MHz, 5 V, 25 ◦ C: 20 mA; Industrial temperature range from −40 ◦ C to +85 ◦ C.

The UFDC-1 can be simply controlled by any microcontroller or can work autonomously. In the latter case, modes and accuracy can be set up with the help of external switches. In case of a sensor system, a UFDC can be controlled through serial interfaces RS-232, SPI or an I2 C bus. Taking into account a wide frequency range and high accuracy, a UFDC can be used with any existing frequency–time domain sensors in order to produce a sensor’s digital output or to connect quasi-digital sensors to data acquisition systems. A sensor’s output can be directly connected to the UFDC-1 (FX1 or FX2 input) without the need for extra electronics. The appropriate mode can be selected on M0–M3 inputs, and the accuracy on N0–N3 inputs. The accuracy must be chosen from the discrete range: (1, 0.5, 0.25, 0.1, 0.05, 0.025, 0.01 and 0.001) %. Because of this, the UFDC’s conversion error can be neglected in comparison with sensor’s (sensing element) error. The device’s applications are numerous: any frequency–time domain sensors including smart sensors (because of its programmable accuracy and nonredundant conversion time); high-end, mid- and low-range ABS; desktop and handheld multifunctional frequency counters and multimeters for industrial measurements; tachometers and tachometric systems; DAQ systems (PC boards) for frequency–time parameters and virtual instruments.

11.5 Applications and Examples One interesting example of application in smart sensors, based on the advanced conversion frequency-to-digital self-adaptive method (MDC) with nonredundant conversion time, is an anti-lock braking system (ABS). This well-known system has much enhanced the vehicle safety on slippery roads. Braking on surfaces with poor road adhesion is less effective; therefore, excessive braking pressure can cause the wheels to lock. However, this effect leads to the serious reduction of the adhesion coupled with the loss of the lateral grip. To avoid this, an electronic system measures the wheel speeds using rotary speed sensors, and by means of solenoid valves ensures that the braking pressure is briefly reduced when a value of zero is measured. Based on the simultaneously registered position of the brake pedal, the intention of the driver can be determined and the brake pressure built up again accordingly. Where necessary, this process is repeated. While the first antilock brakes introduced at the end of 1970s resembled automatically actuating cadence braking systems, today they are capable of regulating the wheel with a high degree of precision in a range just prior to the onset of locking. In recent years cars have become computer networks on wheels (Figure 11.19). The average car had about $653 worth of electronics and/or electromechanical systems, amounting to about 15 % of the total value of the car. Now the average car contains about $950 to $2000 worth of electronics, depending on whether the valuation is based on the cost or the price. It is estimated that electronics makes up 25% to 30 % of a car’s total value [34]. Automotive microsystem

336

Smart Sensor Systems

Figure 11.19 Main sensor systems of a modern car

applications, especially for anti-lock braking systems, require high-reliability parts that can withstand the harsh environment and wide temperature range. On the other hand, their price should not be excessively high in conditions of large production volumes. The reliability of the ABS is determined by the speed of processing of the measuring information and the solution made by the control system. In other words, the ABS must work in real time. As the rotation speed is connected with the sensor’s output frequency by the following dependence: nx = fx

60 Z

(11.30)

where Z is the number of modulating rotor gradations. The measurement time for the MDC is the minimum possible. The quantization error as well as the measurement time practically neither depend on rotation speed nor exceed the above given values. Therefore, the sampling rate of the output signal from the sensor ensures the arrival of information in all channels in real time [35]. Adaptive possibilities, e.g. the automatic choice of the reference time interval depending on the given error of measurement, allow the use of advanced ABS algorithms. Whereas speed is one of the major ABS features, the required error of measurement can be selected depending on the current rotation speed due to the adaptive possibilities of the method. It will allow an increase in the speed by the measurement of critical rotation speeds. The measurement system will also function successfully in the absence of wheel slip while the rotation speed can vary over a wide range: from zero speed up to a maximum. The essential advantage of the method is the possibility of digital measurement of acceleration

Data Acquisition for Frequency- and Time-domain Sensors

337

with similar high accuracy and without extra circuitry. It opens the possibility of using the control method not only for speed, but also for acceleration of rotation as well as combined control methods. There are opportunities to develop the modern high-end ABS for the future needs. For example, road hazards may cause the ABS to function unexpectedly. Using the proposed approach, ABS will compensate for road conditions or poor judgment. Because of the minimal circuitry needed for the sensor output processing, the UFDC can be easily embedded into the ABS microsystem. Another interesting application for modern conversion methods is virtual instrumentation. Let us consider some examples of virtual instruments. The first is a virtual instrument for temperature measurements. It uses the smart temperature sensor SMT160-30 from Smartec [36]. This sensor has a duty-cycle output. The virtual thermometer is based on a virtual measuring channel. As a result, the hardware is the minimum possible. The duty-cycle-to-digital conversion is carried out by a UFDC. The screen display of one of the possible graphical user interface is shown on Figure 11.20. Some controls allow the user to choose between more accuracy (less quantization error) and shorter measurement time. The web demo version of virtual thermometer is available from Sensors Web Portal: http://www.sensorsportal.com/HTML/VI project.htm Another example of virtual instrument is a videographic paperless recorder (Figure 11.21). Traditionally, the majority of companies use the standard approach with the use of conventional integrated analog-to-digital converters (ADC). Some companies, such as Eurotherm

Figure 11.20 Virtual instrument for the smart temperature sensor SMT160-30

338

Smart Sensor Systems

Figure 11.21 Virtual videographic paperless recorder

Chessell, have developed their own measuring chip set. However, such an approach leads to an increase in price for the measuring channel and the measuring instruments in the whole setup. The concept based on modern frequency-time-to-digital conversion methods allows measuring instruments to be created with high metrology performances and rather low prices in comparison with similar videographic recorders from leading companies in the world. There is also a possibility of operation with any frequency, period, time interval, duty cycle, pulse number output sensors and transducers [37].

11.6 Summary and Future Trends ‘Companies must develop lower cost products to increase market share and broaden their customer base’, write Frost and Sullivan [23]. For participants that can lower prices, highvolume markets await, such as automobiles and consumer electronics. As has been predicted in a technology forecast [38]: ‘Many basic measurements will be related to or measured by time/frequency techniques’. This situation will occur because of the increasing facility and accuracy provided through time and frequency measurements. Frequency and time counters can be expected to become smaller, lighter and cheaper, provide direct frequency readout and will be more universally used’. All these have today become true, as a result of novel conversion methods for frequency (time) parameters and integrated converters on its basis. The universal frequency-to-digital converter UFDC-1 lets us move from traditional analog (voltage and current) sensor output to the frequency–time output. The latter gives us many benefits due to the properties of frequency as an informative parameter. No output

Data Acquisition for Frequency- and Time-domain Sensors

339

standardization is necessary as in the case of analog output sensors. Now users can work with the UFDC-1 by the same manner as with traditional ADCs. Sensor manufacturers will be able simply to integrate UFDC-1 in microsystems and digital output sensors in order to produce serial output or bus capability. Based on the forecast, the following predictions for the very near future can be made:

r frequency–time domain sensors will become more popular among users and system integrators;

r the market share of digital and quasi-digital output sensors in sensor market will be significantly increased;

r sensor systems will be more accurate and multifunctional; r smart self-adaptive sensors will also have a good market niche due to sensors price reduction;

r the UFDC-1 chip will enable fast prototyping of novel sensor systems, using low-cost components. The measuring technique described for data acquisition for frequency–time domain sensors can be used for any modern existing sensors and transducers as well as for sensors that will be designed in the future. Driven by rapid advances in technology and design and by new commercial applications, the market for sensors and related technologies is expanding at a phenomenal rate. By 2008, the worldwide sensor market is expected to exceed $US 50 billion [39]. It will be the next wave of infotech innovation and sensors will completely reshape the information landscape by enabling interaction between the digital and analog worlds [40]. According to a new report by The Freedonia Group [41], the US demand for sensor products (including sensors, transducers and associated housings) is projected to increase 7.8 % annually to $13.8 billion in 2008. The growth is being fueled by new applications, particularly in the large motor vehicle market. Sensors based on advanced technologies will lead the growth in dynamic applications in particular, such as automotive safety and security systems, consumer electronics and information technology. Products such as advanced proximity and positioning sensors and CMOS imaging sensors hold especially good prospects through the end of the decade. Furthermore, the economic recovery will support gains in many of the more mature applications such as process control, industrial machinery and conventional automotive sensors.

Problems 11.1 (1) There is a frequency signal of 500 kHz. Determine the measurement time using the method of the dependent count at reference frequency of f 0 = 1 MHz and a quantization error δ q = 0.005 %. (2) Consider the same frequency signal as in the first problem but at reference frequencies of f 0 = 100 kHz and f 0 = 1.5 MHz. (3) A frequency output pressure sensor has 0.01 % FS accuracy. What conversion accuracy should be chosen for the UFDC-1 ? (4) How can a self-adapting smart sensor be created based on the UFDC-1?

340

Smart Sensor Systems

References [1] Kirianaki, N.V., Yurish, S.Y., Shpak, N.O. and Deynega, V.P. (2002). Data Acquisition and Signal Processing for Smart Sensors, John Wiley & Sons, Ltd, Chichester. [2] http://www.pressure-systems.com [3] Busse, D.W. and Wearn, R.B. Intelligent digital pressure transmitters for aerospace applications, http://www.paroscientific.com/intdigaeroapp.htm [4] Paros J.M. (1992). Fiber-optic resonator pressure transducers, Measurements and Control, 154, 144–148, issue 154. [5] http://www.seabird.com/products/spec sheets/3Fdata.htm [6] Intelligent Opto Sensor (1996). Data Book, SOYDE02B, Texas Instruments. [7] Measurement and Automation Catalog (2000). National Instruments, USA. [8] The Measurement and Automation Catalog (2004). National Instruments, USA. [9] Ghercioiu, M. and Hubbard, E. (2000). Frequency measurement with SCXI-1126, Application Note, 138, National Instruments, USA. [10] Kirianaki, N.V. and Berezyuk, B.M. (1980). Method of measurement of frequency and period of harmonic signal and device for its realisation, Patent 788018, USSR. [11] Kirianaki, N.V., Yurish, S.Y. and Shpak, N.O. (2001). Methods of dependent count for frequency measurements, Measurement, 29, 31–50. [12] Kirianaki, N.V., Yurish, S.Y. and Shpak, N.O. (20010. Dynamic performances of smart sensors based on selfadaptive frequency-to-code conversion method. In Proceedings of IFAC Workshop on Programmable Devices and Systems (PDS’2001), Gliwice, Poland, pp. 133–138. [13] de Graaf, G. and Wolffenbuttel, R.F. (1996). Light-to-frequency converter using integrated mode photodiodes. In Proceedings of IMTC’96, Brussels, pp. 1072–1075. [14] Sensors Web Portal: http://www.sensorsportal.com [15] Meijer, G.C.M. (1994). Concepts and focus point for intelligent sensor systems, Sensors and Actuators A, 41–42, 183–191. [16] Middelhoek, S., French, P.J., Huijsing, J.H. and Lian, W.J. (1988). Sensors with digital or frequency output, Sensors and Actuators, 15, 119–133. [17] Vuori, J. (1997). Simple method measures duty cycle, EDN Magazine, 3, March 3. [18] Guan, C., Meijer, G.C.M. (2001). A novel method of reading the time-domain sensor signals. In Proceedings of ProRISC, Veldhoven, the Netherlands. [19] Yurish, S.Y. and Kirianaki, N.V. (2003). Novel duty-cycle-to-digital conversion method. In Proceedings of 8th International Workshop on ADC Modelling and Testing (IWADC’03), Perugia, Italy. [20] Ornatsky, P.P. (1986). Automatic Measurements and Measuring Instruments (Analogue and Digital), Vyshcha shkola, Kiev (in Russian). [21] Kirianaki, N.V., Yurish, S.Y. and Shpak, N.O. (2003). Programmable measurement converter based on advanced methods for phase shift- and frequency-to-code conversion. In Proceedings of IFAC Workshop on Programmable Devices and Systems (PDS’ 03), Ostrava, Czech Republic. [22] Denbnovetskiy, S.V., Kokoshkin, S.M. and Shkuro, A.N. (1991). Nanosecond Chronometry, Tekhnika, Kiev (in Russian). [23] Frost and Sullivan (2004). North American Smart Sensors Markets, market research report. [24] Yurish, S.Y. (2003). Modern MEMS technologies and advanced signal processing: how to obtain more benefits in smart sensors systems?, Sensors and Transducers Magazine (S&T-eDigest), 36, I–III. [25] Yurish, S.Y. (2002). Novel measurement techniques up for license, IFSA Press Release, Toronto, ON, Canada, http://www.sensorsportal.com [26] Gruman, L.N., Leitan, Z.Ya., Murzin, V.A. and Frolov, Yu.V. (1987). Specialized measuring microprocessor USP-30, Measuring Instruments and Control Systems, 7, 18–20 (in Russian). [27] Balashov, S.M., Nesterova, L.A. and Rodionov, Yu.P. (1989). ALU of digital measuring instruments for time intervals, Electronic Industry, 3, 10–12 (in Russian). [28] Belous, A.I., Kovalevskiy, V.K., Kosobryukhov, V.A., Parhomchuk, A.A. and Chernukha, B.N. (1990). Universal time converter based on K512PS11 IC, Microprocessor Devices and Systems, 2, 15–17 (in Russian). [29] Ramalingam, N., Varadan, V.K. and Varadan, V.V. (1999). Innovative frequency measurement technique used in the design of a single channel frequency to digital converter ASIC, Smart Materials and Structures, 8, 243–251. [30] http://www.autotecsystems.com

Data Acquisition for Frequency- and Time-domain Sensors

[31] [32] [33] [34] [35]

[36] [37]

[38] [39] [40] [41]

341

Acam – Solution in Time (2000). Precision Time Interval Measurement, printed ads flyer. Linsmeier, K.-D. (1999). Sensor Systems for the Automobile, Verlag Moderne Industrie. Universal frequency-to-digital converter (UFDC-1) (2004). Specification and Application Note, SWP. Car systems integrate more functions, Electronic Components, 7, 186–226 (1997). Yurish, S.Y., Kirianaki, N.V. and Shpak, N.O. (1999). Novel rotation speed measurement concept for ABS appropriated for microsystem creation. In Advanced Microsystems for Automotive Applications 99, Springer, Berlin, pp. 215–223. SMT 160-30 temperature sensor (1995). Specification Sheet, Smartec, www.smartec.nl. Shpak, N.O., Kirianaki, N.V., Yurish, S.Y., Kmet’, A.B. and Grabinskiy, B.R. (2001). Videographic paperless recorder based on modern measuring converters. In Proceedings of the VIth International Conference CADSM’ 2001, Lviv-Slavsko, Ukraine, pp. 295–296. Stone, R.R., Phillips, D.H., Berg, W.B. (1971). Technology forecasts: frequency and time. In Proceedings of IEEE Conference on Technology Forecasts and Assess, New York, pp. 54–55. Saffo P. (1997). Sensors: the next wave of infotech innovation, http://www.saffo.com/sensors.html. Sensor Markets (2008). Wordlwide Analyses and Forecasts for the Sensor Markets until 2008, Intechno Consulting, Basel, Switzerland. IFSA Newsletter (ISSN 1726-6017), No.6, June 2004. http://www.sensorsportal.com/HTML/IFSA, Newsletter June 04.htm

12 Microcontrollers and Digital Signal Processors for Smart Sensor Systems Ratcho M. Ivanov

12.1 Introduction In addition to a wide variety of other applications, microcontrollers (MCUs) and digital signal processors (DSPs) are also very suited for application in data-acquisition systems, control systems, and smart sensor systems. The design of a smart sensor system starts with selecting the transducers needed to evaluate the physical quantity to be measured. Unfortunately, transducers rarely, if ever, output the exact electrical parameter, or value, suitable for direct input to a microcontroller. Therefore, the main part of the effort in designing smart sensor systems is related to the analog and digital signal processing needed to acquire suitable input signals for the MCUs and DSPs. DSPs and MCUs are programmable processors which can utilize software programs to achieve different functions and features. It is often required to convert analog signals to digital ones, using analog-to-digital converters to do digital processing and to interface to dataacquisition systems and control systems. In addition, it is often required to implement smart data processing, such as autocalibration, self-testing, and other advanced features, which can easily be performed because of the substantial computational power of the digital processors. For an optimal system design, the processor must be embedded in the overall sensor system. For real-time signal processing, DSP is rated best or exceptional in terms of performance. However, the microcontrollers use less power and are less expensive. For MCUs and DSPs used in sensor systems, the main specific requirements are:

r Low power consumption; r High performance and computational power; Smart Sensor Systems Edited by Gerard C.M. Meijer © 2008 John Wiley & Sons, Ltd. ISBN: 978-0-470-86691-7

344

r r r r r r

Smart Sensor Systems

Timing measurement resources; Analog-to-digital, digital-to-analog converters, and analog comparators; Networks and LCD interfacing; In-system programmable flash and code protection; Availability of development tools; Low cost.

Regarding the output sensor voltage, analog as well as digital outputs can be found. Often, sensors with a digital output can be directly connected to standard interfaces such as SPI, I2C, etc., while the output signals of the analog sensor must first be converted to digital before it is digitally processed. A third group of sensors offers output signals that are pulse width modulated (PWM). For the latter group, the conversion to digital signals is performed with time measurement resources. The problem, therefore, boils down to selecting the appropriate MCU or DSP for the specific application, as part of a smart sensor system. Network capability should be considered together with the respective types of local networks for the smart sensor systems. For portable instruments, the power consumption is very important. Furthermore, in the case of a high accuracy PWM measurement, such as that required for a universal transducer interface with a period-modulated output signal (see Chapter 10), an MCU with high internal counter frequency needs to be chosen. This will yield a low quantization error value. Depending on the system designed, some of the requirements are contradictory, and a compromise needs to be made, according to what is most essential.

12.2 MCU and DSP Architectures, Organization, Structures, and Peripherals There are two main types of architectures: Harvard and von Neumann (Figure 12.1). The Harvard architecture has its program memory and its data memory located in separate memory spaces, which are accessed from separate buses. In the von Neumann architecture the program and data memories are located in the same memory space, using the same bus. To execute an instruction, a von Neumann machine must make one or more accesses across the data bus

Harvard

Data Memory

CPU

Von Neumann

Program Memory

CPU

Figure 12.1 The Hardvard and von Neumann architectures

Program and Data Memory

345

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

RAM

Program Memory

CPU 8/16/32

Analog Peripherals

Timers and Clock

Digital Peripherals

Figure 12.2 The block diagram of a computer

to fetch the instruction. The data can be fetched, operated on, and possibly written using the same data bus again. With the Harvard architecture, the instruction is fetched in a single instruction cycle. While the program memory is being accessed, the data memory is on an independent bus and can be read or written. These separate buses allow the execution of an instruction while the next one is being fetched. Devices with the Harvard architecture are faster but more complicated than those with the von Neumann architecture. Figure 12.2 shows a block diagram of a computer. The central processing unit (CPU) performs both arithmetical and Boolean logical operations. Some machines may be provided with separate internal implementations that separate the logical operations from the arithmetical ones and place the results in separate accumulators. Usually the CPUs can handle data with the size of 8, 16, or 32 bits. The computational power, which we need, must be known when selecting a CPU. When selecting a CPU with more bits, the system will have more computational power, but it will also have the drawbacks of higher complexity and higher cost. Selecting the MCU or DSP is a complicated matter and deserves careful attention. Nowadays, there is a large variety of 8-, 16- and 32-bit microprocessors. Modern technologies enable the production of competitively priced 16-bit and 32-bit CPUs. This fact has a major impact on lowering the cost of smart sensor systems. The different MCUs and DSP have different architectures that influence their performance and computational power. The Harvard architecture and the presence of more than a single data and address bus usually assure higher performance, but also increase the system complexity. For most of the digital processing algorithms, the availability of a multiply-andaccumulate (MAC) module in a DSP gives a large advantage. However, this requires additional silicon area. The availability of RISC (reduced instruction set) leads to shorter instruction execution time, but a larger program code as well. Because of these tradeoffs, on the market there is an enormous variety of MCUs and DSPs with different architectures and instruction sets. The designer should always search for a compromise between different requirements to find the best fit for their specific application.

346

Smart Sensor Systems

The program memory can be of the type read only memory (ROM), electrically programmable read only memory (EPROM), or nowadays flash memory. In the past, the program memory used to be of the ROM type. This has the drawback that programming is only possible during the manufacturing of the integrated circuits (IC, ASIC), which includes the memory. As a result, any error, no matter how small it is (even a single bit), makes the circuit unusable. The EPROMs have the advantage that reprogramming is possible. However, reprogramming requires special programming tools and ultraviolet-light erasing devices. The EPROMs are manufactured in two versions: one with a quartz window (that can be programmed multiple times) and another one with a plastic body and one-time-programming (OTP) capability. The EPROMs with a quartz window are much more convenient but are more than three times as expensive as the OTP EPROMs. In today’s microcontrollers, on-chip flash memory is often used to store the embedded program. Memories of this type can be reprogrammed multiple times without the erasing process requiring any special devices. Programming can be performed in a few different ways, which depends on the type of microcontroller. The on-chip flash memory’s main advantage is that it can be directly programmed on location in the applied system. This feature facilitates a more accessible testing and bug-fixing procedure for the software. Some microcontrollers are also equipped with on-chip data flash memory. As a part of the MCU and DSP, random access memory (RAM) is a read/write memory that is used to save data during computation and process controls. For systems in which the executed program is read from flash memory, the RAM memory does not need to have a large capacity. The RAM is used to gather information as a working space for the program but it is very rarely used to hold the program code. Its capacity ranges from a few tens of bytes up to few kilobytes. When choosing a microcontroller it is rarely the RAM that it is the heaviest component, but in some applications which gather information it may be an important factor. The RAM is byte or word organized – the latter in the case that the CPU is not an 8-bit one. For the CPU, it is common to have instructions that work with both bytes and words. This CPU capability makes the use of the RAM more efficient. There are microcontrollers that allow the use of a standalone power supply for its RAM so that batteries can be used to preserve the memory contents even in the case of a power failure. Thus, after the system’s restart it can continue gathering information without losing any data. Also, the values of the coefficients stored in this memory will be preserved. The clock and timer system is a very important part of the system. Because smart sensor systems operate in real time, they requires a stable clock. For the CPU the clock generator is necessary to execute instructions. In microcontrollers available nowadays, it is built in. The manufacturers aim to have their clock generators work with both low and high frequencies. The low frequencies are used to lower power consumption and the high frequencies are used to increase computational power. The timers are part of the microcontroller’s periphery. In smart sensor systems they are essential for measurement timing and time interval sampling. Sometimes, a real-time clock is required to determine exactly the moment at which an event happened. Digital peripherals are essential for controlling the MCU and DSP inputs and outputs that are connected to sensors and actuators. In these peripherals, different interfaces for communications are also found. Regarding the digital inputs and outputs, parallel ports are the most popular. Most of the peripherals operate in byte format. Commonly, via a few registers, the parallel ports are structured in such a way that they can be either managed and configured

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

347

as inputs or outputs or serviced by interrupts, etc. Some of the parallel ports are designed for direct control of light emitting diodes (LEDs). Different manufacturers can provide many other parallel port features. Another part of these peripheries is the serial interface, which can be synchronous or asynchronous. In sensor systems, this interface enables connection to a network and communication with a host computer. The analog peripherals often include analog-to-digital converters (ADCs), digital to-analog converters (DACs), as well as analog comparators. Initially, only 8-bit ADCs were being integrated, but in recent years there are MCUs with 12-, 14- or even 24-bit ADCs included. For sensor systems, this happens to be very important. Currently, in some of the MCUs, even programmable operational amplifiers are being built in so that sensor signals can be amplified and transformed by the MCU itself. There are MCUs with integrated analog comparators. Their applications vary from power supply control to integrating in circuits for transformation and measuring resistors and capacitors values. They are designed in such way that they easily control an application, for instance, temperature, humidity, etc. Some MCUs are equipped with integrated DACs, which facilitates post-digital processing. In this processing step, the digital results are transformed into analog signals again. Often these DACs are 8, 12 or 16 bits. Sometimes, MCUs are equipped with a liquid crystal display (LCD) controller. This feature is very useful for displaying computational results as is required in some applications. The LCD controller directly drives LCD displays by controlling each segment as well as the commonmode signals. This peripheral feature requires display memory, automatic signal generation, a configurable frame frequency, and blinking capability. Some LCD controllers support different types of LCDs such as static, 2-mux, 3-mux, and 4-mux ones. The LCD controllers are configured with user software.

12.3 Choosing a Low-Power MCU or DSP Power consumption is an important parameter of present day MCUs and DSPs. Also for MCUs and DSPs in smart sensor systems, this is highly essential because of the need, for instance, for the following reasons:

r To save battery power of portable equipment; r To miniaturize ‘systems in a package (SIPs)’; r To limit self-heating and performance depreciation. The power consumption can be reduced by:

r Using advanced IC technologies. The use of CMOS technology leads to very low power devices. However, increasing the operating frequency will always cause an increase in power consumption. Many advanced IC technologies are optimized to minimize power consumption for high operating frequencies. r Using advanced module-based chip architecture. The architecture of modern MCUs and DSPs allows specific modules in the chip for turning the device on and off, which depends on the system requirements. This enables a single MCU or DSP to be operated in several different power-saving modes.

348

Smart Sensor Systems

r A well-organized interrupt structure allows low power consumption while working in different modes.

r Creating multiple switchable system-clock sources. That provides the possibility to use a low frequency, low power ‘stand-by’ mode, or a high frequency, high power ‘active’ mode. The average power consumption depends on the usage ratio of both modes.

12.3.1 Average Current Consumption In low power designs, the average current consumption determines the battery life. Figure 12.3 shows that an application can draw a large current for short periods of time and still have the average current consumption below the target. By using certain low power operating modes which are implemented in MCUs and DSPs, it is possible to organize both active and stand-by modes for different smart sensor system applications. Power-down modes are among the most important features that enable the MCU to meet the current budget. Low power MCUs have power-down modes that provide different levels of functionality. For some low power modes, the CPU is turned off while all of the other functions are still active. Other power-down modes also disable some of the various clock functions. Sometimes, only a low frequency clock-oscillator is running so that it still can operate its peripherals. Low power MCUs have power-down modes with different levels of functionality. For example, the MSP430 provides five power-down modes. The low power mode LPM0 turns off the CPU and leaves everything else functional. The modes LPM1 and LPM2 add various clocking functions to the list of disabled functions. Mode LMP3 is the most frequently used low power mode. In this mode, which is called the real-time clock mode, only a low frequency clock-oscillator is running together with the peripherals that use that clock. Finally, mode LMP4 turns off all the clocks of the microcontroller, which reduces the current consumption to only 100 nA. On the market, other MCUs with similar capabilities can be found.

1 mA activity for 1 ms, every second, adds 1 µA to average current 1 µs

Average Current Consumption (µA)

Average Current Standby Current

3 2 0

0.5

1.0

1.5

Time (s)

Figure 12.3 A low power design can still permit a large peak current

349

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

12.3.2 Oscillator and System Clocks Some MCU families offer oscillator systems with up to three oscillators. These oscillators comprise the basis of their clock systems. The exact configuration is microcontroller dependent. The basic clock module (Figure 12.4) allows the optimum balance to be found between minimizing power consumption and a good performance. Usually the module is accessible by the CPU as a peripheral. Depending on the microcontroller, the module includes two or three clock sources:

r The main oscillator clock (MOSC) is a clock source that can be synchronized with external clock sources or used with standard crystals, resonators, or low frequency watch crystals.

r The additional oscillator (ADOSC) is a high frequency clock source and can be used with standard crystals, resonators or an external clock source in the MHz range.

r The RC-type oscillator (RCOSC) is a clock source with RC-type characteristics. The output clock signal MCLK (main system clock) of this module, which is used in the CPU, is software selectable from the input signals MOSC, ADOSC, or RCOSC. The selected input signal is divided by a software-selectable division factor. There are fail-safe operations: if the external MOSC or ADOSC oscillators fail, operation reverts back to the internal RCOSC, where an internal resistor controls the current. During power-up or reset, the main system clock MCLK is automatically switched over to the RCOSC source. Software execution begins immediately, so that the application can configure the clock system as required. In addition, if the MCU clock system provides multiple clock sources for the peripherals, the peripherals can operate while the CPU is in the sleep mode. For example, when an A/D conversion requires a high speed clock, the clock system can provide this high speed clock independently of the CPU clock. So, the CPU can sleep while the A/D converter is operational. The MCU power consumption depends markedly on the speed of the clocking system. Several times or several hundred times per second, applications may enter and exit various low power modes. Often, low power MCUs have ‘instant-on’ clocks that are ready for the CPU

Main

MOSC

Oscillator Main System Clock Additional

ADOSC

Oscillator

RC

Divider

RCOSC

Oscillator

Figure 12.4 Oscillator and system clocks

MCLK

350

Smart Sensor Systems

in less than 10 µs to 20 µs. Lowering the CPU clock frequency would result in less current consumption. However, because the processing time would increase, this will not result in energy consumption. On the other hand, increasing the CPU clock frequency can lead to some frequency instability. In that case, added time is required to wait for the frequency to become stable, which would also increase the overall processing time. Therefore, a fixed stable CPU clock frequency is preferred. In a PIC18FXXX MCU, four system clock periods are used for one instruction cycle. The system clock can be generated in one of eight different oscillator modes. With configuration bits in the nonvolatile memory, the oscillator mode is selected. The operating mode is determined by the value written during microcontroller programming. The oscillator modes are:

r r r r r

External clock, external clock with I/O pin enable; Low frequency crystal, crystal/resonator; High speed crystal/resonator, external resistor/capacitor; External resistor/capacitor with I/O pin enable; High speed crystal/resonator with 4× frequency PLL multiplier enabled.

There is a main oscillator and an additional Timer 1 oscillator. The Timer 1 oscillator is a low frequency oscillator that is designed for operation at 32 768 kHz. The source for the microcontroller system clock can be switched from the default clock to the low frequency (Timer 1) oscillator. For specific applications, the most suitable oscillator option can be selected in a flexible way using the configuration bits. Switching the clock system from an ultra-low power standby mode to a high performance signal processing mode can be done very rapidly. In the MSP430 microcontroller of Texas Instruments (see section 12.4.2) this takes less than 6 µs.

12.3.3 Interrupts Interrupts can bring an MCU out of its low power mode. Therefore, the more interrupt inputs an MCU has, the more flexible it is in preventing current-wasting CPU polling and reducing power consumption. Polling wastes power because it requires excess current while waiting for an event to occur. A good low power MCU will have extensive interrupt capability, providing interrupts for all its peripherals, with external interrupt inputs for external events.

12.3.4 Peripherals Peripheral power consumption and power management should also be considered when choosing a low power MCU. Some low power MCUs have an old architecture that was not designed for low power. Nowadays, some MCUs have the capabilities to divide the CPU clock and to clock the peripherals with this divided clock, which reduces power consumption.

12.3.5 Summary Choosing a low power MCU or DSP requires investigation beyond data-sheet bullet points. This effort pays off because it can easily extend battery lifetime, help to meet the current budget, or keep the self-heating of smart sensor systems below certain limits.

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

351

12.4 Timer Modules Timer modules are designed for the measurement of time intervals between 0–1 and 1–0 transitions of input signals or, in case of periodic signals, frequencies and period times.

12.4.1 Introduction to Timer Modules Time measurements Several principles can be applied to the measurement of time intervals or frequencies, namely: (a) By means of permanent software monitoring (polling) of the input signal level and by incrementing the value in a software counter; (b) By means of permanent software monitoring (polling) of the input signal level and by subsequent reading of the value of a general-purpose embedded counter (hardware counter) in the microcontroller; (c) By using a microcontroller input with an option to interrupt servicing during change in the input signal level (interrupt input) and subsequent reading of the value of a generalpurpose hardware counter; (d) Using a hardware mechanism (input capture) capable of automatically copying the content of its respective hardware free-running counter into a register; (e) In similar ways, frequency measurements can be accomplished by counting the number of threshold-crossings at an input per unit of time. (a) Measurement by means of permanent software monitoring (polling) of the input signal level and by incrementing the software-counter value is the most common method, which can be applied by all microcontrollers irrespective of their structure, software type, and embedded peripheral modules. However, polling takes up the entire resources of the processor and prevents the execution of any other task. In this case, the conversion time will be the time needed to execute the basic cycle of input signal reading, to determine its level and, when this level is unchanged, to increment the value of the software counter by one unit. For this reason, the accuracy is a function of several variables, namely: the processor system clock, the number of system-clock periods needed to execute commands, the availability of efficient instructions for reading the input signal, the number of bits of the preset counter, and the availability of efficient commands for incrementing the counter value. (b) Measurement by means of permanent software monitoring (polling) of the input-signal level and by subsequent reading of the value of the general-purpose hardware counter can be accomplished with microcontrollers with a hardware-counter module. It also takes up the entire resources of the processor and again prevents the execution of any other task. In this case, the conversion time is the time needed to increment the hardware counter. The basic cycle consists of input signal reading, setting its level and, when the latter changes, reading the hardware counter value. The lack of need to increment the counter in the basic cycle naturally leads to less conversion time and greater accuracy than in the case of applying a software counter. The accuracy is a function of the system clock of the

352

Smart Sensor Systems

processor, the number of system clock periods needed to execute the commands, and the availability of effective commands for reading the input signal. When the number of bits of the hardware counter is insufficient, expansion with a software counter is necessary, which will further diminish accuracy. (c) Using a microcontroller with an embedded hardware counter and interrupt capabilities. In that case, during a level change of the input signal (connected to an interrupt input), the value of its embedded hardware counter is read. In such cases, during the time interval in between the changes in input-signal level, the processor will be idle so that it can perform other tasks. In this case, the conversion time equals the time needed to increment the counter. The accuracy is determined by the time needed to process the interrupts by the processor. When the number of bits of the hardware counter is insufficient, it should be programmed to be expandable with a software counter. (d) Using a microcontroller with an embedded hardware mechanism. These modules (Figure 12.5), which are sometimes called input capture modules, are capable of automatically copying the content of its free-running counter into a special register. In this case, the conversion time is the time needed to increment the hardware counter. Hence, accuracy, is not determined by the time needed to process the interrupt request to the processor, but is restricted only by the conversion time. When the number of timer bits is insufficient, it should be programmed to be expandable with a software counter. (e) In some sensor applications, instead of time intervals, the frequency of periodic signals has to be measured. When the signal is relatively high, this can be performed by counting the number of pulses sent to the input per unit of time. The conversion error is directly related to the number of pulses. Therefore, for low frequency signals, this method will require a relatively (very) long measurement time to obtain a certain accuracy. For this reason, it is more practical to use a microcontroller-embedded hardware counter with an external input to count the incoming pulses. Measurement time can be set with the help of software delay or by generating an interrupt request from the timer system, if present.

16-bit Free-Running Counter

Input

Edge Select and Detect of Rising or Falling Edges

16-bit Input Capture Latch

SF

AND

IM

Status Flag

Interrupt Request

Interrupt Mask enable

Figure 12.5 Time interval measurement using a hardware mechanism (input capture module)

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

353

Generation of pulse-width-modulated signals Using principles similar to those used for time interval measurements, digital pulse-widthmodulated (PWM) signals can be generated in the following ways: (a) (b) (c) (d)

Using software settings and a software counter; Using software settings and a general-purpose embedded hardware counter; Using a microcontroller-embedded peripheral module called the output-compare module; Using a microcontroller-embedded peripheral module called the PWM module.

Some details of these methods will be discussed now. (a) A common method of signal generation is to use software settings for determining the desired logical status of the respective output pins/ports and for determining the delays between changes of the logical levels. This method can be applied to all microcontrollers, irrespective of their structure, software type, or embedded peripheral modules. However, this method also has many drawbacks, such as: r Applying this method takes up a significant part of the resources of the processor, which hinders the implementation of other tasks. r During two consecutive changes in the logical status of the generated signal, the execution of any other tasks requires accurate setting of their time duration, because these will directly contribute to the length of the time intervals. This forms an obstacle to the implementation of conditional branching in the software algorithm, because the individual branches must be of the same duration. r The conversion time will depend on the time needed for the microcontroller to execute commands. Consequently, the accuracy will be a function of the processor-clock frequency, the number of clock intervals needed to execute the commands, and the availability of certain commands to set a given output at logical zero or unit. r Programming with a high level language, such as C, is not possible, because the time needed to execute software procedures depends on the specific implementation by the compiler, which is often inaccessible for the programmer. Therefore, using a software timer requires low level programming (Assembler). (b) The use of a microcontroller with a microcontroller-embedded hardware counter will solve the problems mentioned for the previous method. Similar to the previous method, software settings are used to determine the desired logical status of the respective output pins/ports. Two basic varieties of this method can be found: (1) Permanent software polling of the value of the hardware counter. When a pre-set value is reached, certain outputs will be set in pre-set states. With this method, the signal generation takes up the entire resource of the processor and makes it difficult to execute any other task. The conversion time depends on the frequency by which the value of the hardware counter is incremented. Furthermore, the time-interval resolution is limited by the time needed to read the counter-value, to compare that value with the preset limit, and potentially to change the output level. (2) The output-level change is only done at an interrupt caused by a hardware-counter overflow. Usually, this is done after a certain number of overflows. This method takes

354

Smart Sensor Systems

up a significantly smaller part of the microcontroller resources. However, the resolution of the generated time intervals is significantly larger than in the first case, because it depends on the counter-overflow time (with 8-bit counters it is 256 times larger than in the first case). The accuracy depends on the time needed to process the interrupt call by the microprocessor and the time needed to set the output to the respective logical status. However, the accuracy is much more precise than when not set at that time, and will depend on the moment of generation of the interrupt call compared with the rest of the program. (c) Another method of signal generation is to use a microcontroller-embedded peripheral module, called an output-compare module (Figure 12.6). When the value of a register, which is specially designated for this purpose, coincides with that of a microcontrollerembedded counter, this module sets a given output into a logical-unit or logical-zero state. In this case, the resolution of the time intervals equals the time needed to increment the counter value. The accuracy depends only on the system-clock phase in which the microcontroller checks and sets the output. The minimum duration of the generated pulse is limited by the time needed for the microcontroller to compute and set the new value of the output compare register and eventually to change the output signal. In the case that periodic output signals are generated, simplification of the method is possible, especially when the microcontroller has the option of using two or more output functions of the individual output compare registers, while using one and the same counter. In that case, the minimal duration of the generated pulse will be equal to the time duration needed to increment the counter, which corresponds to one timer-clock period. When using counter overflows, there is a hardware option to generate periodic signals with a frequency equal to the frequency of the counter overflows, or to divide this frequency with an integer overflow factor.

16-bit Free-Running Counter

Pin Control Logic

Output

16-bit Compare

16-bit Output Compare Register

SF

AND

IM

Status Flag

Interrupt Request

Interrupt Mask enable

Figure 12.6 Signal generation using a microcontroller-embedded output-compare module

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

355

(d) Signal generation using a microcontroller-embedded PWM module is capable of generating its own periodic signal after setting the duration of the logical zero and setting the logical unit in a register specially designated for the purpose. The availability of such a module makes it easy to program periodic signals. Moreover, the generation of these signals does not consume much of the microprocessor resources. This implementation can be regarded as a perfection of the method for periodic-signal generation discussed above in (c). The minimal duration of a generated pulse equals the time needed to increment the counter (one clock period).

12.4.2 Examples of Timer Module Applications for Various Microcontrollers The MSP430 family of Texas Instruments The microcontrollers of the MSP430 family have a 16-bit RISC mixed-signal processor. They are equipped with powerful capabilities that make them attractive for applications in smart sensor systems. For instance, there are features for both analog and digital signal processing. Moreover, in less than 6 µs, the clock system can switch from an ultra-low power standby mode to a high performance signal-processing mode. The main features of the MSP430 microcontrollers are:

r r r r r r r r r r

Ultra-low power architecture; Modern 16-bit CPU–RISC architecture; 8 MIPS; High performance analog part – ideal for measurements; In-system programmable flash memory – easy for changes; Embedded emulation, which reduces design cycle time; Modular design concept and memory-mapped peripherals; All registers are included in modules; Easy program development due to the orthogonal structure; All instructions can be used for all-addressing modes.

With its high level of analog integration and its low power consumption, the MSP430 can provide complete solutions for embedded applications, including smart sensor systems. The MSP430 has a general-purpose 16-bit timer, Timer A, with the following features:

r A 16-bit counter with four operating modes; r Selectable and configurable clock sources; r Three or five independently configurable capture/compare registers with configurable inputs;

r Three or five individually configurable output modules with eight output modes. The Timer A scheme is shown in Figure 12.7 (pins (Px.x) are for MSP430F1121). Timer A can work with either selected inputs, functions as capture/compare, or with the function to output various waveforms, such as PWM signals. Furthermore, any additional capture/compare register has hardware support for implementing serial communication according to, for instance, a UART protocol. The interrupt properties of Timer A can be used to

356

Smart Sensor Systems

Select Clock, SSEL0 SSEL1

Input Divider ID1,ID0 Capture Mode

Input 0 P1.1, P2.2

16-bit Timer

Mode Control MC0,MC1 Output 0 P1.1, P1.5, P2.7

Capture/Compare Register 0 Comparator 0

Input 1 P1.2, CA

Control logic 0

Control logic 0

Capture Mode Input 2 P1.3, ACLK

Capture/Compare Register 2

Comparator 2

Output 1 P1.2, P1.6, P2.3

Output 2 P1.3, P1.7, P2.4 Control logic 2

Figure 12.7 The MSP430 general-purpose 16-bit timer, Timer A

generate interrupts from the counter upon overflow conditions and from each of the capture/ compare registers on captures or compares. Each capture/compare block is individually configurable and can produce interrupts to detect rising edges, falling edges, or both, of an external capture signal. The four modes of operation are selectable with the mode-control bits MC0 and MC1 in a special register. These modes are called: stop mode, up mode, continuous mode, and up/down mode. Depending on the mode of operation, the timer increases or decreases incrementally with each rising edge of the clock signal. The timer can be read or written according to the program. The clock source is selectable with the SSEL0 and SSEL1 bits in a special register. Changing the clock source can cause a timing error. Therefore, it is recommended to stop the timer before changing the clock source. The clock division factor can be selected with the ID0 and ID1 bits in a special register. The capture/compare blocks provide flexible control for real-time processing and can be used to capture the timer data at an applied event, or to generate time intervals. Interrupts can be generated from the registers each time a capture event occurs or a time interval is completed.

Example 12.1: Measuring UTI signals with Timer A. The universal transducer interface (UTI) (see Chapter 2, Section 2.6) has a period-modulated output signal. Figure 12.8 shows how the UTI is connected to the MSP430. The period times can be measured by using the capture mode for time measurement, using the capture/compare block of timer A. The input signal of the capture/compare block is selected using the CCISx1

357

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

and CCISx0 control bits. The timer value is copied into the capture register with the selected edge (positive, negative, or both) of the input signal.

E A

UTI

B C OUT

P1.2

P1.1

MSP430F1121

D F

RS232

TxO

MAX 232

Figure 12.8 Measurement using Timer A and UTI

From the measured time intervals, the MSP430 microcontroller can calculate the sensor input signal from the UTI, and perform further calculations and do data processing. The calculated result is sent via the MAX232 to some of the COM ports of a PC. The PIC18 of Microchip These microchips concern a family of CMOS, fully static, 16-bit MCUs with RISC CPU architecture. The high performance of the PIC18 microcontrollers is a result of features commonly found in MCUs with RISC architecture. The Harvard architecture with its separate instruction and data bases, allows the use of 16-bit-wide instruction words together with separate 8-bit-wide data. The two-stage instruction pipeline allows all instructions to be executed in a single cycle, except for program branches, which require two cycles. The PIC18, with the highest level of analog integration and low power consumption, provides complete solutions for embedded applications as part of smart sensor systems, portable instrumentation, and gas, water, and electricity meters. Most of the PIC18 microcontrollers provide flash-programmable memory in sizes ranging from 8 to 128 kBytes and data RAM from 256 Bytes to 4 kBytes. The speed is from dc to 40 MHz and the required supply voltage ranges from 2.0 V to 5.5 V. Optimized for high level programming languages, such as ANSI C, the PIC18 family provides a flexible solution for complex applications. The peripheral features include:

r r r r r r

Up to three 16-bit timer/counters; Up to two 8-bit timer/counters with 8-bit period register (for PWM); Up to four external interrupt pins; a secondary low power oscillator, using Timer 1; Up to five capture/compare/PWM (CCP) modules; A master synchronous serial port (MSSP) module;

358

Smart Sensor Systems

r Up to 2 addressable USART modules; r One parallel slave port (PSP) module; r 10-bit analog-to-digital converters (ADCs). Power management features are:

r r r r r

Dynamic switchover to secondary low power oscillator; Internal RC oscillator for ADC performance; Sleep mode; Internal RC oscillator for the watch-dog timer WDT; RAM retention mode.

For some of the PIC18 microcontrollers more than six selectable power-management modes are available. Each CCP module contains a 16-bit register which operates as a 16-bit capture register, as a 16-bit compare register, or as a PWM master/slave duty-cycle register. The module CCP1 is used to describe the operation of the CCP module as applied, for instance in the capture mode, which is used for time interval measurements. The CCPx can be coupled to Timer 1 or Timer 3 to measure time intervals between signal edges occurring at the CCPxPin. The input signal can be divided by a prescaler or the prescaler can be bypassed to set the CCPxIF bit. The CCPxIF can be set at edge detection (falling or rising) of the input signal.

Example 12.2: Measurement of the output signal of a smart temperature sensor with a PIC18 microcontroller. The SMT160-30 is a smart temperature sensor, which has been described in Chapter 7, Section 7.5. Figure 12.9 shows how this sensor can be connected to a PIC18 microcontroller.

+5V

CCP1 SMT 160

PIC18F4520

TX

RS232

TxO MAX 232

Figure 12.9 Setup of a temperature measurement system with a PIC18 microcontroller timer and an SMT160-30 smart temperature sensor

The output signal (Figure 12.10) of the SMT160 (www.smartec.nl) is a duty-cyclemodulated square wave with a well-defined temperature dependence. The duty cycle

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

359

Figure 12.10 A duty-cycle-modulated signal

M(T) = t1 /(t1 + t2 ) of the output signal is linearly related to the temperature, according to the equation: M(T ) − 0.32 ◦ 1 C, (12.1) 0.0047 where θ is the temperature in ◦ C and the duty cycle M(T) varies from 0 to 1. The most efficient way to measure the duty-cycle values is to use the input capture capability of the microcontroller. The 16-bit timer Timer 1 should be configured in the timer mode for the highest possible counting frequency (10 MHz), which gives a resolution of 100 ns. In order to measure the time-interval duration, the user application should ‘capture’ consecutive rising/falling edges of the input signal and subtract the rising-edge Timer 1 value from the falling-edge value. First ‘capturing’ and then subtracting the Timer 1 values of two consecutive rising edges is used to measure the period. To reduce the quantization error, different digital filtering techniques can be used. For example, averaging multiple results over a number of periods will increase the system precision by a square root of that number (see Chapter 10). θ=

The LPC2000 family of NXP The LPC2000 microcontrollers are based on a 32/16-bit ARM7TDMI-S CPU with a 128-bit memory interface and unique accelerator architecture which enables 32-bit code execution at the maximum clock rate. The sizes of the embedded high speed flash memories range from 8 kB to 512 kB. The ARM7 is a RISC computer with a small instruction set, which makes it ideal for embedded systems, including smart sensor systems. On the ARM7 a three-stage pipeline is used with three stages: fetch, decode, and execute. This pipeline has hardwareindependent stages that execute one instruction while decoding a second and fetching a third one. A set of 16 user registers, R0–R15, is available. The registers R0–R12 do not have any specific function, while the registers R13–R15 have special functions in the CPU: to register R13 as the stack pointer, R14 as the link register and R15 as the program counter. The ARM7 is implemented with a 32-bit processor. It has a second 16-bit instruction set which is called THUMB. In fact, THUMB is a compressed form of the first instruction set. By compiling the program code in the THUMB instruction set, 30 % of the required memory space can be saved. As an example of LPC2000 family members, we will consider the LPC2148, which offers:

r Flash memory up to 512 kB; r 40 kB on-chip static RAM; r In-system/in-application programming (ISP/IAP) via on-chip boot-loader software.

360

Smart Sensor Systems

A single flash sector can be erased in 400 ms, while the programming of 256 bytes takes only 1 ms. The serial communication interfaces include a USB 2.0 full speed device, UARTS, SPI, SSP, and I2C. Furthermore, there are various 32-bit timers, dual 10-bit ADCs, a 10-bit DAC, PWM channels, and 45 fast GPIO lines with nine edge- or level-sensitive external interrupt pins. These features make these microcontrollers highly suitable for smart sensor system applications. More information can be found in the LPC2148 User Manual and Data sheet (www.nxp.com). Now, some examples will now be given to show how to use the LPC2148 with different types of sensors. In addition, some C programs will show how to read from transponders, how to calibrate sensor systems, and how to perform digital information processing, such as averaging or linearization.

Example 12.3: Radio frequency identification (RFID). Figure 12.11 shows a circuit diagram of a RFID system. Such systems are applied in electronic tags or transponders used for identification purposes. The Melexis(4) MLX90109 is a single-chip RFID transceiver for the 125 kHz range. It can be used to read out the load modulation of a transponder. An external coil (L1 ) and a capacitor (C1 ) are connected as a parallel resonant circuit and determine the carrier frequency and oscillator frequency of the reader. The presented circuit provides control signals for MLX90109 to set, for instance, the speed and the mode, to set the antenna voltage to the required level via the input MODU, to read data, and to set the clock.

Figure 12.11 RFID with the Melexis MLX90109. The port pins are connected to an LPC2148 microcontroller

The circuit depicted uses the general-purpose digital input/output (GPIO) pins P0.2, P0.4, P0.5, P0.6, and P0.7 of the LPC2148 microcontroller and enables the MLX90109 to read data, to write with a speed of 2 kbaud/4 kbaud, and to select the encoding mode Biphase or

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

361

/*********************************************************************************/ /* The program is written for RFID with TIMER0 and Melexis MLX90109 transceiver */ /* working with transponers(tags), P0.7 as data input, P0.2 as clock */ /* input and using rising edge for interrupt, P0.4-mode, P0.5-speed, P0.6-write */ /* Version 27.07.2006 by prof. Ratcho Ivanov */ /*********************************************************************************/ /* RFID.C: Radio Frequency Identification chip from Melexis.ltd */ /*********************************************************************************/ #include /* prototype declarations for I/O functions */ #include /* LPC214x definitions */ unsigned char I,II,value,count1; unsigned char valu[250]; /* function interrupt*/ void T0isr(void) —irq; /*********************************************************************************/ /* main program */ /*********************************************************************************/ int main (void) { /* execution starts here */ /* initialize the IO */ VPBDIV = 0x01; /* Set the Pclk to 60 Mhz */ IODIR0=0x00000070; /* set as outputs P0.4, P0.5 and P0.6 */ IOCLR0=0x00000030; /* clear P0.4-mode(biphase) and P0.5-speed (4K) */ IOSET0=0x00000040; /* set P0.6 to read mode */ /* initialeze the timer */ T0PR = 0x00000000; /* Load prescaler for 1us tick */ T0TCR = 0x00000002; /* Reset counter and prescaler */ T0CCR = 0x00000005; /* Capture of rising edge of channel0 */ T0TCR = 0x00000001; /* Enable timer */ /* initialize the serial interface */ PINSEL0 = 0x00050020; /* Enable RxD1 and TxD1 and P0.2 as capture0 */ U1LCR = 0x83; /* 8 bit, no Parity, 1 Stop bit */ U1DLL = 97; /* 38400 Baud Rate @ 60MHz VPB Clock */ U1LCR = 0x03; /* DLAB = 0 */ /* initialeze for interupt*/ VICVectAddr4 = (unsigned)T0isr; /* Set the timer ISR vector address */ VICVectCntl4 = 0x00000024; /* Set channel */ VICIntEnable = 0x00000010; /* Enable interrupt */ I=0; value=1; /* do the loop for ever */ Figure 12.12 The C program for RFID identification with TIMERO of an LPC2148 and the Melexis transceiver chip MLX90109

362

Smart Sensor Systems

while(1) { if(Icount1)goto newread; printf(“%u”,valu[I]); I++; goto ll; newread: I=0; VICIntEnable = 0x00000010; rr: valu[I]= value; } }

/* Enable interrupt */

/* function for interrupt */ void T0isr(void) —irq { I++; value=1; if((IOPIN0 0x00000080)==0) value=0; /* check the P0.7 if low */ T0IR |= 0x00000001; /* Clear match 0 interrupt*/ VICVectAddr = 0x00000000;/*Dummy write to signal end of interrupt */ } Figure 12.12 (Continued)

Manchester. Figure 12.12 presents a program, written in C language. This program is used to read the contents of the transponder (128 bits) and to send them, via the serial interface, to a PC. On the PC, the standard program ‘hyper terminal’ is activated for data receiving and visualization. The source code begins with the initialization of the MLX90109, the serial port, and the peripherals of the microcontroller. Data and clock lines of the MLX90109 are

363

Microcontrollers and Digital Signal Processors for Smart Sensor Systems

connected to the GPIO pins P0.7 and P0.2 of the microcontroller. Pin P0.2 is initialized to receive interrupts on the rising edge of the signal. Using the interrupt service routine, the level of pin P0.7 is checked. The value of the recognized data ‘0’ or ‘1’ is stored in the array valu[I], which has the size of 250 elements. After storing the 250 values, the algorithm starts with header (synchroword ‘00000000001’) searching. After finding the synchroword, 128 bits with values ‘1’ or ‘0’ are transmitted via the serial interface. If data recognition is necessary, an additional code must be added to the source code.

Example 12.4: Measuring duty-cycle modulated signals with an LPC2103 timer and a smart temperature sensor. Figure 12.13 shows a block diagram of a temperature measurement system with an LPC2103, a smart temperature sensor SMT160 (see Chapter 7, Section 7.5) and a RS232 interface circuit. The power supply voltage of the sensor is 5 V and the sensor output voltage is 0 V to 5 V. This level is acceptable for the LPC2000 inputs. The sensor SMT160-30 is connected to input terminal P0.2 of the microcontroller. The temperature data are transmitted to a PC, via the serial interfaces UART1 of the microcontroller and a MAX232. After initialization, at every interrupt event the program (Figure 12.14) reads the value of the counter. The interrupts are generated on the rising and falling edges of the input pin P0.2. Because of the high frequency (70 MHz) of the timer, the sampling error is an order of magnitude less than when, for instance, a PIC18 microcontroller would have been used. Therefore, a high measurement precision can be achieved (see Chapter 10, Section 10.3.4). In the array VALU [I] which has the size of 22 elements, the sequence of the width of ‘1’ and ‘0’ time intervals of the SMT160-30 output voltage is consecutively recorded.

+ 5V

+ 3,3V

RS232

SMT 160-30

TX1

P0.2

LPC2103

TX0

MAX 232

Figure 12.13 Block diagram of a measurement system with an LPC2103 timer and an SMT160-30 smart temperature sensor

The program is organized so that the recording starts with a ‘1’ time interval. When the array is full, the data are processed by summing ten values of the ‘1’ time intervals and ten values of the full period. In this way, the average of ten measured values is achieved. The program continues with computing the temperature, according to Equation (12.1), and transmitting the final result via UART1 to a PC for visualization.

364

Smart Sensor Systems

/*********************************************************************************/ /* This program show how to built with TIMER0 and SMT160-30 sensor for */ /* a measurement and calculation temperature with LPC2103 */ /* version 10.03.2006 by Ratcho Ivanov */ /*********************************************************************************/ #include /* prototype declarations for I/O functions */ #include #include /* LPC210x definitions */ unsigned int I,value,value1; unsigned int valu[22]; float rezx,puls,period; /* function interrupt*/ void T0isr(void) —irq; /* function initialize Serial Interface */ extern void init serial (void); /*********************************************************************************/ /* main program */ /*********************************************************************************/ int main (void) { /* execution starts here */ /* Initialize Serial Interface */ init serial(); /* initialize the Pclk */ VPBDIV = 0x01; /* Set the Pclk as CPUclk */ /* initialeze the timer */ T0PR = 0x00000000; /* Load prescaler for 1us tick */ T0TCR = 0x00000002; /* Reset counter and prescaler */ T0CCR = 0x00000007; /* Capture of both edge of channel0 */ T0TCR = 0x00000001; /* Enable timer */ /* initialeze for interupt*/ VICVectAddr4 = (unsigned)T0isr; /* Set the timer ISR vector address */ VICVectCntl4 = 0x00000024; /* Set channel */ VICIntEnable = 0x00000010; /* Enable interrupt from TIMER0 */ /* Set the value of variables */ I=0; value=123; /* do the loop for ever */ while(1) { if(I