Short-Cavity DBR Lasers Integrated with High-Speed Electroabsorption Modulators using Quantum Well Intermixing


219 91 5MB

English Pages 160 [185]

Report DMCA / Copyright

DOWNLOAD PDF FILE

Recommend Papers

Short-Cavity DBR Lasers Integrated with High-Speed Electroabsorption Modulators using Quantum Well Intermixing

  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

Short-Cavity DBR Lasers Integrated with High-Speed Electroabsorption Modulators using Quantum Well Intermixing by

Chad S. Wang

Department of Electrical and Computer Engineering University of California, Santa Barbara September 2007

UNIVERSITY OF CALIFORNIA SANTA BARBARA

Short-Cavity DBR Lasers Integrated with High-Speed Electroabsorption Modulators using Quantum Well Intermixing

A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor in Philosophy in Electrical and Computer Engineering by Chad S. Wang

Committee in charge: Professor Larry A. Coldren, Chair Professor John E. Bowers Professor Arthur C. Gossard Professor Evelyn L. Hu

September 2007

The dissertation of Chad S. Wang is approved

Professor John E. Bowers

Professor Arthur C. Gossard

Professor Evelyn L. Hu

Professor Larry A. Coldren, Chairperson

September 2007

Short-Cavity DBR Lasers Integrated with High-Speed Electroabsorption Modulators using Quantum Well Intermixing

Copyright © by Chad S. Wang All rights reserved September 2007

Department of Electrical and Computer Engineering University of California, Santa Barbara Santa Barbara, CA 93106

iii

This dissertation is dedicated to My parents David and Maggie And to my wife Lisa For their patience, support, and love

iv

ACKNOWLEDGEMENTS Before diving into the technical work of this dissertation, I pause to reflect upon the past six years of my life that I’ve spent in Santa Barbara. Many people have influenced me, molding, shaping, and teaching me lessons in life that have affected my research and experiences that spill into the pages of this thesis. It hasn’t always been the smoothest journey, but it is one that I am proud of, and I must acknowledge those that have been instrumental in guiding me to this end. First and foremost I would like to thank my advisor, Professor Larry Coldren. His vision, guidance, and knowledge provided me the tools and training to complete my thesis. I greatly appreciate his patience in me, during the times when mysterious problems would arise, and would let me pursue my own means to solve or circumvent these issues. While I never requested it, placing my desk in the MBE lab was perhaps the best opening decision of my graduate career. The opportunity to work in Professor Art Gossard’s MBE lab gave me to opportunity to work in a world class lab and interact with the best students from many different disciplines. I also want to thank Professor John Bowers and Professor Evelyn Hu, whom I am honored to have on my committee. They have been willing to offer advice in improving the process and project. This dissertation would not be possible without the technical and social interaction of many past and present graduate students and post-docs. Dan Lofgreen, who first took me under his wing, trained me how to grow by MBE, had all the theory and coding answers, and pioneered much of the QWI in GaAs used in this work. Erik Skogen taught me solid processing and design habits, and guided the success of the InP transmitters presented in this work. Gordon Morrison, who worked with me in developing the GaAs transmitters, also contributed in the photocurrent spectroscopy test set-up, the Matlab code, and much of the material analysis used for the modulator optimization portion of this work. Many thanks goes to Joseph Chang, my officemate who always lent a helping hand, whether it be working on the machine, fixing Labview code, or simply listening and discussing

v

our research. While we worked on different devices, the commonality of high-speed 980 nm lasers helped foster a friendly competition to push our results to new records. James Raring set the bar high to match the work hours and success, and while we worked with different material systems, we were still able to share many similar ideas and processes. The collaborations I had with others in the Coldren group were a nice change of pace to my own research. Deep down inside, I might be a VCSEL guy, thanks to Rintaro Koda, Danny Feezell, David Buell, and Andrew Huntington. My first project, the biosensor, was a great starting point for me to develop a good processing skill set, thanks to Jill Nolde and Dan Cohen. And the rest of the Coldren group, Leif Johansson, Anna Tauke-Pedretti, Matt Sysak, Matt Dummer, Nick Stoltz, Jon Klamkin, Janet Chen, John Hutchinson, Jon Barton, Milan Masonovic, Uppili Krishnamachari, Steve Nicholes, Yan Zheng, Chin-han Lin, and Abbey. And thanks to the weekly poker crowd; good times. I feel very fortunate to have been an MBE grower at UCSB, which has enabled me to become involved in many different projects outside of my own. Specifically, Prof. Joe Campbell and his students, Xiaoguang Zhang, Ning Duan, and Gaure Karvi, for giving me an opportunity to be involved in avalanche photodetectors; Prof. Mark Sherwin and Sam Carter for involvement in quantum electrodynamics; Prof. John Bowers and his students, Manish Mehta, Staffan Björlin, Garrett Cole, Toshio Kimura, Yae Okuno, and Qi Chen, for involvement in a variety of vertical cavity devices; Prof. Hu, Prof. Mishra, Huili Xing, Chuanxin Lian, and Sarah Estrada, for giving me a taste into the world of GaN. A special thanks goes to John English who was instrumental to my success in the MBE lab. The skills I learned will go far beyond the lab. Thanks also go out to Andy Jackson, Remy, and everyone else in the MBE lab for making it great environment to be in. The cleanroom staff; Bob Hill, Don Freeborn, Jack Whaley, Brian Thibeault, Mike Silva, and Luis Zuzunaga, were great at doing their all to keep the research progressing forward.

vi

It had to be you, Lisa, who was willing to move out to California to be with me, for being patient and supportive while I went though my ups and downs, and for giving me the strength and encouragement to finish this dissertation. Sammi, you can stop staring at me while I write; you’ll get your walk soon. Finally, I would like to thank my family starting with my parents David and Maggie, who let me choose my path in life and supported whichever decision I made. To my sister, Cynthia, whose creativity and talent I admire; and to my grandparents Kuang-Fu and Nora, who I look up to for guidance and wisdom.

vii

CURRICULUM VITAE CHAD SHIN-DEH WANG May 31, 1979

Born Racine, Wisconsin

EDUCATION June 2001

B.S. Electrical and Computer Engineering The University of Texas at Austin Austin, Texas

December 2002

M.S. Electrical and Computer Engineering University of California, Santa Barbara Santa Barbara, California

August 2007

Ph.D., Electrical and Computer Engineering University of California, Santa Barbara Santa Barbara, California

AWARDS 2005 LEOS/IEEE Graduate Student Fellowship Recipient PUBLICATIONS [1] C.S. Wang, J.A. Nolde, D.D. Lofgreen, L.A. Coldren, and D.A. Cohen, “A monolithic diode laser chemical sensor with a quasi-symmetrical sensing waveguide for improved sensitivity,” Appl. Phys. Lett., vol. 85, no. 2, pp. 320322, 2004. [2] C.S. Wang, R. Koda, A.S. Huntington, A.C. Gossard, and L.A. Coldren, “>100% output differential efficiency 1.55-µm VCSELs using submonolayer superlattices digital-alloy multiple-active-regions grown by MBE on InP,” J. of Crystal Growth, vol. 277, no. 1-4, pp. 13-20, 2005. [3] C.S. Wang, G.B. Morrison, E.J. Skogen, and L.A. Coldren, “Fabrication and molecular beam epitaxy regrowth of first order, high-contrast AlGaAs/GaAs gratings,” J. Vac. Science and Technol.:B, vol. 24, no. 3, pp. 1559-1563, 2006. viii

[4] C.S. Wang, Y.-C. Chang, U. Krishnamachari, J.W. Raring, and L.A. Coldren, “Short-Cavity 980 nm DBR Lasers with Quantum-Well Intermixed Integrated High-Speed EA Modulators,” accepted for publication in IEEE J. of Sel. Topics in Quant. Electron., 2007. [5] C.S. Wang, Y.-C. Chang, and L.A. Coldren, “35 Gb/s error-free operation of 980 nm DBR laser with integrated electroabsorption modulator,” submitted for publication in Electronics Letters, 2007. [6] D.A. Cohen, J.A. Nolde, A. Tauke-Pedretti, C.S. Wang, E.J. Skogen, and L.A. Coldren, “Sensitivity and Scattering in a Monolithic Heterodyned Laser Biochemical Sensor,” IEEE J. Sel. Topics in Quant. Electron., vol. 9, no. 5, pp. 1124-1131, 2003. [7] E.S. Björlin, T. Kimura, Q. Chen, C. Wang, and J.E. Bowers, “High-Output Power 1540-nm Vertical-Cavity Semiconductor Optical Amplifiers,” Electronics Letters, vol. 40, no. 2, pp. 121-122, 2004. [8] Q. Chen, G.D. Cole, E.S. Björlin, T. Kimura, S. Wu, C.S. Wang, N.C. MacDonald, and J.E. Bowers, “First Demonstration of a MEMS Tunable Vertical-Cavity SOA,” IEEE Photon. Technol. Lett., vol. 16, no. 6, pp. 14381440, 2004. [9] A.S. Huntington, C.S. Wang, X.G. Zheng, J.C. Campbell, and L.A. Coldren, “Relationship of growth mode to dark current in InAlAs/InGaAs avalanche photodiodes grown by MBE on InP,” J. of Crystal Growth, vol. 267, no. 3-4, pp. 458-465, 2004. [10] G.B. Morrison, J.W. Raring, E.J. Skogen, C.S. Wang, and L.A. Coldren, “Photocurrent Spectroscopy Analysis of Widely Tunable Negative-Chirp Quantum Well Intermixed Laser-Modulator Transmitters,” Appl. Phys. Lett., vol. 86, no. 7, 071118, 2005. [11] G.D. Cole, E.S. Björlin, Q. Chen, C.-Y. Chan, S. Wu, C.S. Wang, N.C. MacDonald, and J.E. Bowers, “MEMS-Tunable Vertical-Cavity SOAs,” IEEE J. Quant. Electron., vol. 41, no. 3, pp. 390-407, 2005. [12] E.J. Skogen, J.W. Raring, G.B. Morrison, C.S. Wang, V. Lal, M. Mašanović, and L.A. Coldren, “Monolithic Integrated Active Components: A Quantum Well Intermixing Approach,” IEEE J. Sel. Topics in Quant. Electron., vol. 11, no. 2, pp. 343-355, 2005. (INVITED PAPER)

ix

[13] N. Duan, S. Wang, X.G. Zheng, X. Li, N. Li, J.C. Campbell, C. Wang, and L.A. Coldren, “Detrimental Effects of Impact Ionization in the Absorption Region on the Frequency Response and Excess Noise Performance of InGaAs—InAlAs SACM Avalanche Photodiodes,” IEEE J. Quant. Electron., vol. 41, no. 3, pp. 568-572, 2005. [14] R. Koda, C.S. Wang, D.D. Lofgreen, and L.A. Coldren, “High-differentialquantum-efficiency, long-wavelength vertical-cavity lasers using 5 stage bipolar cascade active regions,” Appl. Phys. Lett, vol. 86, no. 21, 2005. [15] G.B. Morrison, E.J. Skogen, C.S. Wang, J.W. Raring, Y.-C. Chang, M. Sysak, and L.A. Coldren, “Photocurrent Spectroscopy for Quantum Well Intermixed Photonic Integrated Circuit Design,” IEEE Photon. Technol. Lett., vol. 17, no. 7, pp. 1414-1416, 2005. [16] N. Duan, S. Wang, F. Ma, N. Li, J.C. Campbell, C. Wang, L.A. Coldren, “High-Speed and Low-Noise SACM Avalanche Photodiodes With an ImpactIonization-Engineered Multiplication Region,” IEEE Photon. Technol. Lett., vol. 17, no. 8, pp. 1719-1721, 2005. [17] J.W. Raring, E.J. Skogen, J.S. Barton, C.S. Wang, S.P. DenBaars, and L.A. Coldren, “Quantum well intermixing and MOCVD regrowth for monolithic integration of 40 Gbit/s UTC type photodiodes with QW based components,” Electronics Letters, vol. 41, no. 18, pp. 1033-1034, 2005. [18] Y.L. Okuno, K.-G. Gan, H.F. Chou, Y.-J. Chiu, C.S. Wang, S. Wu, J. Geske, E.S. Björlin, and J.E. Bowers, “Stable Polarization Operation of 1.3-µm Wavelength Vertical-Cavity Surface-Emitting Laser (VCSEL) Fabricated by Orientation-Mismatched Wafer Bonding,” IEEE J. Sel. Topics in Quant. Electron., vol. 11, no. 5, pp. 1006-1014, 2005. [19] S.G. Carter, V. Ciulin, M. Hanson, A.S. Huntington, C.S. Wang, A.C. Gossard, L.A. Coldren, and M.S. Sherwin, “Terahertz-optical mixing in undoped and doped GaAs quantum wells: From excitonic to electronic intersubband transitions, Physical Review B, vol. 72, no. 15, 155309, 2005. [20] S.G. Carter, V. Birkedal, C.S. Wang, L.A. Coldren, A.V. Maslov, D.S. Citrin, M.S. Sherwin, “Quantum Coherence in an Optical Modulator,” Science, vol. 310, no. 5748, pp. 651-653, 2005. [21] G.D. Cole, E.S. Björlin, C.S. Wang, N.C. MacDonald, and J.E. Bowers, “Widely Tunable Bottom-Emitting Vertical-Cavity SOAs,” IEEE Photon. Technol. Lett., vol. 17, no. 12, pp. 2526-2528, 2005.

x

[22] J.W. Raring, E.J. Skogen, C.S. Wang, J.S. Barton, G.B. Morrison, S. Demiguel, S.P. DenBaars, and L.A. Coldren, “Design and Demonstration of Novel Quantum Well Intermixing Scheme for the Integration of UTC-Type Photodetectors with QW-Based Components,” IEEE J. Quant. Electron., vol. 42, no. 2, pp. 171-181, 2006. [23] Y.C. Chang, C.S. Wang, L.A. Johansson, and L.A. Coldren, “High-efficiency, high-speed VCSELs with deep oxidation layers,” Electronics Letters, vol. 42, no. 22, pp. 1281-1282, 2006. [24] C. Lian, H. Xing, C.S. Wang, L. McCarthy, and D. Brown, “DC Characteristics of AlGaAs/GaAs/GaN HBTs Formed by Direct Wafer Fusion,” IEEE Electron Device Lett., vol. 28, no. 1, pp. 8-10, 2007. [25] G.B. Morrison, J.W. Raring, C.S. Wang, E.J. Skogen, Y.-C. Chang, M. Sysak and L.A. Coldren, “Electroabsorption modulator performance prediced from band-edge absorption spectra of bulk, quantum-well, and quantum-well intermixed InGaAsP structures,” Solid-State Electronics, vol. 51, no. 1, pp. 1625, 2007. [26] Y.-C. Chang, C.S. Wang, and L.A. Coldren, “Small-dimension, powerefficiently high-speed vertical-cavity surface-emitting lasers,” Electronics Letters, vol. 43, no. 7, pp. 396-397, 2007. [27] C. Lian, H.G. Xing, I. Robel, P.V. Kamat, C.S. Wang, L. McCarthy, “Gain degradation mechanisms in wafer fused AlGaAs/GaAs/GaN heterojunction bipolar transistors,” Appl. Phy. Lett., vol. 91, no. 6, 063502, 2007. [28] Y.-C. Chang, C.S. Wang, and L.A. Coldren, “High-efficiency, high-speed VCSELs with 35 Gb/s error-free operation,” accepted for publication in Electronics Letters, 2007. PRESENTATIONS [29] C.S. Wang, D.A. Cohen, J.A. Nolde, D.D. Lofgreen, and L.A. Coldren, “A Diode Laser Chemical Sensor Utilizing an Oxidized Lower Cladding for High Sensitivity,” Proc. Lasers and Electro-Optics Society Annual Mtg., paper no. ThY7, Tucson, AZ (Oct. 27-30, 2003). [30] C.S. Wang, E.J. Skogen, J.W. Raring, G.B. Morrison, and L.A. Coldren, “Short-Cavity 1.55µm DBR Lasers Integrated with High-Speed EAM Modulator,” Proc.19th IEEE International Semiconductor Laser Conf., paper no. WB1, Matsue, Japan (Sept. 21-25, 2004).

xi

[31] C.S. Wang, G.B. Morrison, E.J. Skogen, and L.A. Coldren, “Fabrication and MBE Regrowth of First Order, High Contrast AlGaAs/GaAs Gratings,” 23rd North American Conf. on Molecular Beam Epitaxy, paper no. WD-1, Santa Barbara, CA (Sept. 11-14, 2005). [32] C.S. Wang, Y.-C. Chang, J.W. Raring, and L.A. Coldren, “Short-Cavity 980 nm DBR Lasers with Quantum Well Intermixed Integrated High-Speed EA Modulators,” Proc.20th IEEE International Semiconductor Laser Conf., paper no. WC8, Hawaii, (Sept. 17-21, 2006). [33] C.S. Wang, Y.-C. Chang, and L.A. Coldren, “Towards 40 Gb/s Operation of Integrated DBR Lasers-EA Modulators at 980 nm,” Proc. Lasers and ElectroOptics Society Annual Mtg., paper no. WJ1, Lake Buena Vista, FL (Oct. 21-25, 2007). [34] (presented for J. Klamkin) J. Klamkin, J.T. Getty, J.M. Hutchinson, L.A. Johansson, E.J. Skogen, and L.A. Coldren, "Widely Tunable Single-Mode Bipolar Cascade SGDBR Lasers," Proc.19th IEEE International Semiconductor Laser Conf., paper no. WC2, Matsue, Japan (Sept. 21-25, 2004). [35] (presented for J. Hutchinson) J.M. Hutchinson, L.A. Johansson, J.T. Getty, J.A. Henness, and L.A. Coldren, "InGaAsP/InP Gain-Levered Tunable Lasers," Proc.19th IEEE International Semiconductor Laser Conf., paper no. SaA4, Matsue, Japan (Sept. 21-25, 2004). [36] S. Estrada, J. Champlain, C. Wang, A. Stonas, L. Coldren, S. DenBaars, U. Mishra, and E. Hu, “Wafer-fused n-AlGaAs/p-GaAs/n-GaN Heterojunction Bipolar Transistor with uid-GaAs Base-Collector Setback,” Proc. GaN and Related Alloys—2003 Materials Research Society Symposium, vol. 798, pp. 9194, 2004. [37] S.G. Carter, V. Ciulin, M.S. Sherwin, C.S. Wang, A. Huntington, and L.A. Coldren, “Terahertz-Optical Mixing in n-doped GaAs Quantum Wells: Suppression of Excitonic Resonances,” Proc. International Quantum Electronics Conf., paper no. IMD1, San Francisco, CA (May 16-21, 2004). [38] T. Kimura, E.S. Björlin, Q. Chen, C. Wang, and J.E. Bowers, “High-Output Power 1540-nm Vertical-Cavity Semiconductor Optical Amplifiers,” Proc. International Conf. on Indium Phosphide and Related Materials, paper no. FA2-3, Kagoshima, Japan (May 31-June 4, 2004). [39] G.D. Cole, Q. Chen, E.S. Björlin, T. Kimura, S. Wu, C.S. Wang, J.E. Bowers, and N.C. MacDonald, “Microelectromechanical Tunable Long-Wavelength Vertical-Cavity Semiconductor Optical Amplifiers,” Proc. International Conf. xii

on Indium Phosphide and Related Materials, paper no. FA-2-4, Kagoshima, Japan (May 31-June 4, 2004). [40] G.D. Cole, Q. Chen, E.S. Björlin, T. Kimura, S. Wu, C.S. Wang, J.E. Bowers, and N.C. MacDonald, “Wavelength Selection in MEMS Tunable VerticalCavity SOAs,” Proc. Optical Amplifiers and Their Applications, paper no. OMB3, San Francisco, CA (June 27-30, 2004). [41] D.A. Cohen, C.S. Wang, J.A. Nolde, D.D. Lofgreen, and L.A. Coldren, “An Evanescent Wave Spore Detector,” Proc. Integrated Photonics Research Conf., paper no. IThB3, San Francisco, CA (June 30-July 2, 2004). [42] E.J. Skogen, C.S. Wang, J.W. Raring, G.B. Morrison, and L.A. Coldren, “Small-footprint, High-Efficiency, Integrated Transmitters for High-Speed Optical Interconnect Applications,” Proc. Integrated Photonics Research Conf., paper no. IThD2, San Francisco, CA (June 30-July 2, 2004). [43] Y.L. Okuno, K.-G. Gan, H.-F. Chou, Y.-J. Chiu, C. Wang, S. Wu, J. Geske, E.S. Björlin, and J.E. Bowers, “Stable Polarization Operation of 1.3 µmWavelength Vertical Cavity Surface Emitting Laser (VCSEL) Fabricated by Orientation-Mismatched Wafer Bonding,” Proc. IEEE International Semiconductor Laser Conf., paper no. FA5, Matsue, Japan (Sept. 21-25, 2004). [44] D.A. Cohen, J.A. Nolde, C.S. Wang, E.J. Skogen, A. Rivlin, and, L.A. Coldren, “Biophotonic Integrated Circuits,” Proc. SPIE Optics East Conf., paper no. 5594-12, Philadelphia, PA (October 25-28, 2004). (INVITED PAPER) [45] G.B. Morrison, C.S. Wang, E.J. Skogen, D.D. Lofgreen, and L.A. Coldren, “980 nm DBR Lasers Monolithically Integrated with EA Modulators for Optical Interconnect Applications,” Proc. Integrated Photonics Research and Applications Conf., paper no. IWF2, San Diego, CA (April 11-13, 2005). [46] G. Cole, S. Björlin, Q. Chen, C.Y. Chan, S. Wu, C. Wang, N. MacDonald, and J. Bowers, “Design and Analysis of MEMs Tunable Vertical-Cavity Semiconductor Optical Amplifiers,” Proc. International Conf. on Indium Phosphide and Related Materials, paper no. TuB-1-4, Glasgow, Scotland (May 8-12, 2005). [47] S.G. Carter, V. Ciulin, M.S. Sherwin, C.S. Wang, L.A. Coldren, and A.V. Maslov, “Excitonic Autler-Townes splitting induced by an intense Terahertz field,” Proc. International Quantum Electronics Conf., paper no. QTuI1, Baltimore, MD (May 22-27, 2005).

xiii

[48] G.B. Morrison, J.W. Raring, C.S. Wang, E.J. Skogen, and L.A. Coldren, “Band-Edge Characterization of Intermixed InGaAsP/InP and InGaAs/GaAs Quantum Wells,” Electronic Materials Conf., paper. No. U4, Santa Barbara, CA (June 22-24, 2005). [49] Y.C. Chang, C.S. Wang, J.H. English, L.A. Coldren, “Accurate Carbon Doping System for Low-Voltage and Low-Loss VCSELs,” Electronic Materials Conference, paper no. Y8, University Park, PA (June 28-30, 2006). [50] Y.-C. Chang, C.S. Wang, and L.A. Coldren, “High-speed tapered-oxideapertured 980 nm VCSELs supporting data rates up to 30 Gb/s,” accepted for publication at LEOS, 2007.

xiv

ABSTRACT Short-Cavity DBR Lasers Integrated with High-Speed Electroabsorption Modulators using Quantum Well Intermixing Chad S. Wang As the demand for bandwidth and density continue to rise, fueled by the growth of modern computing and communication networks, short-distance interconnections become the limiting bottleneck. As photonics continue to push towards faster bitrates, they become more attractive in replacing electronics for use in board and chip level interconnect applications. Traditionally, vertical-cavity surface-emitting lasers have been the technology of choice for deployment in short-reach datacom interconnect applications. However in these interconnect systems, most of the power consumption occurs over the receiver electronics. In this work, we propose a ‘receiverless’ architecture, thereby eliminating most of the power consuming receiver electronics. This requires highoutput power transmitters to directly drive detectors controlling the decision circuits. A short-cavity DBR laser with an integrated electroabsorption modulator (EAM) was developed to achieve high-output powers and high-speed modulation within a small form factor. This dissertation explores the tradeoffs of short-cavity lasers and its effect on thresholds and output power. Additionally, the effects of quantum well intermixing (QWI) on EAM performance is studied. The principal contribution of this research is the development of photonic integration technologies in the GaAs material system. Specifically, QWI, gratings fabrication, and regrowth by MBE were developed for monolithic integration of edge-emitting devices at shorter wavelengths.

This was applied towards the

realization of the first 40 Gb/s transmitter at 980 nm for datacom applications.

xv

TABLE OF CONTENTS CHAPTER 1

INTRODUCTION .........................................................................1

1.1 OPTICAL INTERCONNECTS .............................................................................1 1.1.1 Current State of the Art VCSELs ..............................................................2 1.2 ‘RECEIVERLESS’ ARCHITECTURE ...................................................................4 1.3 SHORT-CAVITY LASERS .................................................................................4 1.3.1 Fabry Perot Lasers ...................................................................................6 1.3.2 DFB Lasers ...............................................................................................6 1.3.3 DBR Laser-Modulator .............................................................................7 1.4 MONOLITHIC INTEGRATION .........................................................................10 1.5 DISSERTATION OVERVIEW ...........................................................................12 1.5.1 Contribution of Dissertation ...................................................................12 1.5.2 Preview of Thesis ....................................................................................14 REFERENCES ...........................................................................................................16 CHAPTER 2

SHORT-CAVITY DBR LASER DESIGN................................20

2.1 SHORT-CAVITY LASER THEORY ..................................................................20 2.2 WAVEGUIDE DESIGN ....................................................................................23 2.2.1 1550 nm on InP .......................................................................................24 2.2.2 980 nm on GaAs......................................................................................25 2.3 MIRROR DESIGN ...........................................................................................27 2.4 PERFORMANCE SIMULATION........................................................................30 2.4.1 1550 nm on InP .......................................................................................30 2.4.2 980 nm on GaAs......................................................................................33 2.5 SHORT-CAVITY DBR LASER DESIGN CHAPTER CONCLUSIONS .................35 REFERENCES ...........................................................................................................37 CHAPTER 3

INTEGRATION PLATFORM: QUANTUM WELL INTERMIXING...........................................................................38

3.1 REVIEW OF QUANTUM WELL INTERMIXING................................................38 3.2 UCSB QUANTUM WELL INTERMIXING TECHNIQUES .................................40 3.2.1 InP Based QWI Approach.......................................................................41 3.2.2 GaAs Based QWI Approach....................................................................42 3.3 GAAS BASED MATERIALS ............................................................................44 3.4 QWI ON ALUMINUM-FREE ACTIVE REGION DESIGNS ON GAAS................47 xvi

3.5 QWI ON ALUMINUM-CONTAINING ACTIVE REGION DESIGNS ON GAAS...53 3.6 QWI MODEL .................................................................................................58 3.7 QWI INTEGRATION PLATFORM CHAPTER CONCLUSIONS ...........................61 REFERENCES ...........................................................................................................62 CHAPTER 4

INTEGRATED ELECTROABSORPTION MODULATOR DESIGN........................................................................................65

4.1 OVERVIEW OF ELECTROABSORPTION MODULATORS .................................65 4.1.1 The Franz-Keldysh Effect .......................................................................67 4.1.2 The Quantum-Confined Stark Effect.......................................................68 4.2 PHOTOCURRENT SPECTROSCOPY .................................................................69 4.2.1 Test-Set-Up and Analysis Techniques.....................................................70 4.2.2 1550 nm EAM Designs............................................................................73 4.2.3 980 nm InGaAs/GaAs EAM Designs ......................................................74 4.2.4 980 nm InGaAs/InGaAsP EAM Designs.................................................77 4.3 EAM ELECTRICAL MODEL ...........................................................................79 4.4 EAM DESIGN CHAPTER CONCLUSIONS .......................................................82 REFERENCES ...........................................................................................................83 CHAPTER 5

MBE (RE)GROWTH AND PROCESSING ASPECTS ..........85

5.1 MBE SYSTEM................................................................................................86 5.2 MBE GROWTH OF ALUMINUM-FREE ALLOYS ............................................88 5.2.1 InGaAsP Growth.....................................................................................89 5.2.2 InGaP Growth.........................................................................................91 5.2.3 Base Structure Growth............................................................................93 5.3 PROCESSING ASPECTS ..................................................................................96 5.3.1 Immersion Holography ...........................................................................96 5.3.2 Immersion Diffraction.............................................................................99 5.3.3 Etching Gratings...................................................................................102 5.3.4 AlGaAs Ridge Processing .....................................................................103 5.4 MBE REGROWTH ........................................................................................106 5.4.1 Surface Preparation..............................................................................106 5.4.2 Oxide Desorption Conditions ...............................................................106 5.4.3 Minimizing Pitting Defects ...................................................................108 5.5 GROWTH AND PROCESSING CHAPTER CONCLUSIONS ..............................111 REFERENCES .........................................................................................................112 CHAPTER 6

DEVICE RESULTS ..................................................................114 xvii

1550 NM SHORT-CAVITY DBR LASER/MODULATOR TRANSMITTERS ON INP...............................................................................................................115 6.1.1 DBR Laser/EAM Performance .............................................................116 6.1.2 1550 nm Transmitter Power Consumption ...........................................118 6.1.3 1550 nm DBR Laser/Modulator Transmitter Conclusions...................120 6.2 980 NM SHORT-CAVITY DBR LASER/MODULATOR TRANSMITTERS ON GAAS USING ALUMINUM-CONTAINING ACTIVE REGION DESIGN ...........121 6.2.1 980 nm Fabry-Perot Laser Parameters and Passive Loss ...................122 6.2.2 DBR Laser Performance.......................................................................123 6.2.3 Integrated EAM Performance...............................................................125 6.2.4 980 nm Transmitter Power Consumption .............................................132 6.2.5 980 nm Transmitters Using Aluminum-Containing Active Region Design Conclusions ..............................................................................132 6.3 980 NM LASERS USING ALUMINUM-FREE ACTIVE REGION DESIGN ........133 6.4 SHORT-CAVITY TRANSMITTER RESULTS CONCLUSIONS ..........................135 REFERENCES .........................................................................................................137 6.1

CHAPTER 7

CONCLUSIONS........................................................................138

7.1 SUMMARY ...................................................................................................138 7.2 FUTURE DIRECTIONS ..................................................................................139 REFERENCES .........................................................................................................142 APPENDICES ........................................................................................................143 A. B.

PROCESS FLOW ...........................................................................................143 BROAD AREA LASER MATERIAL CHARACTERIZATION ............................154

xviii

LIST OF FIGURES 1.1 1.2 1.3

1.4

Proposed ‘receiverless’ architecture using high-power laser transmitters and high-saturation power photodetector receivers...........................................4 Plots of (a) current required for 10 mW front facet output power and (b) peak wall plug efficiency, as a function of laser cavity length and front mirror reflectivity. .............................................................................................5 (a) Schematic diagram of the proposed short-cavity DBR laser with integrated EAM (b) stacked five times on end to illustrate the short length compared to a widely-tunable SGDBR laser with integrated SOA and EAM. .................................................................................................................8 Common integration platforms: (a) butt-joint regrowth, (b) selective area growth, (c) offset quantum wells, and (d) quantum well intermixing .............11

2.1

Plot of operating current versus mean mirror reflectivity for edge-emitting lasers with active length of 300 µm, 10 µm, and 1 µm at output powers of 100 mW, 10 mW, 1 mW, and threshold condition ..........................................21 2.2 Short-cavity DBR laser (a) design flow and (b) schematic illustrating active region, front DBR output facet, and rear HR coating......................................23 2.3 Schematic cross-section diagram of a surface ridge waveguide structure ......24 2.4 (a) Simulated flatband profile of the 980 nm MQW active region used in this dissertation. (b) Confinement factor versus upper/lower waveguide thickness with and without a 65 nm GaAs grating/regrowth layer, and versus upper waveguide thickness only with the lower waveguide fixed at 60 nm and including a 65 nm GaAs grating/regrowth layer ...........................26 2.5 Mode contours for (a) 1.55 µm design, (b) 980 nm design without GaAs regrowth layer, and (c) 980 nm design with GaAs regrowth layer. ................26 2.6 (a) Coupling coefficient as a function of grating etch depth for GaAs and InP. (b) Peak power reflectivity as a function of grating length.....................28 2.7 (a) Reflectivity spectra of a DBR with lengths of 10, 20 and 30 µm with κ =500 cm-1, αim=10 cm-1, including illustration of condition for single mode. (b) Plot of mode spacing and spectral width to achieve >30 dB SMSR for a DBR laser with La=150µm and κ of 100, 300, 500, 700, and 900 cm-1 ..........29 2.8 (a) Mode spacing versus grating length of a DBR laser using a 90% rear mirror obtained by HR coating or DBR for gain section lengths ranging from 50 to 200 µm. (b) Effective mirror length of a DBR for κ values of 100, 300, 500, 700, and 900 cm-1 ....................................................................30 2.9 1.55 µm laser operating current as functions of active region length for families of output powers of 1, 10, and 20 mW and front mirror κLg of .3, .6, .9, and 1.2....................................................................................................32 2.10 1.55 µm laser (a) operating current and (b) on chip-temperature rise as functions of active region length for families of output powers of 1, 10, and 20 mW and front mirror κLg of .3, .6, .9, and 1.2............................................33

xix

2.11 980 nm laser operating current as functions of active region length for families of output powers of 1, 10, and 20 mW and front mirror κLg of .3, .6, .9, and 1.2....................................................................................................34 2.12 980 laser (a) operating current and (b) on chip-temperature rise as functions of active region length for families of output powers of 1, 10, and 20 mW and front mirror κLg of .3, .6, .9, and 1.2.........................................................35 3.1 3.2 3.3 3.4 3.5 3.6 3.7 3.8 3.9 3.10

3.11 3.12 3.13 3.14 3.15

4.1

(a) Centered MQW epitaxial base structure used for the QWI process. (b) Plot of PL peak shift versus RTA time for non-implanted, implanted, and implanted samples with the buffer layer removed at an intermediate step. ....41 Bandgap energy and wavelength vs. lattice constant of various III-V semiconductors at room temperature...............................................................43 Contours of constant band gap and constant lattice spacing in the x-y compositional plane for In1-xGaxAsyP1-y. .........................................................44 Available materials latticed-matched to GaAs for building a laser.................45 Conduction Band profile of a typical laser structure grown by either MOCVD, or MBE. ..........................................................................................46 Epitaxial base structure with either (InGaAs/InGaAsP) MQW or (InGaAs/GaAs) MQW active region, and GaAs or InGaP implant buffer layer. ................................................................................................................47 Photoluminescence peak wavelength shift vs. RTA temperature for nonimplanted base structures annealed for 120 s. ...........................................49 Photoluminescence peak wavelength shift vs. RTA temperature for implanted base structures annealed for 120 s. .................................................50 Schematic diagram illustrating key QWI processing steps for Al-free alloys on GaAs. ..........................................................................................................52 Plot of PL peak wavelength shift versus of RTA time demonstrating multiple band edges using a single-ion implant for the aluminum-free active region design on GaAs. (a) was from material grown by MOCVD and (b) by MBE ...............................................................................................53 Epitaxial base structure for intermixing aluminum-containing alloys on GaAs. ...............................................................................................................54 Schematic diagram illustrating key QWI processing steps for aluminumcontaining alloys on GaAs...............................................................................56 Plot of PL peak wavelength shift versus of RTA time for QWI of the Alcontaining material system on GaAs. ..............................................................57 Bandedge evolution contours for the (a) InGaAs/GaAs and (b) InGaAs/InGaAsP active region designs calculated for 10 nm and 20 nm shifts in peak photoluminescence wavelength, respectively. ..........................59 Simulated group-III and group-V diffusion length versus peak photoluminescence shift using band-edge evolution model for the InGaAs/GaAs and InGaAs/InGaAsP designs, respectively. ...........................60 (a) Schematic of an waveguide EA modulator and (b) typical EAM transfer function ............................................................................................................66 xx

4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 4.10 4.11 4.12 4.13 4.14 4.15 4.16 5.1 5.2 5.3 5.4

Schematic illustrating the FK electroabsorption effect where the absorption edge energy is reduced with an applied field. Figure courtesy of Dr. Sysak and Dr. Raring .................................................................................................67 Schematic illustrating the QCSE where the absorption edge energy is reduced with an applied field. Figure courtesy of Dr. Sysak and Dr. Raring.68 Example of material absorption coefficient versus wavelength using FK effect (bulk) and QCSE (QW) .........................................................................69 Schematic diagram of the photocurrent spectroscopy test setup.....................71 Generic cross sectional schematic of a photodiode used for photocurrent spectroscopy measurements.............................................................................72 Measured material absorption characteristics of an as-grown and two intermixed band-edges from the 7-QW base structure on InP used in this work .................................................................................................................73 Predicted DC extinction ratios for lasing wavelengths ranging from 1500 to 1555 nm for a 125 µm EAM intermixed to band-edges of (a) 1483 nm and (b) 1460 nm......................................................................................................74 Measured material absorption characteristics of an as-grown and three intermixed band-edges from the 3-QW Aluminum-containing InGaAs/GaAs base structure on GaAs ............................................................75 Predicted DC extinction ratios of aluminum-containing InGaAs/GaAs QWs for lasing wavelengths ranging from 985 to 1010 nm for a 125 µm EAM intermixed to band-edges of (a) 966 nm (b) 960 nm and (c) 946 nm..............76 Predicted extinction efficiencies of aluminum-containing InGaAs/GaAs QWs for lasing wavelengths ranging from 985 to 1010 nm for a 125 µm EAM intermixed to band-edges of (a) 966 nm, (b) 960 nm, and (c) 946 nm..77 Measured material absorption characteristics of an as-grown and two intermixed band-edges from the 3-QW Aluminum-free InGaAs/InGaAsP base structure on GaAs grown by MOCVD ....................................................78 Predicted DC extinction ratios of aluminum-free InGaAs/InGaAsP QWs for lasing wavelengths ranging from 975 to 1000 nm for a 125 µm EAM intermixed to band-edges of (a) 966 nm and (b) 958 nm ................................78 Predicted extinction efficiencies of aluminum-free InGaAs/InGaAsP QWs for lasing wavelengths ranging from 975 to 1000 nm for a 125 µm EAM intermixed to band-edges of (a) 966 nm, and (c) 958 nm ...............................79 (a) Schematic cross-section of the EAM and (b) equivalent circuit model. Figure coutesy of Matt Dummer......................................................................80 Simulated 3dB bandwidth for EAMs of various length ..................................81 Schematic diagram of an MBE machine .........................................................86 (a) Schematic diagram of three layer structure of an InGaAsP xray calibration sample and (b) example x-ray diffraction scan .............................90 Photoluminescence spectra of bulk InGaAsP grown at various temperatures compared to a standard InGaAs/GaAs active design. .....................................91 AFM scans of InGaP films grown at (a) 480°C with a V/III ~30 and (b) 510°C with a V/III ~27. ...................................................................................92 xxi

5.5 5.6 5.7 5.8 5.9 5.10 5.11 5.12 5.13 5.14 5.15

5.16 6.1 6.2 6.3 6.4 6.5 6.6 6.7 6.8 6.9

Photoluminescence spectra of bulk InGaP. .....................................................93 Simulated and measured x-ray scans of a 3 QW base structure employing an InGaAs/InGaAsP active region, InGaAsP waveguide, AlGaAs ncladding, and InGaP implant buffer layer. ......................................................96 Schematic diagram of holography setup for both InP and GaAs gratings. .....97 Grating pitch as a function of stage angle. ......................................................98 Schematic diagram of diffraction measurement using prism for gratings on GaAs. .............................................................................................................100 Schematic diagram of simplified diffraction measurement using prism for gratings on GaAs. ..........................................................................................101 Etch rates of InGaP, bulk GaAs, and patterned gratings on GaAs in RIE 2. 102 SEM of first order gratings etched using a chlorine-based dry etch .............103 (a) Simulated etch monitor of entire laser structure and (b) experimental etch monitor of ridge dry etched using in-situ laser monitoring and stopped just above the upper waveguide. (c) SEM of etched ridge structure............105 AFM scans of oxide desorption (a) at hotter and for longer times and (b) optimal temperature and times.......................................................................107 AFM images of regrown gratings for growths rates of (a) 1 µm/hr, (b) 0.5 µm/hr, and (c) 0.2 µm/hr, grown at 600°C. The sample in (d) is regrown gratings with an initial slow growth rate of 0.2 µm/hr at V/III = 15, then finished with a 1 µm/hr growth rate at V/III = 25, grown at Tg = 585°C. .....109 SEM of overgrown gratings on (a) calibration sample and (b) full laser structure .........................................................................................................110 (a) Side-view schematic of the 1550 nm short-cavity DBR laser/modulator transmitter on InP and (b) corresponding photoluminescence spectra of active, modulator, and passive sections used in the 1550 nm transmitter .....115 (a) Cross-sectional SEM image of the modulator section and (b) SEM image of completed transmitter device..........................................................116 CW plot of DBR laser (a) output power and voltage versus current characteristics and (b) lasing spectrum..........................................................117 DC Extinction measured by (a) fiber coupling and (b) into an integrating sphere. ...........................................................................................................118 (a) Small-signal bandwidth response and (b) large-signal eye diagram at 10 Gb/s for a 125 µm long EAM. ......................................................................119 1550 nm design curves, including data points from fabricated DBR lasers..120 Side-view schematic and corresponding SEM of the 980 nm short-cavity DBR laser/EAM transmitter on GaAs for (a) HR rear mirror design A and (b) DBR rear mirror design B........................................................................121 Plot of (a) inverse differential efficiency versus active FP laser length and (b) differential efficiency versus length of passive/EAM section of active/passive FP lasers. ................................................................................123 CW plot of 980 nm DBR laser (a) output power and voltage versus current characteristics and (b) lasing spectrum..........................................................124

xxii

6.10 DC extinction and extinction efficiency of three 125µm long EAM with differing degrees of intermixing, 11 nm, 25 nm, and 28 nm of detuning......125 6.11 (a) Test setup used to obtain 10 Gb/s BER and eye diagrams. (b) Electrical to electrical response of a 125 µm EAM with larger pad size.......................126 6.12 10 Gb/s (a) eye diagram and (b) Back-to-back BER.....................................127 6.13 Electrical to electrical response of a 125 µm EAM for 40 Gb/s operation ...128 6.14 (a) Test setup used to obtain >10 Gb/s BER and eye-diagrams. (b) 10, 20, 30, and 40 Gb/s input eye diagrams ..............................................................129 6.15 (a) 20 (b) 25 (c) 30 (d) 35 and (e) 40 Gb/s optical eye diagrams ..................130 6.16 (a) 20 (b) 25 (c) 30 (d) 35 and (e) 40 Gb/s electrical eye diagrams ..............130 6.17 Error-free bit error rate measurements tested back-to-back at (a) 20 Gb/s and 25 Gb/s using the 25 GHz amplifier and (b) 30 Gb/s and 35 Gb/s using the 40 GHz amplifier .....................................................................................131 6.18 (a) Broad area and (b) narrow ridge FP laser characteristics using the Aluminum-free active region design. The inset in (b) shows the plot of the threshold characteristic temperature..............................................................134 B.1 B.2

Plot of (a) inverse differential efficiency versus active FP laser length and (b) threshold modal gain versus threshold current density for broad area laser design A, B, C, D, and E .......................................................................157 SIMS scans of (a) InGaAs/GaAs aluminum-containing active region design and (b) InGaAs/InGaAsP aluminum-free active region design grown by MOCVD and regrown by MBE.....................................................................159

xxiii

LIST OF TABLES 1.1 1.2

Comparison of the benchmark transmitters at the datacom and telecom wavelengths .......................................................................................................9 Comparison of various transmitter technologies for ‘receiverless’ interconnect applications .................................................................................10

2.1 2.2 2.3 2.4

1.55 µm laser epilayer structure on InP...........................................................25 980 nm laser epilayer structure on GaAs.........................................................27 Material parameters for 7 QW ridge laser structure on InP. ..........................31 Material parameters for 3 QW ridge laser structure on GaAs.........................34

3.1 3.2

Summary of optimized QWI parameters on InP. ............................................42 Epitaxial base structures used in intermixing experiments of Al-free alloys on GaAs. ..........................................................................................................48 Summary of optimized QWI parameters for Al-free alloys on GaAs. ............51

3.3 5.1

5.3 5.4

Summary of flux conditions used for InGaAsP lattice matching calibrations.......................................................................................................89 Summary of InGaP film roughness and the corresponding growth conditions.........................................................................................................92 3 QW Al-Containing Active Base Structure ...................................................94 3 QW Al-Free Active Base Structure ..............................................................95

6.1 6.2

Summary of power consumption for the 980 nm transmitters ......................132 Comparison of the benchmark transmitters at the datacom wavelengths .....133

A.1

Complete Process Flow for Integrated DBR Laser – EA Modulator on GaAs substrate ...............................................................................................143

B.1 B.2 B.3 B.4

Variations of Broad Area Laser Designs. ......................................................155 Generic Aluminum-containing Active Region Broad Area Laser Structure.155 Aluminum-free Active Region Broad Area Laser Structure grown by MBE156 Aluminum-free Active Region Broad Area Laser Structure grown by MOCVD ........................................................................................................156 Performance summary of Broad Area Laser Designs ...................................157

5.2

B.5

xxiv

Chapter 1: INTRODUCTION AND MOTIVATION The demand for increased bandwidth and density in interconnects has steadily risen over the past decade. This has been fueled by two main applications. The first is in the data center environment, resulting from the explosive growth of the Ethernet. The second is in high performance computing, driven by supercomputers for applications like high energy physics, climate forecast, genomic data and computing, and others. All these applications result from the growth and development of fast Ethernet switches and routers, servers and storage, multi-core and multithreaded CPUs, and inter-processor communication. This demand for bandwidth and density will continue to rise, as will processor speeds, number of processors per system, and wider data buses. And so parallel optical interconnects present an approach to alleviate this demand at the rack-to-rack ( 30 dB is represented by ∆ , and this single mode condition is satisfied when 2 times the mode spacing is greater than ∆ . This is illustrated in Fig. 2.7(b), where ∆ is plotted as a function of grating length for various κ. As ∆ increases to higher values, ∆ rises back up at longer grating lengths due to a flatter stopband in the reflectivity spectra. Also plotted is 2 times the mode spacing for a 150 µm long gain section. When the DBR is too short, single mode condition is not satisfied. Note also that the mode spacing does not vary greatly with κ. Mode spacing is more influenced by the length of the gain section, and reaches a value of ~.5 nm at a gain section of 200 µm, as shown in Fig. 2.8(a). Using a DBR instead of a HR coating for the rear mirror results in a slightly longer cavity length, reducing the mode spacing slightly, as can be seen in the dotted lines in Fig. 2.8(a). The effective length of a DBR mirror is plotted in Fig. 2.8(b) for varying κ.

29

(a) (b) Fig. 2.8 (a) Mode spacing versus grating length of a DBR laser using a 90% rear mirror obtained by HR coating (solid) or DBR (dashed) for gain section lengths ranging from 50 to 200 µm. (b) Effective mirror length of a DBR for κ values of 100, 300, 500, 700, and 900 cm-1.

2.4

PERFORMANCE SIMULATIONS

After evaluating the conditions to satisfy single mode emission and choosing initial designs for the short-cavity DBR laser, simulations are performed to investigate actual laser performance. This includes analysis using realistic material parameters and includes on-chip heating which can limit the performance of short-cavity lasers. 1.55 µm lasers on InP are first presented, then 980 nm lasers on GaAs. The device structure simulated consists of a 90% HR rear mirror, short gain section, and a front DBR mirror.

2.4.1 1550 NM ON INP Because of the mature growth and process development performed through many generations of graduate students at UCSB, the material parameters for 1.55 µm surface ridge lasers on InP are consistent and well established. These are listed in

30

Table 2.3. Material parameters for 7 QW ridge laser structure on InP.

Material Parameter

Value

ηi αi αip αim Γgo Jtr To & T1 ξ

70% 9.7 cm-1 3.5 cm-1 10 cm-1 67 cm-1 2 466 A/cm (67 A/cm2 per well) 65 K & 122 K 0.68 W/cm-°C

Table 2.3 and were extracted from test structures fabricated on the same chip as the transmitter results presented in Chapter 6.

In designing a short-cavity laser, tradeoffs exist between the operating current as a function of mirror reflectivity and active region length. The merits of performance are operating current levels at 10 and 20 mW output powers.

We begin by

simulating the operating current, I, as a function of front mirror reflectivity, R1, as

qPo (α i + α m ) + I th . F1η i h υα m

described by [1],

I =

(α i + α m )L

(2.6)

Ith can be solved using the gain equation,

I th = wL a NJ tr exp

Γ g o La

,

(2.7)

where N is the number of quantum wells, Jtr is the transparency current density, Γ is the confinement factor, and go is the material gain. Without taking heating into account, the operating current as a function of active region lengths is plotted in Fig. 2.9 for front mirrors with κLg of .3, .6, .9, and 1.2. As can be seen, 10 and 20 mW output powers can be obtained at around 25 and 50 mA, respectively. As κLg is increased, the target output powers can be obtained at shorter cavity lengths up to a limit of around 25 µm without significant current increase penalty.

31

Fig. 2.9. 1.55 µm laser operating current as functions of active region length for families of output powers of 1 (blue), 10 (green), and 20 mW (red) and front mirror κLg of .3 (solid), .6 (dashed), .9 (dashed-dotted), and 1.2 (dotted).

The on chip temperature rise, ∆T, can also be simulated as given by Eq. 2.8 and

(

)

iterated with Eq. 2.9 to find the equilibrium operating current [1].

∆ T = IV d + I 2 R s − Po Z t , ⎛T ⎞ I = I th + I po exp ⎜⎜ ⎟⎟ . ⎝ T1 ⎠

(2.8) (2.9)

Ith can be represented by Ith=Ioexp(T/To). To is the characteristic temperature, T1 is the above-threshold characteristic temperature, Io and Ipo are constants, and Zt is the

ln (4t / w ) , La πζ

thermal impedance given by [1],

Zt =

(2.10)

where t is the substrate thickness, and ξ is the thermal conductivity. The effect of including heating can be seen in Fig. 2.10(a) with the resulting on-chip temperature rise plotted in Fig. 2.10(b). As can be seen, heating greatly limits how much the cavity length can be reduced while still maintaining the desired output powers. At the shorter cavity lengths, higher biases are required, which results in higher power dissipation that forces the output power to roll over. Higher κLg prevents useable output power from leaving the cavity, resulting in higher on-chip heating, and subsequently higher bias levels. From these simulations, the shortest cavity length

32

(a) (b) Fig. 2.10. 1.55 µm laser (a) operating current and (b) on chip-temperature rise as functions of active region length for families of output powers of 1 (blue), 10 (green), and 20 mW (red) and front mirror κLg of .3 (solid), .6 (dashed), .9 (dashed-dotted), and 1.2 (dotted).

for achieving 10 mW of output power is ~50 µm for κLg of .6-1.2. For higher output powers of 20 mW, the minimum cavity length is ~75 µm for κLg between .6 and .9. From these simulations we have chosen the gain section of the device at 75 and 110 µm. Front DBR lengths of 8, 12 and 16 µm long were chosen, corresponding to κLg between .5 and 1 by using deep gratings with a κ = 650 cm-1.

2.4.2 980 NM ON GAAS Shifting focus now to the 980 nm designs, the same performance curves are simulated using the material parameters outlined in [1] and summarized in Table 2.4. First beginning without heating effects, the operating current as a function of active region lengths is plotted in Fig. 2.11 for front mirrors with κLg of .3, .6, .9, and 1.2. As can be seen, 10 and 20 mW output powers can be obtained at around 15 and 25 mA, respectively. These are significantly lower than the InP material system owing to the higher achievable

i

and greater go. As κLg is increased, the target output

powers can be obtained at shorter cavity lengths up to a limit of around 15 to 20 µm without significant current increase penalty.

33

Table 2.4. Material parameters for 3 QW ridge laser structure on GaAs.

Material Parameter

Value

ηi αi αip αim Γgo Jtr To & T1 ξ

90% 15 cm-1 15 cm-1 15 cm-1 100 cm-1 2 225 A/cm (75 A/cm2 per well) 100 K & 200 K 0.45 (W/cm-°C)

Again, however, such short-cavities are unrealistic since the current densities would be too high resulting in excess heating. Furthermore, contact and series resistances scale higher at smaller dimensions, further increasing the power dissipation that leads to heating. Thus, the performance of the short-cavity DBR lasers are replotted taking into consideration heating effects, as shown in Fig. 2.12. As can be seen from the figures, the shortest cavity length for achieving 10 mW of output power is ~25 µm for κLg of .6-1.2, corresponding to a current minimum of ~15 mA. For higher output powers of 20 mW, the minimum cavity length is ~50 µm for κLg between .3 and .6, obtainable at current levels of ~30 mA. Again, for higher κLg, the on-chip

Fig. 2.11. 980 nm laser operating current as functions of active region length for families of output powers of 1 (blue), 10 (green), and 20 mW (red) and front mirror κLg of .3 (solid), .6 (dashed), .9 (dashed-dotted), and 1.2 (dotted).

34

Fig. 2.12. 980 nm laser (a) operating current and (b) on chip-temperature rise as functions of active region length for families of output powers of 1 (blue), 10 (green), and 20 mW (red) and front mirror κLg of .3 (solid), .6 (dashed), .9 (dashed-dotted), and 1.2 (dotted).

temperature rise quickly begins to affect the laser performance, pushing the operating currents to higher levels.

The chosen device dimensions for the 980 nm DBR lasers were kept the same as the InP device to make use of the same mask plates. Again, active region lengths are 75 and 110 µm, and front DBR lengths ranged from 8 to 16 µm. Thus, κLg values of .5 to 1 were targeted, which corresponding to grating etch depths of close to 30 nm.

2.5

SHORT-CAVITY DBR LASER DESIGN CHAPTER CONCLUSIONS

This chapter detailed the design of the short-cavity DBR laser used in this dissertation. The epitaxial layers for the InP and GaAs lasers were presented, and the design dimensions presented for satisfying 30 dB of side mode suppression. The performance of the short-cavity lasers was simulated showing the limits to the cavity length when heating is included. It is clearly seen that in the 980 nm GaAs-based material system, shorter-cavities by almost a factor of two are obtainable to achieve the same performance levels when compared to the 1.55 µm InP-based material system. Furthermore, the operating current levels are reduced by about a factor of

35

two. The main reasons for this are the higher achievable injection efficiency and the higher characteristic temperatures for GaAs-based materials.

This supports the

desire to develop these short-cavity transmitters on GaAs. Devices with active regions as low as 75 µm will be fabricated, capable of achieving our goal of up to 20 mW of output power.

36

REFERENCES [1] [2]

[3]

[4]

[5] [6]

[7]

[8]

[9]

L. Coldren, and S. Corzine, Diode Lasers and Photonic Integrated Circuits, New York: John Wiley & Sons, Inc., 1995. E. J. Skogen, “Quantum Well Intermixing for Wavelength Agile Photonic Integrated Circuits”. Ph.D. Dissertation, Department of Electrical and Computer Engineering, University of California Santa Barbara. June 2003. K. Takabayashi, S. Sekiguchi, A. Hayakawa, S. Tomabechi, A. Uetake, and H. Kuwatsuka, “Mode-Hop-Free and Electrically Wavelength-Tunable Laser Array with 39.5 nm Tuning Range Using Tunable Distributed Amplification DFB Structure,” Proc. International Semiconductor Laser Conf., paper no. TuB5, Hawaii, 2006. H.-C. Kim, H. Kanjo, T. Hasegawa, S. Tamura, and S. Arai, “1.5-µm Wavelength Narrow Stripe Distributed Reflector Lasers for High-Performance Operation,” IEEE J. of Sel. Topics in Quant. Electron., vol. 9, no. 5, pp. 11461152, 2003. H.-C. Kim, K. Ikeda, and Y. Fainman, “Resonant waveguide device with vertical gratings,” Optics Letters, vol. 32, no. 5, pp. 539-541, 2007. R.M. Lammert, S.D. Roh, J.S. Hughes, M.L. Osowski, and J.J. Coleman, “MQW DBR Lasers with Monolithically Integrated External-Cavity Electroabsorption Modulators Fabricated without Modification of the Active Region,” IEEE Photon. Tech. Lett., vol. 9, no. 5, pp. 566-568, 1997. J. S. Barton, “The Integration of Mach-Zehnder Modulators with Sampled Grating DBR Lasers,” Ph.D. Dissertation, Materials Department, University of California, Santa Barbara, June 2004. D.A. Louderback, “Monolithically Integrated Vertical Cavity Lasers and Resonant Detectors for Free-Space Optical Interconnects,” Ph.D. Dissertation, Department of Electrical and Computer Engineering, University of California, Santa Barbara, June 2003. V. Jayaraman, Z.-M. Chuang, and L.A. Coldren, “Theory, Design, and Performance of Extended Tuning Range Semiconductor Lasers with Sampled Gratings,” IEEE J. of Quant. Electron., vol. 29, pp. 1824-1834, 1993.

37

Chapter 3: INTEGRATION PLATFORM: QUANTUM WELL INTERMIXING This chapter explores the various material choices available for integration in GaAs, namely aluminum-free and aluminum-containing alloys.

Because quantum well

intermixing (QWI) is the desired platform for integration, a significant emphasis is placed in developing QWI techniques for the two different material systems, as well as a review of QWI in InP. The process parameters for each intermixing process are identified, and their effects discussed. Lastly, a QWI model is presented to explain the results, and a material system and its corresponding intermixing method are chosen for the work.

3.1

REVIEW OF QUANTUM WELL INTERMIXING

Disordering is the interdiffusion process between interfaces of two distinct alloys, such as a superlattice. When applied to the quantum well superlattice of a laser active region, for example, disordering can be labeled quantum well intermixing. Intermixing of the quantum well/barrier superlattice constituents results in a controlled reshaping of the as-grown square wave potential profile into a sinusoidal profile. This results in an observable blue-shift of the photoluminescence (PL) emission due to an increase of the quantized energy states in the conduction and valence of the quantum well.

Due to the metastable nature of heterointerfaces, interdiffusion can occur with enough input energy, such as at high temperatures. However, interdiffusion can also occur using some type of catalyst, such as introduction of vacancies or impurities into the crystal lattice. Thus, there are many techniques for enhancing QWI and can

38

be grouped into three categories, Impurity-Induced Disordering (IID) [1], ImpurityFree Vacancy Disordering [2], and Ion-Implantation Enhanced Disordering (IIED) [3].

IID was the first method used for intermixing, and is based on introducing an outside impurity species into the sample to act as a catalyst and induce disordering. The impurities, commonly dopants, applied with a high temperature anneal, cause the diffusion of dopants to induce group-III or group-V diffusion in the crystal, thereby promoting intermixing. A wide range of impurities have been studied in the past, including Zn [4], Si [5], Be [6], Se, Mg [7], and many others. Although IID has been shown to be successful, the introduction of dopants used to promote intermixing could have adverse effects on the electrical nature of the device structure.

IFVD is a method that does not introduce a new species into the sample. Rather, this approach relies on the creation of vacancies and their diffusion through the sample to induce intermixing. As a result this approach does not have any doping side effects and is a popular approach for QWI.

Common approaches for IFVD are to use a

dielectric capping layer on the surface of the sample that, when coupled with a high temperature anneal, either enhances or suppresses the creation of vacancies that can diffuse through the crystal to induce intermixing. In both the GaAs and InP material systems, SiO2 is commonly used as a cap because it promotes the outdiffusion of Ga into the SiO2, and the remaining group-III vacancies then diffuse through the lattice [2,8-11]. Other parameters that affect the amount of intermixing include anneal times and temperatures, distance of the cap from the region to be intermixed, and even the method the dielectric cap is deposited.

For example, chemical vapor

deposited SiO2, sputtered SiO2 [12], and spin on glass [13,14] all possess unique intermixing characteristics.

Dielectrics that have been shown to suppress

intermixing include SixNy and those containing fluorides, such as SrF2 [8,11,14].

39

The last category, IIED, can be a subset of the first two, but because of its versatility, deserves its own category. As the name suggests, IIED uses an ion-implantation of a particular atomic species to create damage in the crystal lattice. Coupled with an anneal, the defects, or vacancies, created by the damage diffuse through the structure to induce intermixing. The implanted species can be either be an impurity, such as Zn [15], Si, [16,17], Be, B, F, Ar [18], or an already existing element (impurity-free) in the sample, such as Ga [19], P [20], As [3,20]. Parameters that affect the amount of intermixing include anneal times and temperatures, implant dose, and energies that can range from MeV down to tens of keV [3,21]. This method can be applied to both full and half laser structures, and has been shown to have very good special resolution on the order of a micron [3,22]. Because the implant can be easily masked, along with precise placements of the implant depth and dose, IIED is a very attractive approach for photonic integrated circuits (PICs).

3.2

UCSB QUANTUM WELL INTERMIXING TECHNIQUES

Here at UCSB, previous work has been performed to study various intermixing processes, both in GaAs and InP. The most well understood and robust process is the ion-implantation enhanced intermixing developed by Dr. Skogen and further applied and studied by Dr. Raring for the integration of multi-section PICs [23-25]. This intermixing process for InP will be reviewed in brief here and was applied as the integration platform for short-cavity DBR laser-modulators at 1.55 µm presented in this work. Intermixing in GaAs has been more developmental, and has not yielded the wide success as it has in InP. Dr. Naone and Dr. Lofgreen developed different intermixing techniques applied towards the goal of lateral confinement in VCSELs [26,27]. Their approaches will be briefly discussed; however, the QWI used in this work is slightly modified and expanded upon.

40

3.2.1 INP BASED QWI APPROACH The InP based QWI approach used in this work employs impurity-free ionimplantation enhanced disordering. This technique relies on the diffusion of point defects, created during a P+ implantation, through the MQW active region to promote intermixing. The active region used in this effort employs a constant groupIII well/barrier composition such that we can assume that all interdiffusion takes place on the group-V As-P lattice sites. Because QWI does not change the average composition, but only slightly changes the compositional profile, there is a negligible index discontinuity at the interface between adjacent sections.

This eliminates

parasitic reflections that often result from abrupt compositional interfaces within PICs that can degrade performance [28].

Implantation and processing details can be found in several references [23,29], however, the key steps in this QWI technique involves a low energy P+ implant into a patterned epitaxial base structure grown by MOCVD, as shown in Fig. 3.1(a). This is followed by a rapid thermal anneal (RTA) to drive point defects captured by the

(a)

(b)

Fig. 3.1 (a) Centered MQW epitaxial base structure used for the QWI process. (b) Plot of PL peak shift versus RTA time for non-implanted (red, diamonds), implanted (blue, circles), and implanted samples with the buffer layer removed at an intermediate step (green, squares).

41

Table 3.1 Summary of optimized QWI parameters on InP.

Energy (keV) 100

KEY QWI PARAMETERS Implant Rapid Anneal Dose (cm-2) Temperature (°C) Encapsulate Temperature (°C) 40 nm 5.00E+14 200 675 SixNy

implant buffer layer through the active region to initiate intermixing. Table 3.1 summarizes the implant and anneal parameters for this intermixing technique, which was found to yield the maximum amount of PL peak shift from a single implant while maintaining high PL intensity.

A key attribute of this QWI method is the capability to define multiple quantum well band edges on a single chip without repeated implantation steps. This method uses the selective removal of the point defects created during the ion implantation to effectively halt the intermixing process at the desired level in a specific region. Once the desired band edge is reached, the anneal is stopped and the InP buffer layer is removed in that region using wet selective etching. Since it is the InP implant buffer layer that harnesses the point defects, or the intermixing catalyst, the band edge ceases to shift in these etched regions with further annealing. The sample is then subjected to additional intermixing for further blue-shifting in regions where the implant buffer layer remains intact. After intermixing, the remaining implant buffer layer is removed, and regrowth of the upper p-cladding is performed, with no observance of residual disordering in the material. Thus, intermediate band edges are used for EAM sections of the device, and severely intermixed regions are employed in low loss mirror and passive waveguide sections. This method has been employed and proven successful for the realization of high-performance highfunctionality PICs possessing active, intermediate, and passive MQW regions [23].

3.2.2 GAAS BASED QWI APPROACH

42

While QWI in the GaAs material system has been widely studied in literature, it is a newer and unestablished process here at UCSB. Because of the requirement for fabricating gratings and performing a regrowth, many of the published techniques for QWI would be an incompatible process. Early work performed by Dr. Naone and Dr. Lofgreen used an ion-implantation approach with Si as the implant species. Reproducibility and results from this were varying, however, because lowtemperature methods were needed to measure the intermixed PL coupled with the fact that a red-shift was observed from the intermixed QWs immediately indicated that this process was not acceptable for this work. Further approaches explored by Dr. Lofgreen included IID and IFVD using SiO2 as a dielectric cap and Si as an asgrown impurity. This approached proved somewhat successful, with greater than 50 nm of shift observed in the intermixed material [27]. However, the Si diffused through the active region as well, raising issues as to how it would affect the electrical performance of the device. Moreover, no more than 30 nm of band edge shift would be necessary for this work, as will be discussed later. Nevertheless, the advances made by using a sacrificial In.49Ga.51P layer provided the basis for the intermixing of aluminum-containing alloys grown by MBE. In addition, a new IIED process for QWI was developed for aluminum-free alloys in GaAs, which could

Fig. 3.2 Bandgap energy and wavelength vs. lattice constant of various III-V semiconductors at room temperature.

43

provide new possibilities for device design.

3.3

GAAS BASED MATERIALS

Historically, AlGaAs alloys at UCSB were grown by MBE. As shown in Fig. 3.2, the binary alloys, GaAs and AlAs, are latticed-matched, and its growth window by MBE has been well researched [30]. Also from Fig. 3.2, the ternary compound In.49Ga.51P is latticed matched to GaAs, but its growth at UCSB has only been studied over the last few years.2 Lastly, an entire range of InGaAsP quaternaries (Q) latticed-matched to GaAs is also possible, as shown in Fig. 3.3. The In1-xGaxAs1-zPz alloys span an energy range from 1.42 eV (GaAs) to 1.9 eV (In.49Ga.51P), and its

Fig. 3.3 Contours of constant band gap (solid lines) and constant lattice spacing (dashed lines) in the x-y compositional plane for In1-xGaxAsyP1-y [31]. The compositional values x and y can be chosen to obtain a particular band gap for a given lattice constant. Band gap is indirect in the shaded area. The highlighted red line represents the GaAs lattice constant. 2 AlInP ternary and AlInGaP quaternaries can also be latticed-matched to GaAs, however, these compounds were not explored because of growth difficulties by MBE and MOCVD.

44

lattice constant, a, and composition, x and z (z = 1 – y) is governed by Vegard’s law as given by [32],

a = 6.0584 − 0.405 x − 0.190 z − 0.0123 xz .

(3.1)

The bandgap, Eg, at room temperature can be calculated using [32]:

E g = 0.35 + 1.09 x + z + 0.33 xz − (0.45 + 0.28 z ) x(1 − x )

− (0.101 + 0.109 x) z (1 − z ) + 0.05 xz (1 − x )(1 − z ) (eV)

(3.2)

Due to the difficulty in growing mixed group-V compounds by MBE, these alloys are better suited for growth by MOCVD. Thus, both AlInGaP and InGaAsP alloys latticed-matched to GaAs have not been widely grown nor studied at UCSB.

Fig. 3.4 shows the available materials latticed-matched to GaAs chosen for building a laser emitting at 980 nm, indicating their bandgaps and relative band offsets to GaAs. The ability to grow these alloys at UCSB is also indicated. Historically,

Fig. 3.4 Available materials latticed-matched to GaAs for building a laser. Bandgaps and band offsets relative to GaAs are labeled in meV. UCSB growth capability for each material is indicated.

45

AlGaAs compounds were grown by MBE and InGaAsP quaternaries were grown by MOCVD. InGaP growth by MBE began a few years ago, and has expanded to include InGaAsP as discussed in this dissertation.

The QWs in all 980 nm lasers are In.2Ga.8As (InGaAs), which can use either GaAs barriers or In.2Ga.8As.55P.45 (InGaAsP

q=0.76

µm or 0.76Q). For our 980 nm lasers,

we have defined two designs: 1) aluminum-containing and 2) aluminum-free active region designs. In aluminum-containing active region designs, the active region consists of InGaAs QWs with GaAs barriers, and the separate confinement heterostructure (SCH) waveguide layer is Al.3Ga.7As. Again, this design can only be grown by MBE. In aluminum-free active region designs, InGaAs QWs are used, but now can use either GaAs or InGaAsP barriers, and the SCH waveguide layer is also InGaAsP. These designs are devoid of aluminum in the layers in close proximity to the active region, and can be grown by MBE or MOCVD. In both designs, the claddings consist of a large bandgap material such as a high aluminum content alloy such as Al.75Ga.25As for MBE-grown claddings or In.49Ga.51P (InGaP) for MOCVDgrown material. From this, Fig. 3.5 shows the simulated band profile of a typical laser structure that can be grown from the two different growth methods. Like in the

Fig. 3.5 Conduction Band profile of a typical laser structure grown by either MOCVD (red), or MBE (blue).

46

InP, process steps requires the laser be split into two growths; the first base structure growth would terminate at the upper SCH, and the second p-cladding regrowth done after intermixing and fabricating gratings. For the AlGaAs based laser grown by MBE, a p-GaAs layer is included which acts as the gratings and regrowth layer. This small bandgap layer has the potential to trap carriers, particularly holes, which can reduce the injection efficiency.

However, p-doping can help to improve

transport through the layer. Additionally electrons diffusing across the upper SCH can pool in the GaAs and recombine non-radiatively. It must be noted that while these two band structures shown in Fig. 3.5 were the ones used in this dissertation, studies can be performed on lasers with varying combinations of cladding, SCH, and barrier layers, grown in multiple steps by multiple growth techniques. However, to ultimately develop an integrated transmitter, our process must be compatible with intermixing and regrowth.

3.4

QWI ON ALUMINUM-FREE ACTIVE REGION DESIGNS ON GAAS

In developing new intermixing methods in the GaAs material system, one obvious

Fig. 3.6 Epitaxial base structure with either (InGaAs/InGaAsP) MQW or (InGaAs/GaAs) MQW active region, and GaAs or InGaP implant buffer layer.

47

Table 3.2 Epitaxial base structures used in intermixing experiments of Al-free alloys on GaAs.

Sample A B C D E

MQW Composition Thickness (nm) InGaAs / GaAs 9 / 11 InGaAs / GaAs 9 / 11 InGaAs / InGaAsP 9 / 11 InGaAs / InGaAsP 9 / 11 InGaAs / InGaAsP 8 / 10

Implant Buffer Layer Composition Thickness (nm) GaAs 200 InGaP 200 GaAs 200 InGaP 200 InGaP 500

approach was to mimic the P+ ion-implantation method that was successful in the InP material system. This implantation approach was applied to aluminum-free alloys latticed matched to GaAs, due to the parallels of phosphorus alloys (InGaP) and As-P quaternaries with constant group-III design for the waveguide and active region [33].

Previous literature has shown a similar approach for intermixing

aluminum-free alloys, although PL could only be detected at low temperatures [20].

Several parameters were varied to optimize the QWI process for Al-free alloys on GaAs; these include: 1) MQW composition, 2) implant buffer layer composition and thickness, 3) ion-implantation conditions, and 4) RTA conditions. To examine the effects of various active region designs and with varying implant buffer layers, five epitaxial base structures were grown by MOCVD, as shown in Fig. 3.6. Epitaxial base structures were optimized to be grown on (100) GaAs substrates misoriented 2° towards A. The MQW regions were composed of 8- or 9-nm In.185Ga.815As quantum wells and either GaAs or 0.76Q tensile strained 0.15% barriers 10- or 11nm thick. In the case of the InGaAs/InGaAsP MQW, the structure is a constant group-III design. The MQW was centered within a symmetric waveguide structure consisting of 70 nm of 0.76Q on either side of the MQW. An implant buffer layer was grown above the structure and designed to capture the ion implant, creating point defects above the active region, and consisted of either 200 nm GaAs, 200 nm of InGaP, or 500 nm of InGaP. The base structures are summarized in Table 3.2.

48

Fig. 3.7 Photoluminescence peak wavelength shift vs. RTA temperature for nonimplanted base structures annealed for 120 s. Sample A (InGaAs/GaAs) MQW with a GaAs cap (circles), sample B (InGaAs/GaAs) MQW with a InGaP cap (squares), sample C (InGaAs/InGaAsP) MQW with a GaAs GaAs cap (triangles), sample D (InGaAs/InGaAsP) MQW with an InGaP cap (diamonds), and sample E (InGaAs/InGaAsP) MQW with a 500 nm InGaP cap (stars).

A similar process to the QWI on InP was used, beginning with a P+ implant at an energy of 100 keV and a dose of 2E14 cm-2, carried out at a substrate temperature of 200°C, yielding a range of 110 nm in InGaP and 93 nm in GaAs. Like the InP QWI process, the samples were encapsulated during the anneal with 40 nm of SixNy to protect the sample surface from decomposition.

Rapid thermal anneal was

performed ranging from 650 to 900°C, and 15 to 180 s, respectively. PL peak shift of the MQW emission was measured using room-temperature PL with a pump wavelength of 780 nm.

First, the PL peak wavelength shifts for samples that are not implanted are plotted as a function of RTA temperature, shown in Fig. 3.7. The RTA time for these samples is 120 s. This demonstrates the temperature at which interfaces that have dielectric caps, yet have not been subjected to the implantation process, begin to interdiffuse. The two samples, A and B, which use an InGaAs/GaAs MQW, show an appreciable shift in the quantized energy state within the temperature range tested. In contrast,

49

Fig. 3.8 Photoluminescence peak wavelength shift vs. RTA temperature for implanted base structures annealed for 120 s. Sample D (InGaAs/InGaAsP) MQW with a 200 nm InGaP cap (red, circles), sample E (InGaAs/InGaAsP) MQW with a 500 nm InGaP cap (blue, squares), and sample E with an InGaP cap removed prior to annealing (green, triangles).

the samples, C, D, and E, which employ an InGaAs/InGaAsP MQW do not shift to such an extent.

Second, the PL peak wavelength shifts of samples subjected to implantation are shown in Fig. 3.8. The RTA time for these samples was again 120 s. The PL response of the samples that incorporate a GaAs implant buffer layer are not visible due to the damage from the implant in the GaAs layer, thereby blocking the photoexcited carriers from reaching the MQW, whereas for the samples with an InGaP cap the PL signal is present because the photocarriers are generated at the MQW due to the fact that InGaP is transparent at the pump wavelength. For this reason, only those samples that utilize an InGaP implant buffer layer are shown. Furthermore, it is only those samples that use the InGaAs/InGaAsP MQW that are stable at elevated temperatures when encapsulated with SixNy, and are of interest. Therefore, only samples employing the InGaAsP barriers are shown, samples D and E. Also shown in Fig. 3.8 is the PL peak wavelength shift of sample E, which has been implanted, and had the implanted InGaP buffer layer etched away prior to annealing.

50

Table 3.3 Summary of optimized QWI parameters for Al-free alloys on GaAs.

Energy (keV) 100

KEY QWI PARAMETERS Implant Rapid Anneal Dose (cm-2) Temperature (°C) Encapsulate Temperature (°C) 40 nm 2.00E+14 200 800 SixNy

All heterointerfaces are metastable by nature, and with enough input energy compositional gradients will interdiffuse without the need for a catalyst.

For

conservation of the as-grown MQW, it is essential that sharp heterointerfaces remain intact at elevated temperatures. As demonstrated in Fig. 3.7, the samples using InGaAs/GaAs MQWs have a tendency to interdiffuse at lower temperatures than those samples that use InGaAs/InGaAsP MQWs. This is due to the introduction of group-III vacancies at the sample/dielectric interface which have a tendency to interdiffuse species residing on the group-III sublattice only [34]. In the case of the InGaAs/GaAs MQW the intermixing takes place on the group-III sublattice, while in the InGaAs/InGaAsP MQWs, because of the same group-III composition is used in the wells and barriers the compositional gradient is only on the group-V sublattice and therefore remains intact in the presence of group-III vacancies. Therefore, we conclude that the group-V sublattice is more stable and less likely to interdiffuse at elevated temperatures than the group-III sublattice in samples encapsulated by SixNy. When considering the implanted structure, it is clear that the InGaAs/InGaAsP MQWs readily interdiffuse due to the introduction of point defects that are created in the InGaP layer during implantation.

A more substantial shift of the PL peak

wavelength is observed in sample E with a 500 nm thick implant buffer layer. The origin of the difference is not fully understood, however, we speculate that the proximity of the implant to the MQW and/or strain in the implant buffer layer could play a role. Nevertheless, the transport of point defects through InGaP is readily achievable in either structure.

51

Like the QWI process in InP, an important aspect of the process is to examine the extent to which the wells and barriers interdiffuse once the implant buffer layer is removed. A lack of blue shift in the emission wavelength implies the MQW will be stable during future high-temperature processing, such as further anneals and regrowth. As shown in Fig. 3.8, significant intermixing begins to occur at 850°C for the sample with the implant buffer layer removed. Thus, in order to ensure the MQW will not interdiffuse, the anneal temperature should be kept below 850°C. With this information, it is possible to construct a process for the achievement of multiple band edges across the wafer using a single implantation process like in the InP QWI process. Table 3.3 summarizes the implant and anneal parameters for this intermixing technique, which was found to yield the maximum amount of PL peak shift from a single implant while maintaining high PL intensity for aluminum-free alloys on GaAs.

The QWI process is executed as illustrated in Fig. 3.9 and

explained in the following step by step description. Step 1: A 500 nm SixNy mask layer is deposited using PECVD and lithographically processed such that it remains in regions of the chip where no intermixing is desired. Ion implantation is performed using P+ at an energy of 100 keV, with a dose of 2E14 cm-2, at a substrate temperature of 200°C [33]. Step 2: After encapsulation of the sample with 40 nm of

Fig. 3.9 Schematic diagram illustrating key QWI processing steps for Al-free alloys on GaAs.

52

SixNy, the point defects are then partially diffused through the structure during a short 20-30 sec RTA at 800°C to achieve an intermediate band edge for use in the EAM sections. Step 3: The InGaP implant buffer layer above the EAM sections is then removed using a wet selective etch of 2:1 HCl:H2O. Step 4: The sample is then re-encapsulated with 40 nm of SixNy and subjected to an additional RTA, further blue-shifting the regions where the implant buffer layer remains. Like the QWI process in InP, the arrest of the blue shift is the result of removal of the abundance of point defects which reside in the implant buffer layer, which are necessary for intermixing. The resulting QWI curves of the aluminum-free alloys are shown in Fig. 3.10, for (a) MOCVD and (b) MBE grown material, respectively. Intermixing initially occurs rapidly with RTA time, and begins to saturate with increased intermixing time. 35 nm of peak shift was achieved with 3 minutes of anneal. Removing the InGaP buffer layer results in a complete halt even with further RTA time. Thus, with this process it is possible to achieve any number of band edges across the wafer, limited only by the practical number of lithographic process steps. For our proposed integrated transmitter, an intermediate band-edge of around 15-20 nm can used for the modulator, as will be discussed in Chapter 4. Although the

(a)

(b)

Fig. 3.10 Plot of PL peak wavelength shift versus of RTA time demonstrating multiple band edges using a single-ion implant for the aluminum-free active region design system on GaAs. (a) was from material grown by MOCVD and (b) by MBE. Symbols indicate non-implanted (red, diamonds), implanted (blue, circles), and implanted samples with the buffer layer removed at an intermediate step (green, squares).

53

intermixing trends are the same, we believe the scatter in the MBE data is due to higher defect concentration in the material. Because this was the first time InGaAsP quaternaries were grown by MBE at UCSB, as discussed in Chapter 5, the material quality will not be up to par as compared to InGaAsP grown by MOCVD. This explains the slight amount of intermixing in the nonimplanted regions for the MBE grown material when annealed.

3.5

QWI ON ALUMINUM-CONTAINING ACTIVE REGION DESIGNS ON GAAS

In developing QWI for aluminum-containing alloys, it was desirable to move away from previous IID work and focus on IFVD techniques to prevent any adverse doping effects. Dr. Lofgreen had already shown the ability to achieve 30 nm or more of shift using only SiO2 as a capping dielectric. Continuing his work, this section presents the intermixing process used for intermixing aluminum-containing alloys on GaAs.

The aluminum-containing active region design was grown by MBE containing

Fig. 3.11. Epitaxial base structure for intermixing aluminum-containing alloys on GaAs.

54

InGaAs QWs with GaAs barriers and an AlGaAs SCH waveguide. Again, due to processing restrictions such as fabricating buried gratings and regrowth, the base structure for this design demanded the need for a p-GaAs layer above the SCH followed by an undoped sacrificial InGaP layer, as shown in Fig. 3.11.

The

sacrificial InGaP layer is necessary to ensure a clean, defect-free surface following the intermixing and prior to grating fabrication and regrowth. GaAs could not be used for this sacrificial layer due to problems selectively etching against AlGaAs, thus finishing on an aluminum surface which would readily oxidize. The base structures, grown on exact cut (100) GaAs substrates, consisted of three 8 nm InGaAs QWs with 8 nm GaAs barriers. The MQW was centered within a symmetric waveguide structure consisting of 65 nm of Al0.3Ga0.7As on either side of the MQW. Above the upper SCH, a 65 nm GaAs grating/regrowth layer and 300 nm InGaP completed the base structure.

From previous work carried out at UCSB regarding intermixing using dielectric capping, optimizing the QWI process was more of a trial and error approach to find compatible intermixing processes. Initial work investigated using a combination of SixNy and SiO2 to suppress and enhance intermixing, respectively. While single films yielded the desired intermixing result, depositing multiple films of SixNy and SiO2 on top of each other did not create regions of intermixed and non-intermixed material. In addition, the strain mismatch of the PECVD deposited films resulted in cracks on the film and sample surface.

Modifying the work of Dr. Lofgreen, rather than depositing a SixNy dielectric cap onto the surface, a treatment of the InGaP surface proved a more effective technique to suppress intermixing. Thus, SF6 gas in an RIE plasma was used to fluorinate the surface and suppress intermixing. In this process, In-F and Ga-F bonds are formed on the sample surface without etching the semiconductor [27,35]. This process was compatible with the SiO2 in simultaneously patterning both intermixed and non-

55

intermixed regions on the sample. Problems arose, however, during initial attempts to etch off the InGaP. On occasion, the InGaP appeared to etch only under the intermixed material, and it was determined that the surface polymers created during the surface fluorination prevented the wet etchant from penetrating and cleanly etching off the sacrificial InGaP layer.

It was determined that many different

solutions could break down the surface polymers, such as strong solvents or developers. The most consistent solution turned out to be MF701 developer coupled with BHF, O2 plasma, and a solvent clean, and thus the following process flow was developed to successfully integrate intermixed and non-intermixed regions on the sample, as illustrated in Fig. 3.12. Step 1: Surface fluorination using SF6 gas in an RIE plasma for 15 minutes, immediately followed by depositing 100 nm of SiO2 using PECVD. Step 2: Active/Passive regions are lithographically patterned, and the SiO2 and surface fluorination is etched and removed using BHF and MF701 developer, respectively.3 Step 3: An additional 200 nm SiO2 is deposited and the backside of the sample is encapsulated with 100 nm SiO2. Step 4: The sample is annealed at 800°C to enhance intermixing underneath areas where the surface fluorination is removed.

Regions where the fluorination remained suppressed

Fig. 3.12. Schematic diagram illustrating key QWI processing steps for aluminum-containing alloys on GaAs. 3

Full process details are given in Appendix A.

56

Fig. 3.13. Plot of PL peak wavelength shift versus of RTA time for QWI of the Al-containing material system on GaAs. Symbols indicate fluorinated (red) and intermixed (blue) samples annealed at 850°C (diamonds), 800°C (squares), and 750°C (circles).

intermixing. Step 2 proved to be the most critical step for consistent, repeatable intermixing results.

Diligent care to ensure a clean polymer-free surface was

necessary for intermixing.

Unlike the ion-implantation enhanced intermixing

process, this process could not lend itself to achieving more than two band edges on the chip. Efforts to repeat the process in order to achieve three band edges resulted in the failure to halt the as-grown as well at the first intermixed band edge. It appears that the process alters the surface of the InGaP such that additional fluorination fails to adequately bond to and prevent Ga atoms from absorbing into the SiO2, and as a result, intermixing would occur everywhere on the sample. Three parameters were varied to observe changes in the intermixing process: sacrificial InGaP thickness, second SiO2 cap thickness, and RTA temperatures. Two InGaP thicknesses (150 nm, 300 nm) as well as two SiO2 cap thicknesses (100 nm, 200 nm) were investigated; however, both parameters did not result in significant differences in the amount of PL peak shift. Rapid thermal anneal was performed ranging from 750 to 850°C at variable times, and the results are plotted in Fig. 3.13. Like the ion-implantation intermixing approach, intermixing initially occurs rapidly

57

in the first 30 seconds but saturates with time.

The PL in areas with surface

fluorination hold steady over the entire anneal time. Over 30 nm of peak shift is possible at anneal temperatures of 850°C, and over 20 nm of differential shift is achieved at anneal temperatures of 800°C. As shown, this intermixing process is only capable of realizing two band edges on chip. Halting of intermediate band edges was not successful. However, it will be shown in the following chapter that for our 980 nm integrated EAMs, roughly 15 nm of intermixing would be sufficient to create efficient modulators.

3.6

QWI MODEL

During the rapid thermal annealing step in the intermixing processes, a redistribution of either the group-V or group-III well/barrier constituents occurs for the InGaAs/InGaAsP (aluminum-free) or InGaAs/GaAs (aluminum-containing) designs, respectively.

This redistribution effectively reshapes the abrupt well/barrier

interface such that the as-grown square wave potential profile becomes more sinusoidal in shape. In order to understand more precisely how the profile changes with intermixing, a model has been developed to predict the evolution of the bandedge profile as a function of group-V or group-III diffusion length and photoluminescence peak shift [24].

The model first simulates the as-grown square wave band-edge potential profile of the InGaAs/InGaAsP and InGaAs/GaAs active region designs calculated from known models of the compositional profile [32]. For the InGaAs/InGaAsP design, it assumes that redistribution only occurs on the group-V constituents (As and P); similarly for the InGaAs/GaAs design, redistribution is assumed to occur only on the group-III constituents (In and Ga). The redistribution of the respective constituents

58

is then determined using error function profiles as a function of group-V or group-III diffusion length [36]. The diffusion length, Ld, is given by Eq. 3.3, where D is the diffusion coefficient and T is the diffusion time. The redistributed arsenic, y(z), or gallium, w(z), profile, is then given by Eq. 3.4, where Lz is the as-grown well width, yo and wo is the initial arsenic or gallium profile, respectively, and z is the growth direction. It is important to note that since constant group-III or group-V active regions designs are used in this work, assuming diffusion on only the group-V or group-III lattice sites is valid and only a single diffusion length is required for the model, respectively.

Ld =

D *T

w ( z ), y ( z ) =

⎛ Lz + 2 z wo , y o ⎡ ⎢ erf ⎜⎜ III V 2 ⎣ ⎝ 4 ⋅ Ld , Ld

(3.3)

⎛ L − 2z ⎞ ⎟⎟ + erf ⎜⎜ z III V ⎝ 4 ⋅ Ld , Ld ⎠

⎞⎤ ⎟⎟ ⎥ ⎠⎦

(3.4) With the modified compositional profile as a function of active region position, the corresponding bandgap, quantized energy levels and carrier wavefunctions in the conduction and valence band are determined along with the emission wavelength.

In Fig. 3.14 (a) and (b) the simulated band-edge profiles are shown for the required

(a) (b) Fig. 3.14 Bandedge evolution contours for the (a) InGaAs/GaAs and (b) InGaAs/InGaAsP active region designs calculated for 10 nm and 20 nm shifts in peak photoluminescence wavelength, respectively.

59

diffusion lengths to achieve 10 nm and 20 nm shifts in PL peak for both the InGaAs/GaAs and InGaAs/InGaAsP active region designs, respectively. The obvious characteristic of these curves is that with higher levels of intermixing, the wells take on a more sinusoidal or parabolic shape. In the case of the InGaAs/GaAs design, after 30 nm of shift, the wells are almost completely smeared out, indicating full intermixing of the well/barriers. However, in the InGaAs/InGaAsP design, up to 80 nm is possible with intermixing of just the wells and barriers. This is due to the large group-V compositional gradient from the larger conduction and valence band offsets of the InGaAs/InGaAsP design. The higher bandgap material in the barriers of the standard structure will allow for a larger degree of intermixing before the wells are completely smeared out and become bulk-like. It must be noted that for the aluminum-containing active region design, if the intermixing includes diffusion of the SCH into the wells and barriers, the addition of aluminum can increase the amount of intermixing to the order of around 100 nm of PL shift [27].

The theoretical peak PL shift is plotted as a function of diffusion length for both the InGaAs/InGaAsP and InGaAs/GaAs active region designs in Fig. 3.15. As can be

Fig. 3.15 Simulated group-III and group-V diffusion length versus peak photoluminescence shift using band-edge evolution model for the InGaAs/GaAs (red, diamonds) and InGaAs/InGaAsP (blue, squares) designs, respectively.

60

seen from the figure, the shift in peak PL is expected to saturate for diffusion lengths on the order of 30-40Å for both MQW designs. This is easily understood since the saturation diffusion lengths are almost exactly half of the well widths for the two designs. While the experimental InGaAs/GaAs intermixing saturated as predicted from the model, it must be noted that the InGaAs/InGaAsP intermixing has also been able to experimentally achieve up to 80 nm of PL shift, as seen in Fig. 3.8. This occurs with higher implant dose [29] or with higher RTA temperatures.

The

drawbacks to the larger PL shift with higher RTA temperature is that the nonimplanted as-grown band-edge begins to shift as well, rendering the net shift less and process less controllable.

3.7

QWI INTEGRATION PLATFORM CHAPTER CONCLUSION

This chapter presented the intermixing techniques used for monolithically integrating active, passive, and modulator band-edges on a single chip. For the InP-based material system, we use a robust and well developed ion-implantation approach for the selective intermixing and integration of multiple band-edges. In the GaAs-based material system, two intermixing processes were developed: one for InGaAs/GaAs QWs grown by MBE for use in aluminum-containing active region designs and one for InGaAs/InGaAsP QWs grown by either MOCVD or MBE for use in aluminumfree active region designs.

The aluminum-free approach paralleled the ion-

implantation approach used for InP QWI, and was demonstrated to be capable of defining multiple band-edges on a chip. For the aluminum-containing approach, a combination of SiO2 dielectric capping and surface fluorination treatment was used to selectively intermix active and passive regions. All approaches were developed to be compatible with regrowth and sufficient for use as modulators and passive waveguides in the integrated DBR laser-modulator design.

61

REFERENCES [1]

N. Holonyak, Jr. “Impurity-Induced Layer Disordering of Quantum-Well Heterostructure: Discovery and Prospects,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 584-594, 1998. [2] S.K. Si, D.H. Yeo, K.H. Yoon, and S.J. Kim, “Area Selectivity of InGaAsPInP Multiquantum-Well Intermixing by Impurity-Free Vacancy Diffusion,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 619-623, 1998. [3] S. Charbonneau, E. Kotels, P. Poole, J. He, G. Aers, J. Haysom, M. Buchanan, Y. Feng, A. Delage, F. Yang, M. Davies, R. Goldberg, P. Piva, and I. Mitchell, “Photonic Integrated Circuits Fabricated Using Ion Implantation,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 772-793, 1998. [4] W.D. Laidig, N. Holonyak, Jr., M.D. Camras, K. Hess, J.J. Coleman, P.D. Dapkus, and J. Bardeen, “Disorder of an AlAs-GaAs superlattice by impurity diffusion,” Appl. Phys. Lett., vol. 38, pp. 776-778, 1981. [5] K. Meehan, N. Holonyak, Jr., J.M. Brown, M.A. Nixon, P. Gavrilovic, and R.D. Burnham, “Disorder of an AlxGa1-xAs-GaAs superlattice by donor diffusion,” Appl. Phys. Lett., vol. 45, pp. 549-551, 1984. [6] N. Kamata, K. Kobayashi, K. Endo, T. Suzuki, and A. Misu, “Growth temperature dependence of disorderings in a Be-doped GaAs/AlAs multilayered structure,” Jpn. J. Appl. Phys., vol. 26, pp. 1092-1096, 1987. [7] R.W. Kaliski, D.W. Nam, D.G. Deppe, N. Holonyak, Jr., K.C. Hsieh, and R.D. Burnham, “Thermal annealing and photoluminescence measurements on AlxGa1-xAs-GaAs quantum-well heterostructures with Se and Mg sheet doping,” J. Appl. Phys., vol. 62, pp. 998-1005, 1987. [8] I. Gontijo, T. Krauss, J.H. Marsh, and R.M. De La Rue, “Postgrowth Control of GaAs/AlGaAs Quantum Well Shapes by Impurity-Free Vacancy Diffusion,” IEEE J. Quant. Electron., vol. 30, pp. 1189-1195, 1994. [9] B.S. Ooi, K.McIlvaney, M.W. Street, A.S. Helmy, S.G. Ayling, A.C. Bryce, J.H. Marsh, J.S. Roberts, “Selective Quantum-Well Intermixing in GaAs/AlGaAs Structures Using Impurity-Free Vacancy Diffusion,” IEEE. J. Quantum. Electron., vol. 33, pp. 1784-1793, 1997. [10] B.S. Ooi, A.C. Bryce, J.H. Marsh, J.S. Roberts, “Effect of p and n doping on neutral impurity and SiO2 dielectric cap induced quantum well intermixing in GaAs/AlGaAs structures,” Semicond. Sci. Technol., vol. 12, pp. 121, 1997. [11] D. Hofstetter, B. Maisenhölder, and H.P. Zappe, “Quantum-Well Intermixing for Fabrication of Lasers and Photonic Integrated Circuits,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 794, 1998. [12] S. McDougall, O. Kowalski, C. Hamilton, F. Camacho, B. Qiu, M. Ke, R. De La Rue, A. Bryce, and J. Marsh, “Monolithic Integration via a Universal Damage Enhanced Quantum-Well Intermixing Technique,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 636-646, 1998.

62

[13] L. Fu, R.W. v. d. Heijden, H.H. Tan, C. Jagadish, L.V. Dao, and M. Gal, “Study of intermixing in a GaAs/AlGaAs quantum-well structure using doped spin-on silica layers,” Appl. Phys. Lett., vol. 80, pp. 1171-1173, 2002. [14] R.L. Naone, P.D. Floyd, D.B. Young, E.R. Hegblom, T.A. Strand, and L.A. Coldren, “Interdiffused Quantum Wells for Lateral Carrier Confinement in VCSEL’s,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 706-714, 1998. [15] E.P. Zucker, A. Hashimoto, T. Fukunaga, and N. Watanabe, “Ion-implanted Zn diffusion and impurity-induced disordering of an AlGaAs superlattice,” Appl. Phys. Lett., vol. 54, pp. 564-566, 1989. [16] J.J. Coleman, P.D. Dapkus, C.G. Kirkpatrick, M.D. Camras, and N. Holonyak, Jr., “Disorder of an AlAs-GaAs superlattice by silicon implantation,” Appl. Phys. Lett., vol. 40, pp. 904-906, 1982. [17] T. Venkatesan, S.A. Schwarz, D.M. Hwang, R. Bhat, M. Koza, H.W. Yoon, P. Mei, Y. Arakawa, and A. Yariv, “Dose-dependent mixing of AlAs-GaAs superlattices by Si ion implantation,” Appl. Phys. Lett., vol. 49, pp. 701-703, 1986. [18] Y. Hirayama, Y. Suzuki, and H. Okamoto, “Ion-Species Dependence of Interdiffusion in Ion-Implanted GaAs-AlAs Superlattices,” Jap. J. of Appl. Phys., vol. 24, pp. 1498-1502, 1985. [19] P.G. Piva, P.J. Poole, M. Buchanan, G. Champion, I. Templeton, G.C. Aers, R. Williams, Z.R. Waselewski, E.S. Koteles, and S. Charbonneau, “Enhanced compositional disordering of quantum wells in GaAs/AlGaAs and InGaAs/GaAs using focused Ga+ ion beams,” Appl. Phys. Lett., vol. 65, pp. 621-623, 1994. [20] P.J. Poole, S. Charbonneau, G.C. Aers, T.E.Jackson, M. Buchanan, M. Dion, R.D. Goldberg, and I.V. Mitchell, “Defect diffusion in ion implanted AlGaAs and InP: Consequences for quantum well intermixing,” J. Appl. Phys., vol. 78, pp. 2367-2371, 1995. [21] P.G. Piva, S. Charbonneau, R.D. Goldberg, I.V. Mitchell, G. Hillier, and C. Miner, “Ion-implantation enhanced intermixing of Al-free 980 nm laser structures,” Appl. Phys. Lett., vol. 73, pp. 67-69, 1998. [22] J.E. Haysom, P.J. Poole, Y. Feng, E.S. Koteles, J.J. He, S.Charbonneau, R.D. Goldberg, and I.V. Mitchell, “Lateral Selectivity of Ion-Induced Quantum Well Intermixing,” J.Vac. Sci. Technol:A, vol. 16, pp. 817-820, 1998. [23] E.J. Skogen, J.W. Raring, G.B Morrison, C.S. Wang, V. Lal, M. Mašonović, and L.A. Coldren, “Monolithically Integrated Active Components: A Quantum Well Intermixing Approach,” IEEE J. Sel. Topics in Quant. Electron., vol. 11, pp. 343-355, 2005. [24] E.J. Skogen, “Quantum Well Intermixing for Wavelength Agile Photonic Integrated Circuits,” Ph.D. Dissertation, Department of Electrical and Computer Engineering, University of California, Santa Barbara, June 2003. [25] J.W. Raring, “Advanced InP Based Monolithic Integration Using Quantum Well Intermixing and MOCVD Regrowth,” Ph.D. Dissertation, Department of Materials Engineering, University of California, Santa Barbara, Sept. 2006.

63

[26] R.L. Naone, “Lateral Carrier Confinement in Vertical Cavity Lasers by Selective Intermixing,” Ph.D. Dissertation, Department of Materials Engineering, University of California, Santa Barbara, 2000. [27] D.D. Lofgreen, “Investigation of Selective Quantum Well Intermixing in Vertical Cavity Lasers,” Ph.D. Dissertation, Department of Electrical and Computer Engineering, University of California, Santa Barbara, Dec. 2004. [28] J. Binsma, P. Thijs, T. VanDongen, E. Jansen, A. Staring, G. VanDenHoven, and L. Tiemeijer, “Characterization of Butt-Joint InGaAsP Waveguides and Their Application to 1310 nm DBR-Type MQW Gain-Clamped Semiconductor Optical Amplifiers,” IEICE Trans. Electron., vol. E80-C, pp. 675-681, 1997. [29] E.J. Skogen, J.S. Barton, S.P. DenBaars, and L.A. Coldren, “A Quantum-WellIntermixing Process for Wavelength-Agile Photonic Integrated Circuits,” IEEE J. Sel. Topics in Quant. Electron., vol. 8, pp. 863-869, 2002. [30] M.A. Herman and H. Sitter, Molecular Beam Epitaxy, Fundamentals and Current Status, New York: Springer, 1996. [31] G.P. Agrawal and N.K. Dutta, Semiconductor Lasers, New York: Van Nostrand Reinhold, 1993. [32] J.R. Flemish, H. Shen, K.A. Jones, M. Dutta, and V.S. Ban, “Determination of the composition of strained InGaAsP layers on InP substrates using photoreflectance and double-crystal x-ray diffractometry,” J. Appl. Phys., vol. 70, no. 4, pp. 2152-2155, 1991. [33] E.J. Skogen, L.A. Coldren, J.W. Raring, S.P. DenBaars, “Multiple-band-edge quantum-well intermixing in the InGaAs/InGaAsP/InGaP material system,” Appl. Phys. Lett., vol. 86, 241117, 2005. [34] A.S. Helmy, J.S. Aitchison, and J.H. Marsh, “Quantitative Model for the Kinetics of Compositional Intermixing in GaAs-AlGaAs Quantum-Confined Heterostructures,” IEEE J. Sel. Topics in Quant. Electron., vol. 4, pp. 653-660, 1998. [35] L.R. Williston, I. Bello, and W.M. Lau, “X-ray photoelectron spectroscopic study of the interactions of CF+ ions with gallium arsenide,” J. Vac. Sci. Technol. A, vol. 11, no. 4, pp. 1242-1247, 1993. [36] E.H. Li and W.C.H. Choy, “Electro-Absorptive Properties of Interdiffused InGaAsP/InP Quantum Wells,” J. Appl.Phys., vol. 82, no. 8, pp. 3861-3869, 1997.

64

Chapter 4: INTEGRATED ELECTROABSORPTION MODULATOR DESIGN As briefly alluded to in Chapter 1, an integrated electroabsorption modulator (EAM) is used to modulate the continuous wave light output from the laser. This has its advantages over direct modulation because of bandwidths and signal quality reasons. We also choose an EAM over other popular modulators such as Mach-Zehnder modulators [1,2] because of footprint and density requirements in interconnect applications. The EAM can be developed in small form factor while also achieving high bandwidths, good signal quality, low drive voltage, and low power consumption.

After presenting the intermixing process in the last chapter, we now turn to evaluating the material absorption characteristics of the intermixed QWs for use as EAMs. This is important as it determines the amount of intermixing, or detuning, in the modulator section of the transmitter for greatest extinction and efficiency and lowest insertion loss.

This chapter first begins with a brief overview of

electroabsorption modulators. The characterization of the QWs is evaluated using a photocurrent-based spectroscopy tool, and the absorption curves are used to extract extinction characteristics. Lastly, the electrical model of the modulators is presented to predict the frequency response of the integrated EAMs.

4.1

OVERVIEW OF ELECTROABSORPTION MODULATORS

The electroabsorption modulator, shown in Fig. 4.1(a) relies on loss, or absorption, to control the transmission of light through the structure. The waveguide structure can be the same as the laser structure, for instance, the surface ridge waveguide used

65

Fig. 4.1 (a) Schematic of an waveguide EA modulator and (b) typical EAM transfer function. The EAMs are of equal length and material absorption coefficient, but with different confinement factors or 4.5% (squares) and 9% (diamonds).

in this work, such that the same fabrication steps to define the laser can be used to monolithically integrate the EAM simultaneously.

The control of the absorption-edge with applied bias is the fundamental property in an EAM. This electroabsorption effect occurring near the fundamental band-edge of the absorbing material is common in III-V semiconductors such as the GaAs and InP material systems used in this work. The transmission through the EAM is generally

(

)

described as an extinction (Z) in units of dBs and is determined by Eq. 4.1,

Z = 10 log e −α abs (V ,λ )ΓL ,

(4.1)

where Γ is the overlap integral between the optical mode and the absorbing material, L is the effective length of the modulator, and αabs(V, ) is the voltage/wavelength dependent material absorption coefficient. A typical transfer function of an EAM is shown in Fig. 4.1(b). The transmission is reduced with increasing reverse bias due to an increase in the electroabsorption coefficient. The extinction efficiency (dZ/dV) of the EAM is defined as the slope of the transfer function, with units of dB/V. The absorption coefficient can be controlled through QW design, while the confinement factor and EAM length can be controlled by through epitaxial layer thickness design and device layout, respectively. Fig. 4.1(b) illustrates two EAMs with identical

66

Fig. 4.2 Schematic illustrating the FK electroabsorption effect where the absorption edge energy is reduced with an applied field. Figure courtesy of Dr. Sysak and Dr. Raring.

lengths and absorption coefficients but with one having twice the confinement factor. As can be seen in the figure, a 2-fold increase in confinement factor will result in 2-fold increase in extinction and a 2-fold increase in extinction efficiency at a fixed bias.

Alternatively, a 2-fold increase in confinement enables a 2-fold

decrease in device length and hence a 2-fold lower diode capacitances for higher device bandwidths.

In large signal data transmission, the extinction ratio of a modulator is used to define the ratio of the average “1” level power to the average “0” level power in units of dBs and can be measured from eye diagrams. As such, it is important to have EAMs possessing large extinctions with reasonable drive swings to achieve distinguishable transmitted signals with appropriate power consumption.

4.1.1 THE FRANZ-KELDYSH EFFECT As mentioned, the absorption coefficient is strongly dependent on the material. In bulk semiconductor materials, this mechanism of electroabsorption is called the Franz-Keldysh (FK) effect, illustrated in Fig. 4.2. With an applied bias, an electric field is induced and tilts the electron and valence bands. This allows photons with energy lower than the bandgap to be absorbed due to tunneling of the carrier

67

Fig. 4.3 Schematic illustrating the QCSE where the absorption edge energy is reduced with an applied field. Figure courtesy of Dr. Sysak and Dr. Raring.

wavefunctions [3]. Thus, the absorption edge is red-shifted with reverse bias. An example of bulk semiconductor band-edge with applied bias is shown in Fig. 4.4, for a bulk InGaAsP with bandgap wavelength of 1390 nm. As seen in the figure, the absorption edge shifts to longer wavelengths as the electric field is increased.

4.1.2 THE QUANTUM CONFINED STARK EFFECT The second electroabsorption mechanism commonly used in GaAs and InP based EAMs is called the Quantum Confined Stark Effect (QCSE), which occurs in quantum well structures and is illustrated in Fig. 4.3. Carriers are confined to the QW, and the electron-hole pairs form excitons, which can be seen in the absorption spectra as an absorption peak whose strength is governed by the width and depth of the well along with the overlap of their carrier wavefunctions [4]. As like the FK effect when an electric field is applied, the conduction and valence bands are tilted, altering the shape of the potential well. The altered well potential results in a reduced overlap of the electron and hole wavefunctions, and the magnitude of the exciton peak is reduced. The energy levels of the electron and hole are also reduced,

68

Fig. 4.4 Example of material absorption coefficient versus wavelength using FK effect (bulk) and QCSE (QW).

thus lower energy photons can be absorbed. Again, this is seen as a red-shift in the absorption edge. Also seen in Fig. 4.4 is the absorption spectrum of an InGaAsP QW structure with applied bias, showing the sharp absorption peak resulting from the exciton. The decrease in exciton strength and shift of the absorption edge to longer wavelengths with increased bias is due to the reduction of wavefunction overlap and separation of the electron-hole quantized energy levels, respectively. In comparing the absorption spectra due to the FK effect and QCSE, it is apparent the QCSE provides significantly higher absorption.

Furthermore, the separation

between the bias contours in the QCSE material is greater than that in the FK due to the presence of the exciton. The increased separation implies higher achievable EAM efficiency since the same change in bias will result in greater changes in the absorption coefficient. Thus, in terms of balancing required drive power, efficiency, bandwidth, and insertion loss, EAMs using the QCSE will result in superior performance over the FK effect.

4.2

PHOTOCURRENT SPECTROSCOPY

69

Photoabsorption or photocurrent spectroscopy is an effective tool used to characterize the material absorption properties of bulk and QW structures, shown in the previous section. This has been widely applied to study the properties of QWs in GaAs, InP, and other semiconductors [5-11], and has been used to accurately predict modulator performance [12-14]. However, the study of intermixed, or reshaped potential profiles, QWs is not common [15,16]. Because the well depth, well width, and well shape influence the electroabsorption characteristics of the material [16,17], we use photocurrent spectroscopy as a design tool for optimizing the amount of detuning for our integrated QW-intermixed EAMs.

There are several performance aspects of an optical modulator that need to be considered. The first is the drive voltage required for a certain modulation depth or on/off ratio, where lower drive voltages facilitate reduced device power consumption. The optical insertion loss of the modulator influences the output power of the device. If the loss is too high, increased amplification is required prior to launching the signal into fiber and hence higher power is consumed. These are affected by the shape and amount of detuning of the EAM absorption edge.

4.2.1 TEST SETUP AND ANALYSIS TECHNIQUES The automated UCSB photocurrent spectroscopy test setup is shown in Fig. 4.5. The Varian Cary 500 spectrophotometer provides a wavelength-tunable light source possessing a wide UV/Vis/NIR bandwidth ranging from 175 nm to 3000 nm. The optical input beam is incident upon the photodiode through a 3 kHz chopper and a 1 mm diameter aperture. The photodiode contacts are connected to a Keithley 2400 LV source meter, which provides a range of reverse biases during the wavelength scans. The generated photocurrent is measured as a voltage across a 700 Ω resistor by an EG&G 5210 lock-in amplifier, which filters out leakage currents and other

70

Fig. 4.5 Schematic diagram of the photocurrent spectroscopy test setup.

noise. Lastly, the input light intensity is calibrated using a Newport 1835C optical power meter, not shown in the schematic.

Circular pillar photodiodes with a 250 µm radius were fabricated for the photocurrent spectroscopy measurements, as shown in the side-view schematic in Fig. 4.6. Light incident surface normal to the device is enabled by a ring contact scheme. Backside metal was used for bottom contacts as well to minimize back reflection into the diode, thereby ensuring single-pass light absorption. Photodiodes were fabricated and tested with as-grown and varying levels of intermixing. The simple fabrication process for these photodiodes can be completed very rapidly, making this absorption-edge spectroscopy an efficient tool for designing and modeling QWI EAMs, as well as further extending it to other photonic integrated circuit components.

The photocurrent data is converted to a measure of electrons per second or equivalently the number of photons absorbed per second. The incident optical power is known, and is scaled down appropriately to account for absorption in the contact

71

Fig. 4.6 Generic cross sectional schematic of a photodiode used for photocurrent spectroscopy measurements.

layer and reflection from the air/semiconductor surface.

Calculation of the

absorption coefficient is performed using:

⎛ Pin − Pc ⎞ ⎟⎟ / L , P in ⎠ ⎝

α abs = − ln⎜⎜

(4.2)

where αabs is the power absorption per unit length of material, Pin is the number of photons entering the photodiode per second, Pc is the number of photons per second absorbed and measured as photocurrent, and L is the length of the absorbing material. The extracted absorption data can be easily manipulated to predict EAM extinction, given by Eq. 4.1 earlier, since we know the overlap, Γ, between the optical mode and the absorbing material, and the length of the EAM. The low input optical power used in the photocurrent spectroscopy experiments makes the predictions valid for optimally designed, ideal devices, in which second order effects such as heating, contact resistance, saturation and optical scattering are presumed negligible. It will be shown in Chapter 6 that the DC extinction predictions to an extent agree well with real EAM devices fabricated from the same material. It is important to note that the diodes fabricated for the photocurrent spectroscopy and the integrated EAMs contain unintentionally doped, intrinsic active regions. While the background doping level of the MBE systems was not directly measured using CV, the SIMS shown in Appendix B indicate the background doping levels are in the low 1016 for silicon.

The presence of doping in the active region would introduce

variations in field in the active region which can alter the shape of the absorption

72

curves. However, it is desirable to maintain an undoped active region for a larger depletion width in the EAM, leading to lower capacitance and higher bandwidth. Any wavelength-independent errors (e.g., scattering, measurement of optical input) in determination of αabs in Eq. 4.2 would simply mean that predictions from Eq. 4.1 are correct but for a slightly different modulator length. While not discussed in this thesis, additional aspects of EAM design such as large-signal chirp behavior, important at longer wavelengths for long-haul fiber communications, can be simulated and are described in detail in [15,17].

4.2.2 1550 NM EAM DESIGNS Photocurrent spectroscopy was performed on a variety of active designs to evaluate the optimum band-edge placement for the intermixed QWs. In the InP material system, the 7 QW base structure described in previous chapters was tested. Photodiodes were fabricated with PL peaks at 1537, 1483, and 1429 nm, and their corresponding absorption characteristics with applied voltage from 0 to -6V are plotted in Fig. 4.7. As the degree of intermixing increases, and the exciton peaks

Fig. 4.7 Measured material absorption characteristics of an as-grown and two intermixed band-edges from the 7-QW base structure on InP used in this work. The curtain-like feature of the absorption curves are boxed that dictate the EAM performance within that wavelength range.

73

shift to shorter wavelengths, the exciton magnitude decays.

With increased

intermixing, the exciton peaks also decay more rapidly as a function of applied bias voltage. These affects can be attributed to the QWs becoming wider and shallower through intermixing. In addition to the 1483 nm band-edge shown in Fig 4.7, there was also a 1460 nm band-edge fabricated but not shown to avoid clutter in the figure. These two band-edges are both potentially suitable for use as the EAM in the transmitter. In Fig. 4.8(a), the simulated DC extinction ratios of a 125 µm EAM for operating lasing wavelengths ranging from 1500 to 1555 nm are calculated using the 1483 nm absorption-edge data.

At short wavelengths, the simulated modulator

suffers from high insertion loss (high extinction ratio a 0 V), whereas at longer wavelengths, the modulator has a poor extinction ratio. It appears that the ideal operating wavelength for this modulator would be between 1520 and 1540 nm, where extinction ratios larger than 20 dB are obtainable with maximum slopes of up to 10 dB/V, and insertion loss is less than 5 dB. Simulated DC extinction ratios of an equal 125 µm EAM is calculated using the 1460 nm absorption-edge data and is shown in Fig. 4.8(b). As can be seen, the additional 23 nm of intermixing has a profound effect on the characteristics of the EAM at the same operating wavelengths. The performance of the simulated 1460 nm QWI EAM is similar to that of the 1483 nm QWI EAM, but the optimal lasing wavelength is now at

(a) (b) Fig. 4.8 Predicted DC extinction ratios for lasing wavelengths ranging from 1500 to 1555 nm for a 125 µm EAM intermixed to band-edges of (a) 1483 nm and (b) 1460 nm.

74

approximately 1500 nm. The maximum extinction ratio obtainable with low (10 Gb/s BER and eye-diagrams. Dashed lines denote optical connections made with optical fibers. (b) 10, 20, 30, and 40 Gb/s input eye diagrams.

conjuction with two calibrated 20 GHz New Focus amplifiers. With the higher bandwidth of these devices, large-signal measurements were performed to investigate data rates ranging from 10 up to 40 Gb/s. The challenge associated with measuring 980 nm devices at the higher bit rates was finding a receiver configuration with suitable responsivity, bandwidth, and conversion gain at that wavelength. The test setup used is shown in Fig. 6.14(a). The NRZ signal from the pattern generator was amplified using a 38 GHz BW SHF 806E 26 dB amplifier and fed into an Anritsu V255 65 GHz bias tee.

This was used to drive the EAM which was

terminated with a 50 Ω load mounted directly on the ground-signal probe. This direct probing scheme was used to avoid the parasitics associated with the carrier and wire bonding. The input eyes can be seen in Fig. 6.14(b), with output voltage swings ranging from 2 Vpp at 10 Gb/s down to 1.6 Vpp at 40 Gb/s. Approximately -1 dBm of power was coupled into a single mode lensed-fiber. The optical signal can be measured using the Agilent 86109A oscilloscope which contains a 30 GHz internal photodiode, or fed into a 25 GHz New Focus 1414 IR photodetector and amplifier to measure electrical eyes. Two amplifiers were used: either a 25 GHz BW SHF 100CP 18 dB amplifier or a 40 GHz BW SHF 810 30 dB amplifier. In the first configuration, the resulting signal had ~50 mV amplitude and was used to obtain 20 and 25 Gb/s BER; the second configuration produced ~150 mV amplitude and was used to obtain 30 and 35 Gb/s BER. This signal was then measured using the oscilloscope or SHF 12100A/11100A BERT.

129

(a)

(c)

(b)

(d)

(e)

Fig. 6.15 (a) 20 (b) 25 (c) 30 (d) 35 and (e) 40 Gb/s optical eye diagrams.

Figure 6.15 shows open optical eye diagrams taken at 20, 25, 30, 35, and 40 Gb/s, measured using the oscilloscope optical port. They demonstrate RF extinction ratios ranging from 5 down to 3.8 dB using a DC bias voltage of -2.8 V, and increased extinction ratios are possible with increased drive swing. These results are the

(a)

(c)

(b)

(d)

(e)

Fig. 6.16 (a) 20 (b) 25 (c) 30 (d) 35 and (e) 40 Gb/s electrical eye diagrams.

130

fastest modulated signal from a transmitter at the datacom wavelengths [3].9 Figure 6.16 shows the corresponding electrical eye diagrams taken at 20, 25, 30, 35, and 40 Gb/s. As can been seen, the eyes are open; however, degradation occurs at 40 Gb/s due to bandwidth limitations of the New Focus photodetector. The noise in the electrical eyes is due to the receiver electronics. The photodetector also limited the word length used. The photodetector and amplifier receiver configuration was tested at 1550 nm using a commercial transmitter, and it was found that the receiver electronics could not support 231-1 word lengths; error floors on in the order of 10-8 occurred at 20 and 25 Gb/s. BER testing on the fabricated 980 nm transmitters with 27-1 word lengths demonstrated error-free operation down to 10-11 for 20 and 25 Gb/s with ~.5 dB power penalty between the two data rates, shown in Fig. 6.17(a), and 30 and 35 Gb/s, shown in Fig. 6.17(b). 40 Gb/s operation possessed an error floor of 5e-8 at the maximum average received power of -3 dBm. From this, the power penalty increased by ~1 dB per 5 Gb/s as the bit rate increased from 30 to 40 Gb/s.

Fig. 6.17 Error-free bit error rate measurements tested back-to-back at (a) 20 Gb/s (blue, squares) and 25 Gb/s (red, triangles) using the 25 GHz amplifier and (b) 30 Gb/s (green, circles) and 35 Gb/s (magenta, diamonds) using the 40 GHz amplifier.

9

Chang, et. al., recently achieved unpublished 35 Gb/s eye diagrams and 35 Gb/s bit error rates [4].

131

Table 6.1 Summary of power consumption for the 980 nm transmitters. Device

Bit Rate (Gb/s)

Laser Power (mW)

EAM Power (mW)

EAM Load Power (mW)

Total Power (mW)

Power per Gb (mW/Gb/s)

A

10

115.2

15

0.9

131.2

13.1

B

40

153

19.6

1.2

173.8

4.3

6.2.4 980 NM TRANSMITTER POWER CONSUMPTION Using the same equations described earlier, the power consumption can be calculated for the 980 nm transmitters, and is summarized in Table 6.1. For the 10 Gb/s transmitter, the DBR laser operated at 40 mA and 2.88 V, equaling 115.2 mW of power consumption. The modulators operated at 10 Gb/s at a DC bias of -2.5 V, generating -6 mA of photocurrent. Using an on chip DC blocking capacitor, the total modulator power consumption would be 15.9 mW. This total power consumption for the transmitter was 131.2 mW, or 13.1 mW/Gb/s. For the 20 GHz bandwidth device which operated up to 40 Gb/s, the DBR laser was biased at 60 mA and 2.55 V, equaling 153 mW of power consumption. The modulators were biased at -2.8 V, generating -7 mA of photocurrent; the total modulator power consumption was 20.8 mW. At 40 Gb/s, the total power consumption was 173.8 mW; Per gigabit/second, the power consumption to data rate ratio was 4.3 mW/Gb/s.

6.2.5 980

NM

TRANSMITTERS USING ALUMINUM-CONTAINING ACTIVE

REGION DESIGN CONCLUSION The investigation of short-cavity transmitters at 980 nm using the aluminumcontaining active region design on GaAs has yielded DBR lasers integrated with high-speed EAMs by using a QWI integration process. While the output powers are still relatively low, improvements can be made with higher material quality for

132

Table 6.2 Comparison of the benchmark transmitters at the datacom wavelengths. High-Speed Transmitters

Transmitter Technology

Mode

Modulation Method

Wavelength (nm)

Bandwidth (GHz)

Open Eyes (Gb/s)

Lear, et al. [5]

VCSEL

Single & Multi

Direct Mod

850

21.5

12

Hopfer, et al. [6]

QD VCSEL

Multi

Direct Mod

980

16.6

20

20

Chang, et al. [7]

VCSEL

Multi

Direct Mod

980

17.9

Yashiki, et al. [3]

BTJ VCSEL

Multi

Direct Mod

1100

24

30

30

Weisser, et al. [8]

MQW FP

Multi

Direct Mod

1100

40

20

This Work

DBR+EAM

Single

EAM

980

20+

40

BERT (Gb/s)

35

increased injection efficiency, and further refined processing to improve gratings quality and reduce excess scattering loss in the mirrors. It was found that the optimum range for intermixing was between 10-20 nm in order to balance high extinction and efficiencies with minimized insertion loss modulators, confirming prediction from photocurrent spectroscopy experiments.

Lastly, we have

demonstrated transmitters at 980 nm with open eye diagrams at 40 Gb/s, and errorfree operation at 35 Gb/s for the first time. Compared to the benchmark reports to date for transmitters at the datacom wavlengths, as listed in Table 6.2, these results represent the highest bit-rate operation of any transmitter at the 830-1100 nm datacom wavelength range. Traditional approaches to increase the bandwidth of these EAMs would be to decrease the length but at the expense of lower extinction and efficiency. An alternative approach would be to use an integrated traveling wave (TW) EAM design, which have been shown to achieve 100 GHz bandwidths and 80 Gb/s eye diagrams at 1540 nm for a discrete TW-EAM [9].

6.3

980

NM

LASERS USING ALUMINUM-FREE ACTIVE REGION

DESIGN

133

This last section presents the initial work in developing lasers employing the aluminum-free active region design grown by MBE. While integrated DBR lasermodulator transmitters could not be successfully fabricated, the material parameters extracted from broad area and narrow ridge FP lasers are presented. The issues limiting transmitter development are given, and suggestions are presented for realizing high-performance transmitters.

The layer structure consisted of 3 MQW centered between a 0.76Q InGaAsP SCH, and used Al.75Ga.25As cladding layers as described in Chapters 3 and 4. For a first pass attempt in fabricating lasers, the entire structure through the p-contact was grown in one step. Broad area lasers with 50 µm wide emitters and 3 µm narrow ridge FP lasers were fabricated, as shown in Fig. 6.18. The injection efficiency of the material was 69% with an internal loss of 10 cm-1. The narrow ridge FP lasers experienced a drop in injection efficiency down to 55% with 8.6 cm-1 of internal loss. This reduction in

i

between broad area and narrow ridge lasers is generally not

observed but can be attributed to increased trap states in the upper SCH region introduced during the ridge dry etch. Reports in literature have demonstrated

i

>95% for similar aluminum-free laser structures grown by either MBE or OMVPE

(a) (b) Fig. 6.18 (a) Broad area and (b) narrow ridge FP laser characteristics using the Aluminum-free active region design. The inset in (b) shows the plot of the threshold characteristic temperature.

134

[10,11]. In addition to the low injection efficiency in the broad area material, the material gain was also lower at 809 cm-1, while the transparency current density was good at 32 A/cm2. Lastly, these devices posses a characteristic temperature, To, of 154 K below 75°C and a 123 K above 75°C, and T1 of 567 K. Initial attempts to regrow the upper p-cladding resulted in Fabry-Perot lasers with extremely low injection efficiencies of around 10-15% while losses remained between 5-10 cm-1.

While the material gain remained relatively the same, the

transparency current density doubled to around 66 A/cm2. Moreover, SEM or SIMS did not indicate any processing or doping errors. We conclude that excess trap states are formed at the InGaAsP to AlGaAs regrowth interface, thereby lowering the injection efficiency and increasing the transparency current density. This could be a result of non-optimized regrowth conditions on the InGaAsP interface. Further study is required to develop ideal oxide desorption conditions by MBE on the As-P surface. Additional details can be found in Appendix B.

While transmitters were not fabricated using the aluminum-free alloys, advances were made in the initial development of these alloys by MBE. Broad area and fabryperot lasers grown in one step were fabricated with performance on par with the aluminum-containing active region design.

From this, further development is

required to optimize regrowth conditions on As-P surfaces by MBE. Coupling this with the QWI process capable of achieving multiple band-edges on chip, high performance integrated transmitters are capable of being realized using this material system.

6.4

SHORT-CAVITY

TRANSMITTER

CONCLUSIONS

135

RESULTS

CHAPTER

In this chapter we have presented results of short-cavity DBR lasers integrated with EA modulators, at 1.55 µm on InP and at 980 nm on GaAs.

The efficient

transmitters at 1.55 µm possess some of the best modulator performance in terms of bandwidth per power dissipation. 25 GHz bandwidths were achieved and >10 dB RF extinction was achieved with only a .6 Vpp drive swing at 10 Gb/s. At 980 nm, we have demonstrated 40 Gb/s transmitters for the first time. Error-free operation at 35 Gb/s was achieved using a 1.6 Vpp drive swing.

Monolithic

integration was used to integrate DBR lasers with high-speed QWI EAMs in the GaAs material system, resulting in the fastest demonstrated transmitters in the 8301100 nm datacom wavelength range. Lastly, the initial development of aluminumfree InGaAsP-based structures at UCSB for use in 980 nm lasers was performed, with demonstration of lasers with good thermal characteristics. This provides an alternative and flexible material system for advanced integration and further development of high performance photonic integrated circuits on GaAs.

136

REFERENCES [1]

M.M. Dummer, M.N. Sysak, J.W. Raring, A. Tauke-Pedretti, and L.A. Coldren, “Widely Tunable Single-Chip Transceiver for 10 Gb/s Wavelength Conversion,” Proc. Device Research Conf., paper. no. II.A-3, 2006. [2] J.W. Raring, E.J. Skogen, L.A. Johansson, M.N. Sysak, J.S. Barton, M.L. Mašanović, and L.A. Coldren, “Demonstration of Widely Tunable Single-Chip 10-Gb/s Laser-Modulators Using Multiple-Bandgap InGaAsP Quantum-Well Intermixing,” IEEE Photon. Tech. Lett., vol. 16, no. 7, pp. 1613-1615, 2004. [3] K. Yashiki, N. Suzuki, K. Fukatsu, T. Anan, H. Hatakeyama, and M. Tsuji, “1.1-µm-Range Tunnel Junction VCSELs with 27-GHz Relaxation Oscillation Frequency,” Proc. Optical Fiber Communication Conf., paper no. OMK1, Anaheim, CA, USA, 2007. [4] Y.C. Chang, C.S. Wang, and L.A. Coldren, “High-efficiency, high-speed VCSELs with 35 Gb/s error-free operation,” submitted for publication in IEE Electron. Lett. [5] K.L. Lear, M. Ochiai, V.M. Hietala, H.Q. Hou, B.E. Hammons, J.J. Bana, and J.A. Nevers, “High-Speed Vertical Cavity Surface Emitting Lasers,” Proc. Conf. on Lasers and Electro-Optics, paper no. WA1, 1997 (Invited) [6] F. Hopfer, et al., “20 Gb/s 85°C Error Free Operation of VCSEL Based on Submonolayer Deposition of Quantum Dots,” Proc. International Semiconductor Laser Conf., paper no. WC3, Hawaii, USA, 2006. [7] Y.C. Chang, C.S. Wang, L.A. Johansson, and L.A. Coldren, “High-efficiency, high-speed VCSELs with deep oxidation layers,” IEE Electron. Lett., vol. 42, no. 22, pp. 1281-1282, 2006. [8] S. Weisser, et al., “Damping-Limited Modulation Bandwidths Up to 40 GHz in Undoped Short-Cavity In0.35Ga0.65As-GaAs Multiple-Quantum-Well Lasers,” IEEE Photon. Tech. Lett., vol. 8, no. 5, pp. 608-610, 1996. [9] Y. Yu, R. Lewen, S. Irmscher, U. Westergren, L. Thylen, U. Eriksson, W.S. Lee, “80 Gb/s ETDM Transmitter with a Traveling-Wave Electroabsorption Modulator,” Proc. Optical Fiber Communications Conf., paper no. OWE1, 2005. [10] S.H. Groves, J.N. Walpole, and L.J. Missaggia, “Very high efficiency GaInAsP/GaAs strained-layer quantum well lasers ( =980 nm) with GaInAsP optical confinement layers,” Appl. Phys. Lett., vol. 61, no. 3, pp. 255-257, 1992. [11] P. Savolainen, M. Toivonen, H. Asonen, M. Pessa, and R. Murison, “HighPerformance 980-nm Strained-Layer GaInAs-GaInAsP-GaInP Quantum-Well Lasers Grown by All Solid-Source Molecular-Beam Epitaxy,” IEEE Photon. Tech. Lett., vol. 8, no. 8, pp. 986-988, 1996.

137

Chapter 7: CONCLUSIONS 7.1

SUMMARY

The work presented in this dissertation details a broad range of results, the enabling technologies, and avenues for improvements.

The original goal to develop an

alternative technology to VCSELs for data-link parallel optical interconnect applications yielded a design for short-cavity lasers monolithically integrated with EAMs for higher-power, higher-speed, and high-efficiency in a ‘receiverless’ architecture.

These goals to a large extent were realized, finalized with the

development of the fastest single-mode integrated transmitter at the datacom wavelength range. The integrated EAM achieved bandwidths in excess of 20 GHz, demonstrated open eyes up to 40 Gb/s, and possessed error-free operation up to 35 Gb/s. The DBR lasers explored a short-cavity design, and successful demonstration of single-mode 980 nm transmitters were achieved. The demonstrated transmitters provide a suitable alternative to traditional VCSELs for use in parallel optical interconnect applications.

The short-cavity laser design was validated though its development on InP. Due to its mature integration platform, 1550 nm devices were initially fabricated to verify the design concept. These transmitters performed according to design, with up to 15 mW output power at 50 mA. These EAMs also exhibited high bandwidths (25 GHz) with low drive voltages (.6 Vpp), which were among the best reported at the time of demonstration.

Although the transmitter consisted of two main components, a DBR laser and an integrated EAM, this dissertation has laid the foundation for future GaAs-based transmitter and receiver development for high-functionality photonic integrated

138

circuits. The tools for monolithic integration, which are commonplace in the InPmaterial system but infrequently pursued in GaAs, have been translated and developed here in this work for GaAs. These include:

1.

Further development and refining of QWI techniques to enable monolithic integration of active and passive components on a single chip. Two intermixing techniques were developed and its consequences to band-edge properties studied, namely IFVD using SiO2 capping on aluminum-containing active region designs, and IFVD using ionimplantation enhanced disordering on aluminum-free active region designs.

By developing two independent QWI methods, greater

flexibility is provided for integrating a wide variety of epilayer designs and structures. 2.

Exploration of regrowth by MBE over patterned and unpatterned GaAs. Critical to photonic integration is the need for high-quality overgrowth, and the work presented in the dissertation is a stepping stone to enabling that technology.

3.

Development of mixed group-V InGaAsP growth on GaAs by solidsource MBE. By adding the capability to grow phosphorus-based alloys on GaAs, future research can be enabled at UCSB’s leading-edge facility in MBE growth. This expands more than just lasers, but also devices such as photovoltaics and electronics.

7.2

FUTURE DIRECTIONS

While the work in this dissertation has yielded the fastest single-mode integrated transmitter at the datacom wavelengths, there are always improvements that could be done to enhance the performance further. The limitations to its current performance

139

can be grouped into material and processing aspects, which if improved, can directly lead to higher yields, greater output powers, lower drive voltages, and ultimately better efficiencies.

1.

Improve material quality. The biggest factor in the low output power and efficiency performance of the 980 nm devices is the low injection efficiency. Typical injection efficiencies for GaAs based lasers should be above 90%. If this could be achieved, the output power would nearly double.

2.

Further improving regrowth, or expand to MOCVD-based regrowth techniques.

While regrowth by MBE is promising, regrowth has

traditionally been carried out by MOCVD. Improving regrowth can lead to two advantages.

First, injection efficiency will increase over the

current values, which, by MBE, sees about a 20% or more decline over as-grown lasers. And secondly, higher quality regrowth over gratings will lead to better reflectors with less optical scattering. Other regrowth preparations can be explored, such as use of hydrogen radicals for cleaning and low-temperature oxide desorption. Additionally, regrowth by MBE over mixed group-V AsP surfaces needs to be studied. 3.

In terms of processing, the biggest hurdle is the grating formation. While holography is a proven approach, the immersion holography technique used in this work is difficult and inconsistent. A more practical approach would be to use a shorter wavelength source to pattern gratings without any fluids and prisms.

Alternatively, higher order gratings can be

explored, but then takes away from the focus of small-footprint. Other trade-offs with higher order gratings are lower coupling and off-angle scattering. Finally, direct writing by E-beam lithography is an option with the benefit of writing various pitches across the same chip.

140

With the maturing of these technologies, further interconnect experiments, advanced structures, and applications can be pursued. On the systems level, link analysis can be evaluated in a parallel interconnect chip or board [1,2]. Sensitivities relating to testing in arrays and high-temperature environments might lead to future consideration in the packaging and thermal management such as using flip-chip bonding. Further advanced features to this edge-emitting transmitter would be to integrate vertical outcouplers for fabrication in to 2D arrays. This can be achieved be using second order grating couplers [3] or directly etching 45° mirrors into the waveguide [4]. This in itself is a tricky process and is worthy of detailed study. While 1D arrays are possible now, 2D arrays would make this technology an even more attractive alternative to VCSELs in data-link applications, both from a performance and cost viewpoint.

Other advanced structures can expand into more complicated photonic integrated circuits to include SOAs, Franz-Keldysh or Mach-Zehnder modulators, and detectors. Bandwidths can also be increased by integrating traveling-wave structures [5]. Alternative active regions can be employed as well, such as quantum dots or dashes for lower thresholds, higher saturation properties, better thermal properties, wider bandwidths, and ultrafast carrier dynamics [6,7]. With the foundation for integration developed, devices at the long-wavelengths can be scaled down to the short-wavelength range, such as multi-section DBR lasers for wide tunability, directional couplers, wavelength converters, and others. This would enable more applications beyond optical interconnects, such as sensors, optical logic, modelocked lasers, devices for ultrafast applications, and others.

141

REFERENCES [1]

[2]

[3]

[4]

[5]

[6] [7]

C.L. Schow, F.E. Doany, O. Liboiron-Ladouceur, C. Baks, D.M. Kuchta, L. Schares, R. John, and J.A. Kash, “160-Gb/s, 16-Channel Full-Duplex, SingleChip CMOS Optical Transceiver,” Proc. Optical Fiber Communications Conf., paper no. OThG4, Anaheim, CA, USA, 2007. L. Schares, et al., “Terabus: Terabit/Second-Class Card-Level Optical Interconnect Technologies,” IEEE J. of Sel. Topics in Quant. Electron., vol. 12, no. 5, pp. 1032-1044, 2006. C. Gunn, “CMOS Based Photonic Integration for Optical Interconnects,” Proc. OpticalFiber Communications Conf., paper no. OTuD1, Anaheim, CA, USA, 2007. N. Kwong, N. Chen, H. Qi, S. Chen, J.-S. Chen, H. Erlig, T.R. Chen, A. Sherer, “Novel Single Mode Laser Fabrication Using Focus Ion Beam (FIB) Etching,” Proc. Optical Fiber Communications Conf., paper no. OWI89, Anaheim, CA, USA, 2006. Y. Yu, R. Lewen, S. Irmscher, U. Westergren, L. Thylen, U. Eriksson, W.S. Lee, “80 Gb/s ETDM Transmitter with a Traveling-Wave Electroabsorption Modulator,” Proc. Optical Fiber Communications Conf., paper no. OWE1, 2005. http://compoundsemiconductor.net/articles/magazine/13/3/6/1 E.U. Rafailov, M.A. Cataluna, and W. Sibbett, “Mode-locked quantum-dot lasers,” Nature Photonics, vol. 1, pp. 395-401, 2007.

142

Appendix A: PROCESS FLOW Table A.1: Complete Process Flow for Integrated DBR Laser – EA Modulator on GaAs substrate.

ALIGNMENT MARKS BASE STRUCTURE GROWTH – GROWTH # Cleave into 4 quarters – solvent clean PECVD SILICON NITRIDE DEPOSITION Pre-clean and pre-dep 30CLNSIN Deposit 1000 Å SixNy SIN10 STEPPER HMDS 60 s @ 4000 rpm SPR 950-.8 60 s @ 4000 rpm Pre-bake 60 s @ 95°C Alignment Level Mask Align to corner of wafer Pass Shift AC x = 2.75, BC x = 5; y = 3 Expose 1.5 s Develop MF 701 45 s Inspect PE II ASH O2 purge 2 min Ash 30 s, 100W, 300 mT RIE 3 (ETCH 1000 Å SIXNY) Clean chamber – O2 20 sccm, 50 mT, 500 V, 30 min Etch SixNy – CF4/O2 20/1.8 sccm, 10 mT, 250 V, 7 min PE II ASH O2 purge 2 min Ash 30 s, 100W, 300 mT STRIP RESIST Flood expose 1.5 min Develop MF 701 5 min Solvent Clean WET ETCH Etch: InGaP 2:1 HCl:DI 30 s Watch color change and bubbles Etch: AlGaAs 3:1:50 60 s (~1000 Å) H3PO4:H2O2:DI STRIP SIXNY MASK BHF 4 min DI rinse 5 min Post Process Inspection

ACTIVE/PASSIVE DEFINITION RIE 3 SURFACE FLUORINATION Clean chamber – O2 Fluorinate SF6

20 sccm, 50 mT, 500 V, 30 min 20 sccm, 10 mT, 250 V, 15 min

143

PECVD SILICON DIOXIDE DEPOSITION Pre-clean and pre-dep 30CLNSIO Deposit 1000 Å SiO2 SIO10 STEPPER HMDS 60 s @ 4000 rpm SPR 950-.8 60 s @ 4000 rpm Pre-bake 60 s @ 95°C Active Level Mask Align to 1st aligment mark Pass Shift x = 4; y = 4 Expose 1.5 s Develop MF 701 45 s PE II ASH O2 purge 2 min Ash 30 s, 100W, 300 mT ETCH SILICON DIOXIDE BHF 60 s DI rinse 5 min STRIP RESIST / REMOVE FLUORINATION Flood expose 1.5 min Develop MF 701 5 min Solvent Clean PECVD SILICON DIOXIDE DEPOSITION Pre-clean and pre-dep 30CLNSIO Deposit 2000 Å SiO2 SIO20 Deposit 1000 Å SiO2 SIO10 INTERMIXING RTA 800-850°C, 60 s (variable temperature and time) STRIP SILICON DIOXIDE BHF 5 min DI rinse 5 min REMOVE FLUORINATION Flood expose 1.5 min Develop MF 701 5 min Solvent Clean PHOTOLUMINESCENCE Active Passive Post Process Inspection

GRATING BURSTS PECVD SILICON NITRIDE DEPOSITION Pre-clean and pre-dep 30CLNSIN Deposit 200 Å SixNy SIN02 STEPPER HMDS 60 s @ 4000 rpm SPR 950-.8 60 s @ 4000 rpm Pre-bake 60 s @ 95°C Burst Level Mask

144

Inspect

Frontside Backside First do warm up program,

Wavelength: Wavelength:

Align to 1st aligment mark Expose Develop MF 701 PE II ASH O2 purge Ash ETCH SILICON NITRIDE BHF DI rinse PE II ASH O2 purge Ash STRIP RESIST Flood expose Develop MF 701 Solvent Clean Post Process Inspection

Pass Shift x = 4; y = 4 1.5 s 45 s

Inspect

2 min 30 s, 100W, 300 mT 30 s 5 min 2 min 30 s, 100W, 300 mT 1.5 min 5 min

HOLOGRAPHY SPIN Turn on laser to warm up for 1 hour HMDS 60 s @ 5000 rpm SPR 3001 60 s @ 5000 rpm Pre-bake 60 s @ 95°C HOLOGRAPHIC EXPOSURE PROCEDURE Verify laser intensity at head Verify laser intensity at sample Adjust angular alignment on the holder Use prism and xylenes for 1st order gratings Grating exposure 60 s Gently remove with xylenes for 1st order gratings, gently N2 dry off Develop MF 701 20 s DI rinse – slight agitation PE II ASH O2 purge 2 min Ash 7 s (1st order), 100W, 300 mT 17 s (2st order), 100W, 300 mT DIFFRACTION Mount wafer on test stage, gratings vertical Place mirror in laser path at 45 ° angle Align reflection to pass through both slits Read L, the distance between beams on ruler Calculate the grating period Λ AFM to verify depth and duty cycle PANASONIC DRY ETCH GRATINGS Clean chamber – O2 5 min Clean and coat chamber 5 min / 2 min Etch – Cl2/BCl3/Ar ~6s Remove sample, DI rinse 60 sec

145

~ 10 W ~ 300 µW ~ 45° for 1st order ~ 30° for 2nd order 40 mJ dose

Inspect

L= D=

Program 121 O2 Clean Program 128 GaAs Cln Program 132 GaAs GRT

RIE 3 ASH Clean chamber – O2 20 sccm, 50 mT, 500 V, 30 min Ash – O2 20 sccm, 10 mT, 250 V, 2 min STRIP RESIST 1165 80°C 10 min Flood expose 1.5 min Develop MF 701 5 min Solvent Clean AFM to verify etch depth and duty cycle H2SO4 dip 60 s STRIP SIXNY MASK BHF 60 s DI rinse 5 min REGROWTH PREP UV Ozone 30 min BHF:DI 1:5 dip 30 s DI rinse 5 min MBE REGROWTH #

stir with pipet

RIDGE DEFINITION PECVD SILICON DIOXIDE DEPOSITION Pre-clean and pre-dep 30CLNSIO Deposit 2500 Å SiO2 SIO25 STEPPER HMDS 60 s @ 3000 rpm SPR 955CM0.9 60 s @ 3000 rpm Pre-bake 60 s @ 95°C Ridge Level Mask Align to 1st aligment mark Pass Shift x = 4; y = 4 Expose 1.1 s Post Exposure Bake 60 s @ 110°C Develop MF 701 60 s PANASONIC DRY ETCH SILICON DIOXIDE Clean chamber – O2 5 min Clean and coat chamber 1 min / 1 min Etch – 2200 Å/min 1 min 23 s Remove sample with ISO only STRIP RESIST PRX 127 5 min @ 80°C, again 5 min @ 80°C Rinse DI or ISO only PE II ASH O2 purge 2 min Ash 60 s, 100W, 300 mT Solvent Clean RIE 1 DRY ETCH RIDGE Load Pump overnight Etch – Cl2 7.2 sccm, 1.6 mT, 40 W, ~ 13 min

146

Puddle 30 s

Inspect Program 121 O2 Clean Program 122 SiO Cln Program 118 SiO2Vert

Laser Monitor

DI Rinse Post Process Inspection

60 s

P-PASSIVATION PECVD SILICON NITRIDE DEPOSITION Pre-clean and pre-dep 30CLNSIN Deposit 1000 Å SixNy SIN10 STEPPER HMDS 60 s @ 5000 rpm SPR 220-.7 60 s @ 5000 rpm Pre-bake 90 s @ 115°C Passivation Level Mask Align to 3nd alignment mark Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y = Expose 3.6 s, Focus -12 Stand 10-30 min Develop MF 701 90 s Inspect PE II ASH O2 purge 2 min Ash 30 s, 100W, 300 mT PANASONIC DRY ETCH SILICON DIOXIDE Clean chamber – O2 5 min Program 121 O2 Clean Clean and coat chamber 1 min / 1 min Program 122 SiO Cln Etch – 2200 Å/min 21 s Program 118 SiO2Vert Remove sample with ISO only STRIP RESIST PRX 127 5 min @ 80°C, again 5 min @ 80°C Rinse DI or ISO only PE II ASH O2 purge 2 min Ash 2 min, 100W, 300 mT Solvent Clean RIE 1 P-PASSIVATION ETCH Load Pump overnight Etch – Cl2 7.2 sccm, 1.6 mT, 40 W, ~ 60 s DI Rinse 60 s Post Process Inspection

TOPSIDE N ETCH PECVD SILICON NITRIDE DEPOSITION Pre-clean and pre-dep 30CLNSIN Deposit 1000 Å SixNy SIN10 STEPPER HMDS 60 s @ 3500 rpm SPR 220-.7 60 s @ 3500 rpm Pre-bake 2 min @ 115°C Passivation Level Mask Align to 3nd alignment mark Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y =

147

Expose Stand Develop MF 701 PE II ASH O2 purge Ash RIE 3 (ETCH 1000 Å SIXNY) Clean chamber – O2 Etch SixNy – CF4/O2 PE II ASH O2 purge Ash WET ETCH Etch: AlGaAs 1:8:200 H2SO4:H2O2:DI STRIP RESIST Flood expose Develop MF 701 Solvent Clean Post Process Inspection

6s 10-30 min 90 s

Inspect

2 min 30 s, 100W, 300 mT 20 sccm, 50 mT, 500 V, 30 min 20/1.8 sccm, 10 mT, 250 V, 7 min 2 min 30 s, 100W, 300 mT 3-4 nm/s

1.5 min 5 min

TOPSIDE N METAL DEPOSITION STEPPER HMDS 60 s @ 4000 rpm SF11 60 s @ 4000 rpm Pre-bake 2 min @ 175°C Flood expose DUV 20 s SPR 950-.8 60 s @ 4000 rpm Pre-bake 60 s @ 95°C nMetal Level Mask Align to 3nd alignment mark Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y = Expose 1.5 s Develop MF 701 45 s Inspect Clear PMGI Flood expose DUV 100 s Develop SAL 101 60 s Flood expose DUV 30 s Develop SAL 101 60 s Repeat, in necessary PE II ASH O2 purge 2 min Ash 30 s, 100W, 300 mT BHF:DI 1:10 10 s Just prior to evaporation E-BEAM 1, METALLIZATION Install Boom 23 cm above source no tilt ~ 2 X rate Deposit AuGe Target: 350 Å, Actual: 700 Å Deposit Ni Target: 100 Å, Actual: 200 Å Deposit Au Target: 7500 Å, Actual: 1.5 µm Lift Off 1165 30 min @ 100°C

148

DI rinse Solvent Clean Post Process Inspection

5 min

P VIAS PECVD SILICON NITRIDE DEPOSITION Pre-clean and pre-dep 30CLNSIN Deposit 1000 Å SixNy SIN10 STEPPER Take out BCB to warm 60 min BCB Adhesion Promoter 60 s @ 3500 rpm Photo BCB 60 s @ 3500 rpm Pre-bake 1 min @ 70°C BCB Level Mask Align to 3nd alignment mark Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y = Expose 7.5 s BCB developer 60 s Puddle on spinner Spin 60 s @ 4000 rpm BCB developer 60 s Puddle on spinner Spin 60 s @ 4000 rpm BCB developer 60 s Puddle on spinner Spin 60 s @ 4000 rpm check Dektak Bake in Programable oven Program #2 Dektak PECVD SILICON NITRIDE DEPOSITION Pre-clean and pre-dep 30CLNSIN Deposit 1000 Å SixNy SIN10 STEPPER HMDS 60 s @ 5000 rpm SPR 220-.7 60 s @ 5000 rpm Pre-bake 90 s @ 115°C BA/Mod Level Mask Align to 3nd alignment mark Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y = Expose 4s Stand 10-30 min Develop MF 701 90 s Inspect PE II ASH O2 purge 2 min Ash 30 s, 100W, 300 mT RIE 3 (ETCH SIXNY AND BCB) Clean chamber – O2 20 sccm, 50 mT, 500 V, 30 min Etch SixNy – CF4/O2 20/1.8 sccm, 10 mT, 250 V, 7 min Etch BCB – CF4/O2 4/16 sccm, 20 mT, 350 V, 15 min Etch BCB – CF4/O2 4/16 sccm, 20 mT, 300 V, 1 Check and repeat if min necessary PE II ASH

149

O2 purge Ash STRIP RESIST Flood expose Develop MF 701 Solvent Clean STEPPER HMDS SPR 950-.8 Pre-bake BA/Mod Level Mask Align to 3nd alignment mark Expose Develop MF 701 PE II ASH O2 purge Ash O2 purge Ash O2 purge Ash RIE 3 (ETCH SIXNY) Clean chamber – O2 Etch SixNy – CF4/O2

2 min 30 s, 100W, 300 mT 1.5 min 5 min

60 s @ 1500 rpm 60 s @ 1500 rpm 60 s @ 95°C Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y = 1.5 s 45 s Inspect 2 min 2.5 m, 100W, 300 mT 2 min 60 s, 100W, 300 mT 2 min 30 s, 100W, 300 mT

20 sccm, 50 mT, 500 V, 30 min 20/1.8 sccm, 10 mT, 250 V, 7 min

PANASONIC DRY ETCH SILICON DIOXIDE Clean chamber – O2 5 min Clean and coat chamber 1 min / 1 min Etch – 2300 Å/min 40 s Remove sample with ISO only STRIP RESIST 1165 5 min @ 100°C Rinse DI or ISO only PE II ASH O2 purge 2 min Ash 2 min, 100W, 300 mT STRIP RESIST Flood expose 1.5 min Develop MF 701 5 min Solvent Clean Post Process Inspection

N VIAS STEPPER HMDS SPR 950-.8 Pre-bake nMetal Level Mask

Check and repeat if necessary

60 s @ 3500 rpm 60 s @ 3500 rpm 60 s @ 95°C

150

Program 121 O2 Clean Program 122 SiO Cln Program 123 SiOEtch

Align to 3nd alignment mark Expose Develop MF 701 PE II ASH O2 purge Ash RIE 3 (ETCH 1000 Å SIXNY) Clean chamber – O2 Etch SixNy – CF4/O2 PE II ASH O2 purge Ash STRIP RESIST Flood expose Develop MF 701 Solvent Clean PE II ASH O2 purge Ash CONTACT ANNEAL Strip Annealer Post Process Inspection

Pass Shift x = 4; y = 4, Alignment Key Offset, x = , y = 1.5 s 45 s Inspect 2 min 30 s, 100W, 300 mT 20 sccm, 50 mT, 500 V, 30 min 20/1.8 sccm, 10 mT, 250 V, 13 min 2 min 30 s, 100W, 300 mT 1.5 min 5 min

2 min 30 s, 100W, 300 mT 30 s @ 420°C

P METAL DEPOSITION CONTACT SCUM/NATIVE OXIDE ETCH 10 s 3-4 nm/s Etch: AlGaAs

1E+19

1E+05

C (AlGaAs) Si (InGaP)

1E+18

1E+04

O (AlGaAs)

1E+17

1E+03

1E+16

1E+02

1E+15

1E+01

1E+14

Counts Per Second

CONCENTRATION (atoms/cc)

As->

1E+00 0

0.5

1

1.5

2

2.5

3

3.5

4

4.5

5

DEPTH (µm)

(b) Fig. B.2 SIMS scans of (a) InGaAs/GaAs aluminum-containing active region design and (b) InGaAs/InGaAsP aluminum-free active region design grown by MOCVD and regrown by MBE.

159

at around 5E16 cm-3 in the AlGaAs and 2E16 cm-3 in the substrate. The spikes are still present at the growth interfaces, ranging in the high 17 to low 18 cm-3. The persistence of the carbon scans is not as severe as the oxygen at the interfaces. Lastly, the silicon spike at the regrowth interface ranges from 1.5 to 6E17 cm-3. At the higher ranger, the silicon can co-dope the desired p-doping in the cladding to misplace the junction.

From this SIMS data, it can be concluded that further

reduction of interface contaminants is necessary to ensure high performance lasers.

Further study and comparison would be necessary; for example, it would be fruitful to know the interface and background contaminants in MOCVD regrown structures. Would the absence of AlGaAs dramatically lower the oxygen concentration, leading to improved laser characteristics? In MBE techniques, desorption with hydrogen radicals in-situ could possibly lead to lower contaminant levels, but seems unlikely as the sample is still treated with the same process steps beforehand.

160