120 83
English Pages 228 Year 2011
NOVEMBER 2011
VOLUME 59
NUMBER 11
IETMAB
(ISSN 0018-9480)
PAPERS
Theory and Numerical Methods Alternative Expression for the Phase Velocity of Electromagnetic Homogeneous Plane Waves . ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... . O. Zandi, Z. Atlasbaf, and M. S. Abrishamian Time-Domain Field and Scattering Parameter Computation in Waveguide Structures by GPU-Accelerated Discontinuous-Galerkin Method ....... ......... ........ ......... ......... .... C. Potratz, H.-W. Glock, and U. van Rienen An Accurate and Efficient Evaluation of Planar Multilayered Green’s Functions Using Modified Fast Hankel Transform Method ......... ......... ........ ......... ......... ........ ......... ......... J. L.-W. Li, P.-P. Ding, S. Zouhdi, and S.-P. Yeo 3-D Thin-Wire FDTD Analysis of Coaxial Probe Fed in Asymmetric Microwave Components ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... ........ S.-Y. Hyun and S.-Y. Kim Passive Components and Circuits New Design Formulas for Impedance-Transforming 3-dB Marchand Baluns ... .......... ......... .. H.-R. Ahn and S. Nam Directional Coupler Compensation With Optimally Positioned Capacitances .. . . J. Müller, M. N. Pham, and A. F. Jacob Generalized Two-Way Two-Section Dual-Band Wilkinson Power Divider With Two Absorption Resistors and Its Miniaturization ......... ........ ......... . ........ ........ ......... . I. Sakagami, X. Wang, K. Takahashi, and S. Okamura Unbalanced-to-Balanced and Balanced-to-Unbalanced Diplexer With High Selectivity and Common-Mode Suppression .... ......... ........ ......... ......... ........ ......... ......... ........ ......... .. Q. Xue, J. Shi, and J.-X. Chen A Filtering Microstrip Antenna Array .... ......... ........ ......... ... ....... ........ ......... ....... C.-K. Lin and S.-J. Chung Hybrid and Monolithic RF Integrated Circuits Multilayer Planar Tunable Filter With Very Wide Tuning Bandwidth .... ........ ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ....... J. S. Sun, N. Kaneda, Y. Baeyens, T. Itoh, and Y.-K. Chen A Tunable Three-Pole 1.5–2.2-GHz Bandpass Filter With Bandwidth and Transmission Zero Control ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ......... ......... ........ ......... .. Y.-C. Chiou and G. M. Rebeiz A 40-Gb/s Full-Rate 2:1 MUX in 0.18- m CMOS ...... ......... ......... ........ ......... ....... A. Yazdi and M. M. Green
2781 2788 2798 2808 2816 2824 2833 2848 2856
2864 2872 2879
(Contents Continued on Back Cover)
(Contents Continued from Front Cover) A CMOS Power Amplifier With Integrated-Passive-Device Spiral-Shaped Directional Coupler for Mobile UHF RFID Reader . ......... ......... ........ ......... ......... ....... .. ......... ......... ........ ......... ......... .... S. Shim and S. Hong Digital Doherty Amplifier With Enhanced Efficiency and Extended Range ..... ....... R. Darraji and F. M. Ghannouchi A 15-dBm SiGe BiCMOS PA for 77-GHz Automotive Radar ... ......... V. Giammello, E. Ragonese, and G. Palmisano DC/RF Hysteresis in Microwave pHEMT Amplifier Induced by Gate Current—Diagnosis and Elimination ... ......... .. .. ........ ......... ......... ........ ..... N.-C. Kuo, P.-S. Chi, A. Suárez, J.-L. Kuo, P.-C. Huang, Z.-M. Tsai, and H. Wang High-Efficiency RF Pulsewidth Modulation of Class-E Power Amplifiers ...... ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ...... M. Özen, R. Jos, C. M. Andersson, M. Acar, and C. Fager Digitally Assisted Dual-Switch High-Efficiency Envelope Amplifier for Envelope-Tracking Base-Station Power Amplifiers ...... ........ .. ........ .. C. Hsia, A. Zhu, J. J. Yan, P. Draxler, D. F. Kimball, S. Lanfranco, and P. M. Asbeck Broadband -Boosted Differential HBT Doublers With Transformer Balun . ......... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ ...... J. Zhang, M. Bao, D. Kuylenstierna, S. Lai, and H. Zirath
2953
Instrumentation and Meaurement Techniques Harmonic Sampling and Reconstruction of Wideband Undersampled Waveforms: Breaking the Code . ......... ......... .. .. ........ ......... ......... ........ ......... ......... ........ .. C. Nader, W. Van Moer, K. Barbé, N. Björsell, and P. Händel Cramér–Rao Bounds for Determination of Permittivity and Permeability in Slabs ...... ...... D. Sjöberg and C. Larsson
2961 2970
2888 2898 2910 2919 2931 2943
RF Applications and Systems Ultra-Stable Very-Low Phase-Noise Signal Source for Very Long Baseline Interferometry Using a Cryocooled Sapphire Oscillator ....... ......... ........ ..... ..... ......... ........ ..... N. R. Nand, J. G. Hartnett, E. N. Ivanov, and G. Santarelli Frequency-Tunable Microwave Generation Based on Time-Delayed Optical Combs ... . M. Qasymeh, W. Li, and J. Yao Behavioral Modeling of MIMO Nonlinear Systems With Multivariable Polynomials ... ......... ........ ......... ......... .. .. ........ ......... ......... ........ ......... ... D. Saffar, N. Boulejfen, F. M. Ghannouchi, A. Gharsallah, and M. Helaoui
2978 2987
Information for Authors .. ........ ......... ......... ........ ......... .......... ........ ......... ......... ........ ......... ......... .
3004
2994
IEEE MICROWAVE THEORY AND TECHNIQUES SOCIETY
The Microwave Theory and Techniques Society is an organization, within the framework of the IEEE, of members with principal professional interests in the field of microwave theory and techniques. All members of the IEEE are eligible for membership in the Society upon payment of the annual Society membership fee of $17.00, plus an annual subscription fee of $23.00 per year for electronic media only or $46.00 per year for electronic and print media. For information on joining, write to the IEEE at the address below. Member copies of Transactions/Journals are for personal use only. ADMINISTRATIVE COMMITTEE R. SNYDER, President L. BOGLIONE T. BRAZIL M. GOUKER
M. GUPTA S. KOUL
A. ABUNJAILEH, Secretary
N. KOLIAS, President Elect J. LASKAR T. LEE
J. LIN M. MADIHIAN
A. MORTAZAWI V. J. NAIR
Honorary Life Members T. ITOH A. A. OLINER
Y. NIKAWA G. PONCHAK
W. CHAPPELL, Treasurer D. SCHREURS R. SORRENTINO
B. SZENDRENYI R. WEIGEL
Distinguished Lecturers
P. STAECKER K. TOMIYASU
A. CANGELLARIS S. GEVORGIAN F. ELLINGER F. GHANNOUCHI A. FERRERO S. LUCYSZYN
A. PHAM P. TASKER M. TENTZERIS
K. WU Q. XUE
Past Presidents K. WU M. YU
S.M. EL-GHAZALY (2010) B. PERLMAN (2009) J. MODELSKI (2008)
MTT-S Chapter Chairs Albuquerque: H. J. WAGNON Atlanta: K. NAISHADHAM Austria: A. SPRINGER Baltimore: J. SCHOFIELD Bangalore/India: K. VINOY Beijing: Z. FENG Belarus: A. GUSINSKY Benelux: G. VANDENBOSCH Boston: J. MULDAVIN Bombay/India: M. KADU Brasilia: J. BEZERRA/ M. VINICIUS ALVES NUNES Buenaventura: M. QUDDUS Bulgaria: K. ASPARUHOVA Canada, Atlantic: Z. CHEN Cedar Rapids/Central Iowa: M. ROY Central Indiana: C. FULTON Central & South Italy: L. TARRICONE Central No. Carolina: M. HUGHES Central Texas: J. PRUITT Chengdu: Z. NEI Chicago: D. ERRICOLO Cleveland: M. SCARDELLETTI Columbus: D. PSYCHOUDAKIS Connecticut: C. BLAIR Croatia: D. BONEFACIC Czech/Slovakia: J. DRINOVSKY Dallas: C. SANABRIA Dayton: A. TERZUOLI
Editor-In-Chief GEORGE E. PONCHAK NASA Glenn Research Center Cleveland, OH USA Editorial Assistant KIM TANGER OAI USA
Delhi/India: S. KOUL Delhi/India, Amity Univ: J. P. GODARA Delhi/India, ABES Eng. College: S. MITTAL Delhi/India, IIT Delhi: M. S. PARIHAR Delhi/India, Jamia Millia Islamia: A. KHAN Delhi/India, Rajasthan Tech. Univ.: P. K. SHARMA Delhi/India, Swami Keshvanand Inst.: A. S. POONIA Denver: M. JANEZIC Eastern No. Carolina: T. NICHOLS Egypt: E. HASHEESH Finland: A. LUUKANEN Florida West Coast: J. WANG Foothills: F. FREYNE France: P. EUDELINE Germany: G. BOECK Greece: R. MAKRI Gujarat/India: R. J. SHARMA Harbin: Q. WU Hawaii: R. MIYAMOTO Hong Kong: Q. XUE Houston: S. A. LONG Houston, College Station: G. H. HUFF Hungary: L. NAGY Huntsville: E. GREGORIAN Hyderabad: L. MERUGU India/Calcutta: D. GUHA
India: D. BHATNAGER Indonesia: E. T. RAHARDO Israel: S. AUSTER Japan: K. HONJO Kansai: I. AWAI Kitchener-Waterloo: R. R. MANSOUR Lebanon: E. NASSAR Lithuania: V. URBANAVICIUS Long Island/New York: J. COLOTTI Los Angeles, Coastal: V. RADISIC Los Angeles, Metro/San Fernando: T. CISCO Macau: K. W. TAM Madras/India: S. SALIVAHANAN Malaysia: M. ESA Malaysia, Penang: B. L. LIM Melbourne: R. BOTSFORD Milwaukee: S. G. JOSHI Mohawk Valley: E. P. RATAZZI Monterrey/Mexico: R. M. RODRIGUEZ-DAGNINO Morocco: M. ESSAAIDI Montreal: K. WU Morocco: M. ESSAAIDI Nagoya: T. OHIRA Nanjing: W. XUN Nanjing/Southeast Univ: W. HONG New Hampshire: D. SHERWOOD New Jersey Coast: J. SINSKY New South Wales: K. ESSELLE New Zealand: A. WILLIAMSON North Italy: G. VECCHI North Jersey: K. DIXIT
Northern Australia: J. MAZIERSKA Northern Canada: M. DANESHMAND Northern Nevada: B. S. RAWAT Norway: K. M. GJERTSEN Orange County: H. J. DE LOS SANTOS Oregon: K. MAYS Orlando: S. EBADI Ottawa: Q. YE Philadelphia: Q. VASSEUR Phoenix: S. ROCKWELL Poland: J. PIOTROWSKI Portugal: N. BORGES DE CARVALHO Princeton/Central Jersey: A. KATZ Queensland: A. ROBINSON Rio de Janeiro: J. R. BERGMANN Rochester: G. PETTIS Romania: G. LOJEWSKI Russia, Moscow: V. A. KALOSHIN Russia, Nizhny-Novgorad: G. L. PAKHOMOV Russia, Novosibirsk: A. YAROSLAVTSEV Russia, Saratov/Penza: N. M. RYSKIN Russia, Saint Petersburg: S. P. ZUBKO Russia, Tomsk: R. V. MESCHERIAKOV San Diego: J. TWOMEY Santa Clara Valley/San Francisco: N. SHAMS Seattle: L. CAI Seoul: C. SEO Serbia and Montenegro: B. MILOVANOVIA Shanghai: J. MAO Singapore: M. ONG LING CHUEN South Africa: R. GESCHKE
South Australia: C. FUMEAUX South Brazil: J. R. BERGMANN Southeastern Michigan: T. OZDEMIR Southern Alberta: E. FEAR Spain: J. I. ALONSO Springfield: P. R. SIQUEIRA Sri Lanka: V. R. HERATH Sweden: A. RYDBERG Switzerland: M. MATTES Syracuse: H. P. PARTAL Taegu: Y.-H. JEONG Tainan: T. HORNG Taipei: C.-S. LU Thailand: P. AKKARAEKTHALIN Toronto: G. V. ELEFTHERIADES Tucson: H. XIN Tunisia: A. GHARSALLAH Turkey: B. SAKA Twin Cities: M. J. GAWRONSKI UK/RI: A. REZAZADEH Ukraine, Kiev: Y. PROKOPENKO Ukraine, Rep. of Georgia: G. GHVEDASHVILI Ukraine, Vinnitsya: V. M. DUBOVOY Ukraine, West: I. IVASENKO Uttar Pradesh/India: N. ALAM Utter Pradesh/India: R. C. AGARWAL Vancouver: S. MCCLAIN Venezuela: J. B. PENA Victoria: K. GHORBANI Virginia Mountain: T. A. WINSLOW Washington DC/Northern Virginia: M. NUESLEIN Winnipeg: V. OKHMATOVSKI Xian: X. SHI
Associate Editors HERBERT ZIRATH Chalmers Univ. Technol. Goteborg, Sweden WENDY VAN MOER Vrije Universiteit Brussel Brussels JAE-SUNG RIEH Korea Univ. Seoul, Korea QUAN XUE City Univ. Hong Kong Hong Kong LEI ZHU Nanyang Technol. Univ. Singapore
KEVIN J. CHEN Hong Kong Univ. Sci. Technol. Hong Kong MING YU COM DEV Cambridge, ON, Canada CHIN-WEN TANG Nat. Chung Cheng Univ. Taiwan JOHN PAPAPOLYMEROU Georgia Inst. Technol. Atlanta, GA USA
K. REMLEY, Editor-in-Chief, IEEE Microwave Magazine C. TZUANG, Editor-in-Chief, IEEE Microwave and Wireless Component Letters
N. SCOTT BARKER Univ. Virginia Charlottesville, VA USA COSTAS D. SARRIS Univ. Toronto. Toronto, ON, Canada CHRISTOPHE FUMEAUX The Univ. Adelaide Adelaide, South Australia, Australia DEUKHYOUN HEO Washington State Univ. Pullman, WA USA BERTAN BAKKALOGLU Arizona State Univ. Tempe, AZ USA
P. H. SIEGEL, Editor-in-Chief, IEEE Trans. Terahertz Science and Technology T. LEE, Web Master
IEEE Officers MOSHE KAM, President GORDON W. DAY, President-Elect ROGER D. POLLARD, Secretary HAROLD FLESCHER, Treasurer PEDRO A. RAY, Past President TARIQ S. DURRANI, Vice President, Educational Activities
DAVID A. HODGES, Vice President, Publication Services and Products HOWARD E. MICHEL, Vice President, Member and Geographic Activities STEVE M. MILLS, President, Standards Association DONNA L. HUDSON, Vice President, Technical Activities RONALD G. JENSEN, President, IEEE-USA
PETER N. CLOUT, Director, Division IV—Electromagnetics and Radiation
IEEE Executive Staff DR. E. JAMES PRENDERGAST, Executive Director & Chief Operating Officer THOMAS SIEGERT, Business Administration MATTHEW LOEB, Corporate Activities DOUGLAS GORHAM, Educational Activities BETSY DAVIS, SPHR, Human Resources CHRIS BRANTLEY, IEEE-USA ALEXANDER PASIK, Information Technology
PATRICK MAHONEY, Marketing CECELIA JANKOWSKI, Member and Geographic Activities ANTHONY DURNIAK, Publications Activities JUDITH GORMAN, Standards Activities MARY WARD-CALLAN, Technical Activities
IEEE Periodicals Transactions/Journals Department
Staff Director: FRAN ZAPPULLA Editorial Director: DAWN MELLEY Production Director: PETER M. TUOHY Managing Editor: MONA MITTRA Senior Editor: CHRISTINA M. REZES
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (ISSN 0018-9480) is published monthly by the Institute of Electrical and Electronics Engineers, Inc. Responsibility for the contents rests upon the authors and not upon the IEEE, the Society/Council, or its members. IEEE Corporate Office: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. IEEE Operations Center: 445 Hoes Lane, Piscataway, NJ 08854-4141. NJ Telephone: +1 732 981 0060. Price/Publication Information: Individual copies: IEEE Members $20.00 (first copy only), nonmember $133.00 per copy. (Note: Postage and handling charge not included.) Member and nonmember subscription prices available upon request. Copyright and Reprint Permissions: Abstracting is permitted with credit to the source. Libraries are permitted to photocopy for private use of patrons, provided the per-copy fee indicated in the code at the bottom of the first page is paid through the Copyright Clearance Center, 222 Rosewood Drive, Danvers, MA 01923. For all other copying, reprint, or republication permission, write to Copyrights and Permissions Department, IEEE Publications Administration, 445 Hoes Lane, Piscataway, NJ 08854-4141. Copyright © 2011 by The Institute of Electrical and Electronics Engineers, Inc. All rights reserved. Periodicals Postage Paid at New York, NY and at additional mailing offices. Postmaster: Send address changes to IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, IEEE, 445 Hoes Lane, Piscataway, NJ 08854-4141. GST Registration No. 125634188. CPC Sales Agreement #40013087. Return undeliverable Canada addresses to: Pitney Bowes IMEX, P.O. Box 4332, Stanton Rd., Toronto, ON M5W 3J4, Canada. IEEE prohibits discrimination, harassment and bullying. For more information visit http://www.ieee.org/nondiscrimination. Printed in U.S.A.
Digital Object Identifier 10.1109/TMTT.2011.2175031
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2781
Alternative Expression for the Phase Velocity of Electromagnetic Homogeneous Plane Waves Omid Zandi, Student Member, IEEE, Zahra Atlasbaf, Member, IEEE, and Mohammad Sadegh Abrishamian
Abstract—We have established a different method to analyze various electromagnetic media. An analytical investigation has been carried out into the relation between the phase velocity, momentum density vector (MDV), and Poynting vector of plane waves in linear, homogeneous, and time-invariant media. Based on it, we have derived a novel dispersion relation for homogeneous plane waves and found an interesting expression for the phase velocity in terms of the fields’ intensities and densities. We have been also able to determine the relative orientation of the wave vector with respect to the direction of the Poynting vector. In addition, the group velocity has been expressed in terms of the Poynting vector and MDV. We have applied all the results to several media and obtained valuable outcomes. It is shown that the orthogonality of phase velocity is not feasible for homogeneous plane waves. Index Terms—Dispersion relation, group velocity, Lorentz transformation, momentum density vector (MDV), phase velocity, Poynting vector.
I. INTRODUCTION
P
LANE-WAVE solution of the Maxwell’s equations in various media has been always a subject of interest. In fact, a significant amount of all the research in the field of macroscopic electromagnetism has been directed toward the interactions between the plane waves and the various media. Analyzing the characteristics that different media possess, such as the phase reversal propagation, polarization transformation, and gyrotropic effects [1]–[4], as well as determining a dispersion relation that relates the wave vector to the frequency and constitutive parameters of the media [5]–[11], have been challenges for researchers for many years. A conventional method to predict the plane-wave’s behaviors in different media has been based on first, modeling the media and estimating the constitutive parameters, and then combining them by the Maxwell’s equations to obtain a dispersion relacoordition governing the value of the wave vector. The nate system [2], [5] is the best-known example of this method. coordinate system establishes a straightforAlthough the ward method, it becomes difficult as the complexity of the media Manuscript received March 18, 2011; revised August 13, 2011; accepted August 17, 2011. Date of publication October 03, 2011; date of current version November 16, 2011. This work was supported by the Iran Telecommunication Research Center (ITRC). O. Zandi and Z. Atlasbaf are with the Department of Electrical and Computer Engineering, Tarbiat Modares University, Tehran, Iran (e-mail: [email protected]; [email protected]). M. S. Abrishamian is with the Department of Electrical Engineering, K. N. Toosi University of Technology, Tehran, Iran (e-mail: [email protected]. ir). Digital Object Identifier 10.1109/TMTT.2011.2166804
increases, for it involves matrix algebra that usually leads to tedious calculations. Thus, if there were a method to relate the wave vector and phase velocity directly to the field’s intensity and densities, it could be helpful to analyze any medium. However, there are such relations for the energy transport velocity and the momentum transport velocity [12], [13], and the aim of this paper is to find a similar relation for the phase velocity and then apply it to various media. To do it, the paper is written in four sections. In Section II, we have provided a relatively simple vector analysis with important results. First of all, we have tried to find a relation between the complex momentum density vector (MDV), complex Poynting vector, and the complex wave vector for plane waves. We have then concentrated on homogeneous plane waves to derive a dispersion relation in terms of the fields’ energy and momentum that governs the absolute value of the wave vector in any linear, homogeneous, and time-invariant medium. By a mathematical trick, we have expanded the dispersion relation and finally arrive at a relation for the phase velocity. In Section III, the results have been applied to isotropic, bi-isotropic, anisotropic, and gyrotropic media. In Section IV, we have determined the relative orientation of the wave vector with respect to the direction of the Poynting vector, which is a valuable result. Examples of bi-isotropic and anisotropic media are presented. In Section V, we have examined the alternative expression of the phase velocity under the Lorentz transformation to see what happens to it by switching from one inertial frame to another. In Section VI, we have derived an expression for the group velocity in terms of the Poynting vector and MDV. In the following, bold letters denote the complex or real vectors. Conjugated complex vectors and scalars are marked with asterisks. II. WAVE VECTOR AND PHASE VELOCITY OF PLANE WAVES In this section, we will develop a theory for monochromatic plane waves inside linear, homogeneous, and time-invariant media to study the conditions under which the MDV and wave vector are parallel. To do it, we will frequently refer to the Maxwell’s equations for monochromatic plane waves with the , where is the angular frequency, form of is the position vector, is the wave vector, and . This form is always allowed in homogeneous media [2, p. 60]. When there are no free charges and source currents, Maxwell’s equations become [2, p. 60]
0018-9480/$26.00 © 2011 IEEE
(1a) (1b)
2782
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
(1c) (1d)
we show it by . In this coordinate system, the Poynting vector can be written as
The Poynting vector and MDV are defined as
(8) (2)
respectively. The correct definition for the MDV has been a controversial subject among the researchers [14]; however, we adhere to the above-mentioned definition, which is usually regarded as Minkowski MDV. We conjugate both sides of (1c), and then cross-multiply it by (1d) to write
where the real and imaginary parts of each component are separated by the indices of and , respectively. Now, we define a real number (9) and an imaginary vector (10)
(3) From (3), the MDV will be parallel to the wave vector if there is a real number like such that
We also use (10) to define another vector
(4) (11) where is the real part of the wave vector and is called the propagation vector. Plane waves with the condition of (4) are regarded as homogeneous plane waves [15], [16]. Therefore, for monochromatic plane waves inside source-free, linear, homogeneous, and time-invariant media, the MDV and the wave vector are parallel if the plane waves are homogeneous. For inhomogeneous plane waves, the second term on the right-hand side of (3) remains. The same conclusion with a different approach can be found in [14]. From now on, we will concentrate on the homogeneous plane waves. This means that all the components of the wave vector are in phase. For this case, let us rewrite (3) as (5) Equation (5) shows that for homogeneous plane waves, if the Poynting vector becomes orthogonal to the wave vector, the MDV vanishes. Electromagnetic fields with zero MDV cannot propagate [17]. The brilliant idea of the orthogonality of the phase velocity has been introduced by Mackay and Lakhtakia for the first time [18]–[20]. However, it is not a feasible situation for the homogeneous plane waves. In the case of (5), the MDV is parallel to the wave vector and we can say that there should be a real number like , such that (6) where and are the real and imaginary parts of the MDV. As a result, all the components of the MDV will also be in phase. We scalar-multiply both sides of (5) by the Poynting vector to obtain (7) Equation (7) shows that has always a real and nonnegative value. We consider a rectangular coordinate system where one of its unit vectors—say, —is in the direction of the wave vector and
where is the real part of the Poynting vector. does not have any component in the direction of the wave vector so (12) Accordingly, we rewrite
as
(13) where is the imaginary part of . According to (7), has a real and nonnegative value; hence, for the imaginary part of (13), we should have either (14) or (15) however, electromagnetic propagating fields vanish in the case of (14) [17]; as a result, (15) is always the case. If we insert (15) in (13), we will find (16) which means (17) From (17), we conclude that for homogeneous plane waves, the time average of the MDV always casts a positive projection onto the time average of the Poynting vector. Equation (13) also shows that (18)
ZANDI et al.: ALTERNATIVE EXPRESSION FOR PHASE VELOCITY OF ELECTROMAGNETIC HOMOGENEOUS PLANE WAVES
2783
to the frequency, energy, and linear momentum. We rewrite (23b) as
(24a) where is the phase velocity in the direction of the wave vector. We have
(24b) In the literature, the phase velocity is always defined in terms of the frequency and wave vector [21]; therefore, (24b) can be considered as an alternative expression for the phase velocity. Fig. 1. Value of !=jkj versus jS
=G
j j
j
for four values of
According to (12), we substitute
for
.
III. MDV AND PHASE VELOCITY OF HOMOGENEOUS PLANE WAVES IN VARIOUS MEDIA
in (7) to have (19)
All the vectors appeared in (19) are homogeneous, i.e., the imaginary part of each one is parallel to its real part. If we expand the absolute value of both sides of (19) and use (4), (6), and (11), we will find
(20) We define two angles
and
as (21)
Since
and
are parallel, we have (22)
The common conventional method to obtain the dispersion relation and the phase velocity has been based on combining the constitutive relations by the Maxwell’s equations, and then combining the Maxwell’s equations by each other to have a decoupled equation in terms of, for instance, the components of , where is the electrical field in the tensor form of the tensor whose determinant gives the dispersion relation if is equated to zero and is the source vector [2]. This method is difficult and tedious, especially for complex media. In contrast, our proposed method gives a straightforward and much easier way to determine the dispersion relation and the phase velocity in any linear medium since it relates the phase velocity directly to the energy and momentum of waves or to the fields’ intensities and densities. coordinate For homogeneous plane waves, we use the system [2, p. 64]. In this rectangular coordinate system, two unit and , and the third one is in vectors lay on the plane of the direction of . If , , and are the unit vectors of this coordinate system, we will have
If we use (21) and (22), after some algebraic manipulation, we can rewrite (20) as (23a)
(25)
A. Isotropic Media For an isotropic medium with the constitutive relations
or
(26)
(23b) (23b) will be Let us use (13) and (15) to rewrite (23b) as (23c) is sketched in terms of In Fig. 1, the value of for some values of . Equations (23a), (23b), and (23c) are alternative dispersion relations of homogeneous plane waves relating the wave vector
(27) The term on the right-hand side of (27) should have a real and nonnegative value so (28)
2784
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
where and show the phases of and , respectively. If the isotropic medium is lossless, (28) implies that the MDV should be real and (27) reduces to the familiar expression of the phase velocity.
restrictions [3, p.40]; hence, if the medium is lossless, the MDV should be real. If a lossless medium is nonreciprocal, for propagating waves we should have (35b)
B. Bi-Isotropic Media For a bi-isotropic medium with the constitutive relations (29)
which is the familiar relation for the phase velocity [2, p. 78]. For circularly polarized plane waves, in a lossless medium, (33) becomes
from (23b), we have
(36)
(30) where, for time–harmonic fields, , , , and generally have frequency-dependent complex values. Some worthy conclusions can be obtained from (30). Let us first write
In this case, if the MDV is real, we see that the bi-isotropic ) to support circular pomedium should be chiral (i.e., larization. C. Anisotropic Media For an anisotropic medium with the constitutive relations
(37)
(31) where and
, , , and are the real and imaginary parts of , respectively. Now, we can say
(23b) will be
(32) Equation (32) can be considered as criteria to distinguish between linear and nonlinear polarizations. If they vanish, the polarization will be linear. Otherwise, the polarization is circular [22]. Let us insert (32) into (30), shown in (33) at the bottom of this page. Furthermore, the general form of and in (29) are [3] (34) where and are the real parameters of nonreciprocity and chirality, respectively. If the plane wave is linearly polarized, (33) becomes (35a) If the medium is lossless, we see that the medium cannot be both chiral and nonreciprocal at the same time, provided the MDV has a real value. However, a medium cannot be chiral and nonreciprocal at the same time when the first-order spatial dispersion effects can be neglected or cannot exist due to symmetry
(38a) If have
, but
,
and
will be parallel, and we will
(38b) In the literature, this case is regarded as ordinary propagation , but , and will in anisotropic media. When not be parallel, and we will have (38c) In the literature, this case is regarded as extraordinary propagation in anisotropic media. The case when both and are nonzero cannot be realized, except in two situations. First, and . the medium is isotropic, i.e., [2, p.70]. Second, the medium is uniaxial where From (38b) and (38c), we see that if the constitutive parameters have real and positive values, the MDV should be real and these equations reduce to the phase velocity relations [2. pp. 68–69].
(33)
ZANDI et al.: ALTERNATIVE EXPRESSION FOR PHASE VELOCITY OF ELECTROMAGNETIC HOMOGENEOUS PLANE WAVES
D. Gyrotropic Media For a gyroelectric medium with the constitutive relations
2785
For extraordinary propagation in the anisotropic medium considered in Section III, we have (45)
(39) which works for both lossy and lossless cases. from (23b), we will have
V. PHASE VELOCITY UNDER THE LORENTZ TRANSFORMATION
(40) The medium is assumed linear so the last term in (40) should have a constant value. Let us consider a circularly polarized plane wave with the condition of (41) If we insert (41) in (40), we will find (42) Again, we see if the medium is lossless, the MDV should be real. In this case, we see that the right- and left-handed circularly polarized waves have different phase velocities. It is a well-known phenomenon in this class of materials. For gyromagnetic media, we can obtain a similar result, with the same procedure. All of the above results are comparable to what the traditional methods give [2]–[4].
Although the phase velocity of plane waves can be treated in different inertial frames as any ordinary velocity, we will use (24b) to carry out an investigation into the phase velocity in different inertial frames. Consider an observer (Ob1) in an inertial frame (IF1) who measures the fields’ intensities and densities , , and , and another observer of a plane wave as , (Ob2) in another inertial frame (IF2) who measures the fields’ , , and intensities and densities of that plane wave as , . The origin of IF2 has the velocity of as measured by Ob1. Ob1 may use the Lorentz transformation to predict the value of the phase velocity that will be measured by Ob2. Lorentz transformation is [2], [3] (46) where is the light velocity in free space and matrix of
6
(47) where
is the 3-D unit tensor and
IV. RELATIVE ORIENTATION OF THE WAVE VECTOR FOR HOMOGENEOUS PLANE WAVES In this section, we will determine the cosine of the physical angle between the Poynting vector and MDV. The MDV and wave vector have the same directions, but their orientations may not coincide. From (21) and (22), we write
is the 6
(48) For the sake of simplicity, we assume that the medium is lossless and that is parallel to , i.e., there is a real number like such that (49)
(43) Angle is the physical angle between the direction of energy flow and the wave vector. Note that the two unit vectors of and cast the same projection onto the MDV. Let us derive this angle for two different media. For a bi-isotropic medium with the constitutive relations of (29), we have
where is the wave vector in IF1. From the Lorentz transformation for the special case of (49), the MDV and the Poynting vector as observed by Ob2 in IF2 are (50) and
(51)
(44) Note that (44) indicates that the Poynting vector and wave vector are not necessarily in the same directions in bi-isotropic media. However, if the medium is lossless, it will be easy to show that the Poynting vector and wave vector are always parallel.
respectively. Now, from (24b), we find
(52)
2786
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
If we use (24b) and (49), (52) gets reduced to (53) Equation (53) is the familiar relation of adding or subtracting parallel relativistic velocities [23]. It verifies the relation we derived for the phase velocity. One important result is that the angle between the Poynting vector and wave vector changes by switching from one inertial frame to another.
Let us derive the group velocity for a linearly polarized plane wave in a lossless and nonreciprocal bi-isotropic medium. According to (29) and (34), the group velocity becomes
(59) As another example, we consider a circularly polarized plane wave in a lossless gyroelectric medium. According to (39) and (41), the group velocity becomes (60)
VI. GROUP VELOCITY IN TERMS OF THE POYNTING VECTOR AND MDV The concept of group velocity has been introduced and developed to predict the velocity of an optical pulse, which is propagating in a dispersive medium [21], [24], [25]. The group velocity is defined as (54) which is expressed in terms of the frequency derivative of the wave vector. In this section, we will find an expression for the group velocity in terms of the Poynting vector and MDV. If we use (24a) and (54), we will find
(55)
We suppose that the media is lossless. If we use (24b), we will have
(56) We see that if a medium is not dispersive, the group velocity reduces to the phase velocity. In addition, we see that the group velocity has poles whenever (57) or at frequencies where (58) Around these poles or in any frequency band where the group velocity becomes larger than , the media is so dispersive that any group of signals will be strongly distorted [26]. vanishes, it means that there will be no propaWhen gating electromagnetic field [17]. In this situation, the phase and group velocities in (24b) and (56) vanish.
VII. CONCLUSION In this paper, in order to have a different method to study electromagnetic media, we examined the relation between the wave vector, Poynting vector, and MDV for plane waves. We showed that the wave vector and MDV are always parallel for homogeneous plane waves in linear, homogeneous, and time-invariant media provided there are no free charges and source currents, and based on it, we obtained a dispersion relation and determined the value of the phase velocity. We applied the results to several media and achieved valuable information. We also determined the relative orientation of the wave vector. In addition, we demonstrated that the wave vector and Poynting vector cannot be mutually orthogonal, and they should cast positive projections onto each other. The alternative expression of the phase velocity was studied under the Lorentz transformation. Moreover, a brief discussion on the group velocity was given. In contrast to the conventional method, which involves several matrix calculations, the presented method seems more convenient and informative. The proposed method relates the phase velocity directly to the energy and momentum of waves or to the fields’ intensities and densities, while all the conventional methods relate the phase velocity to the frequency and wave vector. REFERENCES [1] C. Caloz and T. Itoh, Electromagnetic Metamaterials: Transmission Line Theory and Microwave Applications, Engineering Approach. New York: Wiley, 2005. [2] J. A. Kong, Electromagnetic Wave Theory. New York: Wiley, 1986. [3] A. Serdyunkov, I. Semchenko, S. Tretyakov, and A. Sihvola, Electromagnetics of Bi-Anisotropic Materials Theory and Applications. New York: Gordon and Breach, 2001. [4] I. V. Lindell, A. H. Sihvola, S. A. Tretyakov, and A. J. Viitanen, Electromagnetic Waves in Chiral and Bi-Isotropic Media. Norwood, MA: Artech House, 1994. [5] S. He and L. V. Lindell, “Propagating eigenmodes for plane waves in a uniaxial bianisotropic medium and reflection from a planar interface,” IEEE Trans. Antennas Propag., vol. 41, no. 12, pp. 1659–1664, Dec. 1993. [6] R. D. Graglia, P. L. E. Uslenghi, and R. E. Zich, “Dispersion relation for bianisotropic materials and its symmetry properties,” IEEE Trans. Antennas Propag., vol. 39, no. 1, pp. 83–90, Jan. 1991. [7] E. L. Tan and S. Y. Tan, “Coordinate-independent dyadic formulation of the dispersion relation for bianisotropic media,” IEEE Trans. Antennas Propag., vol. 47, no. 12, pp. 1820–1824, Dec. 1999.
ZANDI et al.: ALTERNATIVE EXPRESSION FOR PHASE VELOCITY OF ELECTROMAGNETIC HOMOGENEOUS PLANE WAVES
[8] K. A. Vytovtov, “Analytical investigation of the electromagnetic waves in bianisotropic media,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 148, no. 4, pp. 257–260, Aug. 2001. [9] W. S. Weiglhofer and A. Lakhtakia, “Analytical investigation of electromagnetic waves in bianisotropic media,” Proc. Inst. Elect. Eng.—Microw., Antennas, Propag., vol. 149, no. 2, pp. 138–139, Apr. 2002. [10] D. K. Cheng and J. A. Kong, “Covariant description of bianisotropic media,” Proc. IEEE, vol. 56, no. 3, pp. 248–251, Mar. 1968. [11] C. R. Burrows, “Plane waves in dispersive media,” IEEE Trans. Antennas Propag., vol. AP-13, no. 5, pp. 759–774, Sep. 1965. [12] D. V. Geppert, “Energy transport velocity in electromagnetic waves,” Proc. IEEE, vol. 53, no. 11, p. 1790, Nov. 1965. [13] C. C. Johnson, “Energy and momentum transport velocities on transmission systems,” Proc. IEEE, vol. 56, no. 7, p. 1228, Jul. 1968. [14] D. F. Nelson, “Momentum, pseudomomentum, and wave momentum: Toward resolving the Minkowski-Abraham controversy,” Phys. Rev. A, Gen. Phys., vol. 44, no. 6, pp. 3985–3996, Sep. 1991. [15] N. F. Declercq, R. Briers, J. Degrieck, and O. Leroy, “The history and properties of ultrasonic inhomogeneous waves,” IEEE Trans. Ultrason., Ferroelect., Freq. Control, vol. 52, no. 5, pp. 776–791, May 2005. [16] J. B. Schneider and R. J. Kruhlak, “Dispersion of homogeneous and inhomogeneous waves in the Yee finite-difference time-domain grid,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 280–287, Feb. 2001. [17] O. Zandi, Z. Atlasbaf, and M. S. Abrishamian, “Combined electromagnetic energy and momentum conservation equation,” IEEE Trans. Antennas Propag., vol. 58, no. 11, pp. 3585–3592, Nov. 2010. [18] T. G. Mackay and A. Lakhtakia, “Orthogonal-phase-velocity propagation of electromagnetic plane waves,” 2005. [Online]. Available: http:// arxiv.org/abs/physics/0511210, 11 pp. [19] T. G. Mackay and A. Lakhtakia, “Positive-, negative-, and orthogonalphase-velocity propagation of electromagnetic plane waves in a simply moving medium: Reformulation and reappraisal,” Optik, vol. 120, pp. 45–48, 2009. [20] T. G. Mackay and A. Lakhtakia, “Negative refraction, negative phase velocity, and counterposition in bianisotropic materials and metamaterials,” Phys. Rev. B, Condens. Matter, vol. 79, 2009, Art. ID 235121. [21] K. E. Oughstun, Electromagnetic and Optical Pulse Propagation 1 and 2. Berlin, Germany: Springer, 2006. [22] I. V. Lindell, Methods for Electromagnetic Field Analysis. New York: IEEE Press, 1992. [23] R. S. Elliott, Electromagnetics, History, Theory, and Applications. New York: IEEE Press, 1993. [24] K. E. Oughstun, “Pulse propagation in a linear, causally dispersive medium,” Proc. IEEE, vol. 79, no. 10, pp. 1379–1390, Oct. 1991. [25] K. E. Oughstun and N. A. Cartwright, “Physical significance of the group velocity in dispersive, ultrashort gaussian pulse dynamics,” J. Mod. Opt., vol. 52, no. 8, pp. 1089–1104, May 2005. [26] J. D. Jackson, Classical Electromagnetics, 3rd ed. New York: Wiley, 1998.
2787
Omid Zandi (S’08) was born in Tehran, Iran, on April 25, 1982. He received the B.S. degree in electrical engineering from K. N. Toosi University of Technology, Tehran, Iran, in 2005, the M.S. degree in electrical engineering from Tarbiat Modares (T. M.) University, Tehran, Iran, in 2007, and is currently working toward the Ph.D. degree at T. M. University. His main fields of research are propagation in complex media, antenna theory, and design of passive microwave components. He is also interested in some courses of the theoretical physics such as the special theory of relativity and quantum electrodynamics.
Zahra Atlasbaf (M’08) received the B.S. degree in electrical engineering from the University of Tehran, Tehran, Iran, in 1993, and the M.S. and Ph.D. degrees in electrical engineering from the University of Tarbiat Modares, Tehran, Iran, in 1996 and 2002, respectively. She is currently an Associate Professor with the Faculty of Electrical and Computer Engineering, Tarbiat Modares University. Her research interests include numerical methods in electromagnetics, theory and applications of metamaterials, and microwave and antenna design.
Mohammad Sadegh Abrishamian received the B.S. degree from the High Institute of Telecommunication, Tehran, Iran, in 1970, the M.S. degree from Northrop University, Inglewood, CA, in 1978, and the Ph.D. degree from Bradford University, Bradford, U.K., in 1996, all in electrical engineering. For the past 29 years, he has been a faculty member with K. N. Toosi University of Technology, Tehran, Iran. His research interests include penetration and scattering of electromagnetic (EM) waves, photonic crystals, plasmonics, and computational electromagnetics.
2788
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Time-Domain Field and Scattering Parameter Computation in Waveguide Structures by GPU-Accelerated Discontinuous-Galerkin Method Carsten Potratz, Hans-Walter Glock, and Ursula van Rienen
Abstract—In this paper, we demonstrate the discontinuous Galerkin finite-element method (DG-FEM) used for the computation of electromagnetic fields in the interior of 3-D structures with open waveguide ports. This method is particularly applied to derive frequency-dependent scattering parameters. The method allows explicit formulations in time domain on unstructured meshes with high polynomial approximation order. Furthermore, it is well suited to be used in massively parallel organized computing environments. One necessary prerequisite for scattering parameter computations is the appropriate modeling of multimode broadband waveguide boundary conditions. Here we present a method of general applicability and its realization in the framework of DG-FEM. The entire procedure was implemented on low-cost graphic processing units. Two test examples are given, one of them of direct practical relevance in the field of particle accelerator design. The results are in excellent agreement with those of a commercially available frequency-domain finite-element method code. Index Terms—Discontinuous Galerkin, discontinuous Galerkin finite-element method (DG-FEM), graphic processing unit (GPU), -parameter, time domain, waveguide boundary condition.
I. INTRODUCTION HE NUMERICAL computation of electromagnetic fields and scattering parameters are common tasks during design and optimization of 3-D structures with open waveguide ports (further referred as “waveguide structures”). These computations can either be performed in the time domain [1],[2] or frequency-domain [3]. For an efficient time-domain computation, the structure is excited with a broadband signal. A modal decomposition of the electromagnetic fields at the port cross sections with a spectral analysis of the time-dependent modal amplitudes allows the computation of scattering properties of the structure. In frequency-domain computations, on the other hand, the Helmholtz equation is solved for a set of discrete frequency points in the range of interest [3]. While both approaches can be used for scattering parameter computations, the adequacy for a specific task depends on the
T
Manuscript received March 25, 2011; revised August 14, 2011; accepted August 17, 2011. Date of publication September 22, 2011; date of current version November 16, 2011. This work was supported by the German Ministry for Education and Research (BMBF) under Contract 05K10HRC. C. Potratz is with the Institut für Allgemeine Elektrotechnik (IAE), Universität Rostock, Rostock 18057, Germany (e-mail: carsten.potratz@uni-rostock. de). H.-W. Glock and U. van Rienen are with the Fakultät für Informatik und Elektrotechnik (IEF), Institut für Allgemeine Elektrotechnik (IAE), Universität Rostock, Rostock 18057, Germany, (e-mail: [email protected]; [email protected]). Digital Object Identifier 10.1109/TMTT.2011.2166163
characteristics inherent to the task. In the case of numerical design and optimization, where often only a subset of the full scattering matrix is of interest, a time-domain method might yield the required data faster and with minor hardware expenses compared to a nonparallelized frequency-domain approach. In this paper, we therefore aim for adapting this well-established method for scattering-parameter computation in order to exploit the low-cost computation power offered by modern graphic processing units (GPUs) for the customer market. The discontinuous Galerkin finite-element method (DG-FEM) is a promising approach for time-domain computations of scattering parameters for two reasons. Firstly, the method originally introduced in the early 1970s [4] allows for the formulation of explicit time-domain schemes with high polynomial approximation orders on unstructured meshes [5], [6]. Furthermore, the numerical evaluations required to compute the field inside an element are mostly independent of all other elements [7]. Thus, the method proved to be very well suited for parallel computations [8]. Gödel et al. demonstrated [9] the efficiency of a GPU implementation of the DG-FEM, mainly applied to exterior scattering problems. They report computational speed-ups by a factor of 30–40 by using a GPU instead of a CPU. Comparing hardware costs makes the use of GPUs instead of CPUs even more attractive. In general, for the simulation of an interior scattering problem, the reflection-free modeling of waveguide boundaries is essential. Only through appropriate boundary conditions can the structure under consideration be decoupled from any connected component. One common approach is the usage of a perfectly matched layer (PML) [10]. This introduces an additional layer of nonphysical material in front of the boundary that absorbs and dissipates an incident wave. The waveguide then can be terminated by a perfect electric conducting (PEC) boundary condition behind the PML. Assuming that a wave traverses the PML layer twice, enough energy might be dissipated so that the backscattered wave is significantly attenuated. While this seems to be a good option to realize a waveguide boundary at a first glance, it has severe drawbacks. The dissipation inside the PML region depends on the ratio of PML length to wavelength. Thus, while the guided wavelength approaches infinity in the vicinity of one mode’s cutoff frequency, the dissipation approaches zero. This results in a total reflection at the cutoff frequency. If a cross-coupling of different waveguide modes exists in a structure, the computed scattering parameters would be erroneous in the vicinity of all cutoff frequencies. Another waveguide boundary is described by Lou and Jin [11]. The authors use an analytic boundary condition based on a
0018-9480/$26.00 © 2011 IEEE
POTRATZ et al.: TIME-DOMAIN FIELD AND SCATTERING PARAMETER COMPUTATION IN WAVEGUIDE STRUCTURES
modal decomposition. This results in an implicit scheme for the waveguide port, and thus is not favorable for the construction of a fully explicit time-domain DG-FEM scheme. The boundary condition devised by Alimenti et al. [12] for finite-difference time-domain (FDTD) schemes is also based on a modal expansion of fields at the waveguide boundary. The approach is very accurate, but it is not well suited for the architecture of a general-purpose graphic processing unit (GPGPU) since it involves computationally expensive convolutions in every time step. A rather different approach, using a 1-D transmission line with the same dispersive properties as the waveguide, was proposed in [1] and [2]. Here, multiple 1-D equivalent circuit models of a transmission line are coupled with the 3-D computational domain in order to model the correct dispersion properties of each mode of the waveguide. The circuit elements are computed for the specific properties of the corresponding mode. Furthermore, the fully explicit nature of the scheme is conserved and no convolutions are required. This approach works well within the context of the finite integration theory (FIT) since it has the same numerical dispersion properties as the 3-D FIT method. However, for the DG-FEM method, this approach is not directly applicable. Therefore, we present a more general formulation of the concept, which suits both for the DG-FEM method and other time-domain methods. The paperisorganizedas follows.In SectionII,abriefoverview of the DG method is given for the computation of electromagnetic fields. In Section III, the wave propagation in waveguides is described in terms of a system of 1-D linear partial differential equations valid for any arbitrary time dependence. This is used in Section IV for the treatment of waveguide boundaries. The expressions arising from a discrete modal field analysis are collected and the procedure to gain -parameters is also explained. Two practical examples, results of the procedure and comparisons with those of a commercially available code, are presented in Section V. Conclusions are discussed in Section VI.
2789
denoting the coefficients of the nodal basis with functions for each field component. Throughout this paper, continuous vectorial quantities will be printed boldface, their discretized counterparts are labeled with a single underscore, whereas doubled underscores indicate matrix-type quantities. Inserting the approximation of (1) into Ampere’s and Faraday’s law, assuming constant material properties in each element, and applying Galerkin’s method of a weak formulation [6], two semidiscrete equations
(2) for the nodal electric and magnetic field coefficients for , the discrete each element can be derived. The mass matrix are comcurl operator , and the surface integration matrix block matrices posed of
with the entries for the th element given by
II. SEMIDISCRETE FORMULATION OF MAXWELL’S EQUATIONS USING THE DG-FEM For the application of the DG-FEM method [6], we assume that the computational domain has been decomposed into a set of nonoverlapping elements with each element containing allocation points. The required number of allocation points depends on the polynomial approximation order per element and is given by , assuming a 3-D domain discretized by tetrahedrons. Furthermore, we assume that each element is homogeneously filled with a specific material with the permittivity and the permeability . Within each element, a set of orthogonal nodal basis functions is dedenoting the th basis function fined with on the th element in Lagrange form [6]. The electric and magnetic field inside each element can then be approximated as
(1)
(3) It is important to notice that the integration is performed only on the single element and not on the entire domain. This results in a strongly local nature of the mass matrices, expressed by , which especially makes their their small size of immediate inversion feasible. This is the key fact to allow for the construction of an explicit time scheme. For the time integration of (2), we use an explicit fourth-order low-storage Runge–Kutta method [13], as suggested in [6]. Due to the strong locality of the discrete operators, an additional construction is needed to connect adjacent elements in order to compute a global solution. This connection is realized by the numerical flux in (2)
(4)
2790
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
This numerical flux might be understood as a penalty term minimizing jumps in field components across element borders. Here, a pure upwind flux [6] is used, which minimizes jumps in the components tangential to the tetrahedron’s faces normal vector . The double curly brackets in (4) denote the average of the convalue of the wave impedance or admittance nected elements
inside a homogeneously filled waveguide is then given by (here written for the TE case)
(5)
(11)
the rectangular brackets in across element borders [6]
and
are defined as the jump
(6) The superscript denotes the value at the inside of the element border, while the superscript refers to the value at the same coordinate, but corresponding to an adjacent element. In the DG-FEM, the boundary conditions are applied in a weak sense by manipulating the numerical flux from (4) at the boundary of the computational domain in contrast to FDTD methods. By far the most common boundary condition aside from the waveguide excitation/absorption is a PEC as an idealization of highly conducting materials. To apply a PEC boundary, which enforces the tangential electric field to vanish at these boundaries, a mirror principle is used
(10)
with the unknown amplitudes , , and and spatial distributions , , and . In order to keep the derivation compact, the special correlations , were taken from a more general treatment. Inserting (10) and (11) into Maxwell’s (9) (omitting the notation of independent quantities),
(12)
(7) A perfect magnetic conducting (PMC) material can be realized similarly by mirroring the magnetic field at the boundary (8)
(13)
-dependent from -dependent quanallows to separate tities. This demands for the introduction of two separation constants ,
III. WAVEGUIDE FIELDS OF ARBITRARY TIME DEPENDENCE In this section, a new method is presented to model waveguide boundaries for the simulation of fields of general time dependence in enclosed structures with open ports. We restrict ourselves to the case of homogeneously filled port cross sections, whereas the inner structure volume may be inhomogeneously filled. Furthermore, it is assumed that the contour of the port cross section is either PEC or PMC leading to a discrete eigenvalue spectrum. It is also assumed that an infinite set of 2-D eigensolutions, called modes, exist in the cross section of a waveguide. These eigensolutions can be separated into TM and TE modes. Furthermore, it is assumed that a separation of the fields in two functions is possible: One function depends on the transversal , the other depends on the longitudinal position position and the time . The solution of Maxwell’s equations
(9)
(14) (15) The left equation in (15), the first row of (12), and the left equation in (14) form a system of coupled first-order partial differential equations (16) (17) (18) and This system implicitly ensures . After very few elementary steps of appropriate differentiation and mutual replacement, it leads to a 1-D Klein–Gordon
POTRATZ et al.: TIME-DOMAIN FIELD AND SCATTERING PARAMETER COMPUTATION IN WAVEGUIDE STRUCTURES
equation [14], which holds for all three amplitude quantities
(19)
It is not the intention to give explicit solutions of (19) or the underlying first-order system equations (16)–(18), as done, for example, in the discussion in [15]. Instead, we aim for a numerical treatment, as will be shown later. Inserting the two right-hand sides from (15) into the righthand side of (14) directly results in the 2-D Helmholtz equation
(20)
with placement
denoting the 2-D Laplacian. Here, the re-
2791
A. Discretized Field Decomposition in Waveguide Cross Sections of (20), denoting either With the th known eigensolution the electric (in the TE case) or magnetic (in the TM case) field, is known the complementary vector field distribution (23) Furthermore, we assume that
is normalized such that (24)
holds.1 The inner product defined here is computed over the cross section of the waveguide, in which the Helmholtz equation (20) was evaluated. With the vectors defined in (23), the transversal field components of the th mode at the longitudinal position and time can be written for TE modes
(21) was used in order to resemble the common notation of the 2-D eigenproblem. The infinite set of eigenfunctions of (20) are the transversal field distributions of the waveguide’s eigenmodes, which depend on the actual shape of the cross section. In (20), has the physical meaning of the transverse wavenumber, which is easily illustrated by inserting a harmonic space–time depen(with as the longitudinal wavenumber, dence as the circular frequency, and denoting the imaginary unit) in (19). This leads to (22) which is the well-known dispersion relation for waveguide modes [16]. is explained The presence of two separation variables by the freedom of scaling in the product ansatz (10), (11) already and may be chosen freely without mentioned. One of and are coupled changing the results of the computation. by (21), where the right-hand side is controlled by the actual solution of (20). This keeps the system (14), (15) consistent, and . Only the ratio independent of a special choice of and inversely would be affected. This has no practical meaning since there is no normalization condition for to be obeyed, whereas the transversal distribution needs to be normalized in preparation for the discretization scheme. This is discussed in Section IV.
(25) and TM modes
(26) with , , , and denoting the modal expansion coefficients for the electric field (in volts) and magnetic field (in amperes), respectively. Since the normalized solutions of (20) with respect to the inner product of (24) form an orand thonormal basis, the transversal field components of any arbitrary field inside the waveguide’s cross section can be expressed in terms of a weighted sum of these eigensolutions
(27) The expansion coefficients are the sum of the amplitudes of an inward and outward propagating wave with standing either for TE or TM2
(28) IV. DISCONTINUOUS GALERKIN DISCRETIZATION OF WAVEGUIDE FIELDS We now generalize the discussion from a single mode’s behavior described in Section III to an entire set of modes needed to decompose a general waveguide field. This demands for a more complicated indexing, especially since TE and TM modes need complementary expressions.
The implications of this decomposition are going to be discussed in Section IV-C. In most practical applications, all propagating modes are considered predominantly. This is a limited number for any given upper frequency bound. The influence
V
(24) also defines the unit of as 1=m. amplitudes have a temporal and longitudinal dependence, as denoted in (25), which is omitted here for easier reading. 1Equation 2The
2792
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
of evanescent modes decreases exponentially with the distance from an inhomogeneity of the cross section. For a given upper frequency and accuracy limit, the attenuation of the evanescent modes can be estimated analytically by the distance between the boundary and the geometric inhomogenity. All evanescent modes that are not attenuated sufficiently below the desired accuracy limit should be considered in the modal development. In most cases, the sums in (27) can be truncated to a small number of modes. Nevertheless, the procedure described here is not restricted to propagating modes, even though the expansion (27) has to be limited (usually at rather large numbers beyond 10 ) for practical reasons. The choice of the number of considered modes lies in the responsibility of the user. Due to the normalization condition of (24), the amplitudes in (27) can be calculated directly at any longitudinal position by
(29)
and TM modes, respectively,
(32) Applying the nodal DG-FEM formalism [6] leads to a semidiscrete form for TE modes
and TM modes
The inner product in (29) can be directly transferred to the discrete DG-FEM formulation using ansatz (1)
(30) realizing the discrete integration on the th with the matrix are given by element’s face. The entries of
with the numerical flux given by
(33)
(31) Here, the basis functions in Lagrange notation are integrated over the element’s face contributing to the cross section where the inner product in (24) is evaluated. The summation is performed on all element faces contributing (with the correct normal vector) to the integration surface in (29), which is the and denote cross section of the waveguide. The vectors the transposed time-dependent nodal values of the transversal , , , and field components, while denote the nodal values on the th element of the discrete eigensolution satisfying (20). B. Wave Propagation in Time Along the Discretized Waveguide The -dependence of each mode amplitude derived in Section III is repeated here in generalized notation and separately for TE modes
, the discrete derivation operator , and the mass matrice derived in [6] for 1-D and the surface integration matrix considered problems. For multimoded waveguides with modes, 1-D waveguide models are necessary. C. TE/TM Waveguide Excitation and Absorption Fig. 1 shows a sketch of the interface of a 3-D waveguide and multiple 1-D models. We will start with the case of a passive waveguide, which has no inward propagating wave. Thus, and are zero. The slightly more comall amplitudes plicated case of an active excitation is presented afterwards. To achieve a reflection-free termination (within numerical bounds), it is necessary to compute the correct electric and magnetic field and , see Fig. 1). distributions at the outer face nodes ( Therefore, the following steps are performed in each time step for each port and every mode, which is not actively excited. Step 1) Perform modal analysis (31) to compute the modal and expansion coefficients at the inner evaluation face. Step 2) Compute amplitudes of outward oriented waves , (28): . and as boundary conStep 3) Apply to the corresponding outward-oriditions at ented waveguide models.
POTRATZ et al.: TIME-DOMAIN FIELD AND SCATTERING PARAMETER COMPUTATION IN WAVEGUIDE STRUCTURES
Fig. 1. Coupling section of the 3-D waveguide with 1-D waveguide models. The modal analysis gives the modal expansion coefficients, from which the amplitudes of the outward propagating waves are computed. The field distribution , at the outer face is computed by the superposition of previously computed eigensolutions. The expansion coefficients can be taken directly from the 1-D waveguide models.
E H
Step 4) Use the amplitudes and of the waves traveling along the 1-D waveguide models as modal coefficients and together with the eigensoat the outer face to compute lutions the electric and magnetic field distribution and for the boundary of the 3-D domain using (27). and as boundary conditions to the Step 5) Apply . outer face at Step 6) Perform one time integration step of the 3-D domain and the 1-D waveguide models. Two conditions are necessary to hold for this approach. All modes contributing noticeably to the field distribution at the outer face must be considered. This includes all propagating modes and eventually evanescent modes depending on their cutoff frequency and the distance to the next geometric inhomogeneity for a given frequency range. Every mode considered must be modeled with a 1-D waveguide model. Furthermore, no reflection from the 1-D waveguide models should occur. This can be accomplished by two strategies. Either the 1-D waveguide models are sufficiently long that energy reflected at the end cannot reenter the 3-D simulation domain within the integration time under concern or the 1-D models are truncated by the exact solution of (32), as described in [14]. It depends on the time simulated in total, which of both strategies is more suitable. For simulations using not more than a few hundred elements for each 1-D waveguide mode model, a sufficiently long waveguide model with a PEC truncation is an easy and appropriate choice, which avoids the computationally more expensive evaluations of the Bessel functions and convolutions
2793
of the exact solution of (32) to be executed on the GPGPU. If, on the other hand, the number of elements necessary for each 1-D waveguide mode exceeds a few hundred, a truncation of the 1-D waveguide models with the exact solution given, for example, in [14], is reasonable. The approach described above can, with slight modifications, also be used for a correct excitation of a waveguide mode. For this purpose, an additional waveguide model with the same dispersive characteristics of the excited mode is used (see Fig. 1). The following steps are performed for the excited mode. Step 1) Perform modal analysis (31) to compute the modal and . expansion coefficients Step 2) Read amplitudes of inward-propagating mode and from the 1-D excitation . waveguide model at Step 3) Compute amplitudes of outward oriented wave , (28): . and as boundary conStep 4) Apply ditions at to the corresponding outward-oriented waveguide models. and Step 5) Use the amplitudes of the waves traveling along the 1-D waveguide models as modal coefficients and together with the eigensoat the outer face to compute lutions the electric and magnetic field distribution and for the boundary of the 3-D domain using (27). Step 6) Add the electric and magnetic field (amplitudes and ) to the computed fields and . and as boundary conditions to the Step 7) Apply . outer face at and as boundary Step 8) Apply conditions to the excitation waveguide model. Step 9) Perform one time integration step of the 3-D domain and the 1-D waveguide models. With the procedure described above, a truncation of the 3-D computational domain is provided. This truncation ensures that no reflection—caused by any existing termination—may reach the computational volume during the simulated time span. Under this condition, this kind of waveguide boundary may be considered as ideally reflection free apart from discretization and numerical errors. Furthermore, all considered mode amplitudes, separated in inward and outward directed signals, are also known and available for further evaluation. D. TEM Waveguide Excitation and Absorption While the excitation and/or absorption of TE/TM required specific steps, the handling of TEM-type modes is, due to their nondispersive nature, rather simple and straightforward. , Enforcing the outer nodes to be zero the resulting numerical flux will automatically yield the correct boundary condition and allows for an outgoing wave to propagate out of the computational domain. Should the boundary also be used to excite an inward-traveling wave, the excitation field distribution is used for the outer nodes
2794
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
. In this case, the numerical upwind flux yields the correct boundary condition as well. It is important to notice that this is only valid for pure TEM modes. Any other mode must be treated as described in the TE/TM section. E.
-Parameter Computation
After a separation of inward- and outward-propagating (or decaying) modes has been performed—with all amplitudes known at this point—the determination of linear parameters describing the relationship between the amplitudes and phases is the last evaluation step. We will focus on scattering parameters, but any other description like impedance or admittance parameters can also be derived. In the remainder of this paper, we will use relates the frethe following notation. The parameter quency-dependent amplitude and phase of the outward propagating wave of mode at port to an incident excitation at port of mode under the assumption that both ports are terminated with matching loads. , the time signals from (28) For the computation of and have to be Fourier transformed. Here, denote the Fourier-transformed time-dependent amplitudes of either the transversal electric of magnetic field of the inwardand outward-oriented wave at port of mode from (28). With the computed frequency spectrum, the scattering parameter is given by (34) It should be noted that there are different definitions of scattering parameters using different normalizations to either wave or reference impedances. Since this is only a (frequency dependent) scaling, these definitions can easily be derived from (34) [16]. V. APPLICATION EXAMPLES AND RESULTS In this section, we present a comparison between an analytic and numeric computation with the proposed method and two application examples. For the actual implementation, we used framework [17]. This framework implements the the NUDG basic DG-FEM functionality as described in [6] and also provides an implementation of a time iterator that integrates (2) for graphic cards based on the NVIDIA CUDA library [18]. Within this framework, we implemented the above-described procedure as GPU-ready code. In Section V-A, we will present and compare scattering parameters computed with the developed code on a NVIDA GTX470 GPU as a low price consumer graphic card. As a reference solution, we also modeled and simulated both structures with the commercial frequency-domain finite-element method (FEM) suite HFSS V13.0 [19] on a high-end workstation with an Intel XEON 3.47-GHz CPU and 48-GB RAM. The HFSS computations were performed without GPGPU acceleration or other means of parallelization. The time-domain excitation was performed at the waveguide boundary with a broadband Gaussian-modulated sinusoidal pulse.
Fig. 2. Coarse discretized model of a rectangular waveguide (top) and magnitude of the computed front-to-end-transmission S for the fundamental TE mode (bottom). The dashed line marks the analytic solution, while the continuous line represents the transmission computed numerically.
A. Rectangular Waveguide The first numeric example consists simply of a rectangular height length mm waveguide section width mm mm and is used to demonstrate the accuracy and the limits of the proposed method. Fig. 2 shows the discretized model and the computed transmission in a frequency range from 1 to 3 GHz. The dashed line marks the exact analytic solution, while the continuous line represents the magnitude of the computed transmission. The maximal relative error above the cutoff frequency is smaller than 10 and can be further decreased with a finer discretized geometry (a detailed discussion of the convergence properties is given in [6]). The relative error below the cutoff frequency increases with decreasing frequency, which is related to the decreasing amplitudes due to the attenuation below the cutoff frequency and the limited accuracy of the single precision computations on the GPGPU. Nevertheless, the analytic solution and the numerically computed scattering parameters are in very good agreement down to a transmission level of 100 dB. Furthermore, the region around the cutoff frequency is very well resolved. Thus, in conclusion, the limit for accuracy is determined mainly by the limited accuracy of the single precision computations of the GPGPU. Nevertheless, the example shows indeed, that the dynamic range of dominant technical relevance down to 100 dB can be covered accurately. B. Waveguide Splitter The first application example (see Fig. 3) is a Magic Tee 3-dB splitter (without internal matching structure). The rectangular waveguides have cross-section parameters identical to a stanheight mm mm dard waveguide WG10 width with a cutoff frequency of the mode of 2.078 GHz. This structure will split an incident wave at port one into two waves of equal amplitudes at ports 2 and 3.
POTRATZ et al.: TIME-DOMAIN FIELD AND SCATTERING PARAMETER COMPUTATION IN WAVEGUIDE STRUCTURES
2795
Fig. 3. Meshed model of the first application example. The waveguide splitter will divide an incident wave into two waves with equal amplitudes at ports 2 and 3.
Fig. 5. Magnitude and phase of the transmission (S ) with respect to frequency. The black line displays the scattering parameters computed with the time-domain DG code, and circles indicate the discrete reference solution computed by the commercial frequency-domain FEM code HFSS.
third-order polynomial approximation 93K degrees of freedom (dofs) within 61 s. The simulated time span of 65 ns is equivalent to 65 full cycle oscillations at the lowest frequency of interest (analysis interval 1–3.9 GHz). The computation of the reference solution took 180 s. C. Higher Order Mode (HOM) Coupler
Fig. 4. Magnitude (top) and phase (bottom) of the input reflection (S ) with respect to frequency. The black line displays the scattering parameters computed with the time-domain DG code, and circles indicate the discrete reference solution computed by the commercial frequency-domain FEM code HFSS.
The scattering parameters depicted in Figs. 4 and 5 show the input reflection and the transmission to the second and third waveguide port (only one transmission shown due to symmetry). The HFSS reference solution is shown as circles. A comparison of the DG-FEM time-domain solution with the reference solution shows an excellent agreement between both. The DG-FEM time-domain solution was computed with
The second application example is a modified version of a large electron-position collider (LEP)-type HOM coupler [20], shown in Fig. 6. These couplers are designed to extract energy from an accelerating structure deposited by a highly relativistic particle beam. The optimization of the HOM coupler is a typical example where a time-domain method be utilized. Only a limited subset of the scattering parameters (here, the coupling of the waveguide modes of the beam pipe to the coaxial line) in a broader frequency spectrum is of interest for an optimization of the design to achieve an optimal performance. For an optimal performance, the coupling of all modes and polarizations to the coaxial connector with its resistive load should be maximal, while the coupling to the accelerating mode of the adjacent cavities at the nominal operation frequency should be minimal. Therefore, the internal coupler structure realizes a narrow bandstop filter with the attenuation being maximal at the accelerating frequency. The beam pipe, in RF terms a circular waveguide, has a diameter of 130 mm. The adjacent cavities use a TM-type monopole mode of mode for acceleration, which only couples to the
2796
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 6. Model of a LEP-type HOM coupler. Filter pickup/antenna section rotated by 90 with respect to the main beam axis.
of TEM type. The coupling of each mode, as well as the position of the gap in the transmission spectrum, can be modified by a variation of the geometric parameters of the coupling antenna, as well as by the rotation of the coupler with respect to the beam pipe. The excitation was triggered at the coaxial port, which allows for the computation of all transmission parameters from either beam pipe port to the load attached at the coaxial connector. The simulation was performed with a third-order polynomial approximation leading to 1.17 million dofs. The details of the internal filter structure enforced a very small time step ( 1000 steps per period of the maximum frequency under analysis) leading to a total simulation time of 840 s. More than 95% of this total computation time is spent for the integration of the inner volume fields; less than 5% are needed to update the 16 waveguide models. The computation of the reference solution took 1040 s using an interpolating sweep with first-order basis functions. The plots in Fig. 7 show the amplitude and phase of the trans- to TEM-type mode of the mission from the beam pipe coaxial line. The circles indicate the reference solution computed with HFSS. The time- and frequency-domain parameters are in good agreement. The effect of the internal filter structure can be observed very well in the scattering parameter at approximately 1.55 GHz. Due to the orientation of the coupling and show the same behavior antenna, both with respect to frequency. VI. CONCLUSION
Fig. 7. Magnitude and phase of the transmission (S ) of the TEM-coaxial mode to the TM mode of the beam pipe section with respect to frequency. The black line displays the scattering parameters computed with the time-domain DG-FEM code, circles indicate the discrete reference solution computed by the commercial frequency-domain FEM code HFSS.
the beam pipe. In this example, we computed the coupling between the two waveguide ports and the coaxial coupler in a frequency range of 600 MHz–3 GHz. With the given cross section, eight modes (including dipole polarizations) have to be considered at each end of the beam pipe. In this frequency range, the coaxial coupler supports only one propagating mode, which is
In this paper, we have shown that the DG-FEM is very well suited for the time-domain computation of electromagnetic fields inside RF structures with open ports. It furthermore allows to compute frequency-dependent scattering parameters. The method offers the geometric flexibility of an unstructured mesh, allows for an arbitrary approximation order, and can be used to construct explicit time integration schemes. We presented three test examples, one of practical relevance in the field of particle accelerator RF components, proving both an excellent precision of the method and its performance in comparison with a well-established commercial FEM code. The presented method may be used to compute scattering parameters of weakly resonant RF structures with significantly reduced hardware effort. This makes the DG-FEM method in conjunction with a cluster of consumer GPUs an ideal basis for the numeric optimization of RF components, as well as for parameter sweeps. While making use of several well-proven concepts for explicit time-domain computations based on other discretization schemes, we demonstrate a new approach in order to provide broadband reflection-free terminations of open waveguide ports. This is a key problem for any kind of high-frequency field computations in interior domains with open cross sections. Our approach avoids any termination mismatch by prolonging the 3-D domain with multiple 1-D models. This is only affordable by the reduction of the time-domain wave propagation in the waveguide to a system of three coupled linear 1-D partial differential equations of first order, which may be understood as a decomposition of the second-order 1-D Klein–Gordon equation. The
POTRATZ et al.: TIME-DOMAIN FIELD AND SCATTERING PARAMETER COMPUTATION IN WAVEGUIDE STRUCTURES
numerical solution, also based on a DG-FEM discretization, is performed concurrently to the integration of the fields inside the computational domain. It is also implemented on a GPU, where it takes an almost negligible amount of the overall computational effort. Yet rather powerful, the presented approach may be expanded in a few manners. Especially the third application example, with its fine geometrical details, highlights the need for local time stepping in order to avoid very small global time steps. An appropriate approach tailored for the special architecture of a GPU was presented by Gödel et al. [21], With this approach, fields in very small elements can be integrated with a much smaller time step than in elements of average size. The need of additional communication between elements with different time-step sizes, on the other hand, demands for a sophisticated implementation and a sensible adjustment of the simulation parameters to ensure an accurate computation of the scattering properties. Further research will concentrate on these questions. ACKNOWLEDGMENT for proThe authors thank the developers of NUDG viding a powerful DG-FEM framework. Furthermore, the authors thank G. Pöplau, and C. Bahls, both with the University of Rostock, Rostock, Germany, for helpful discussions. REFERENCES [1] H. Wolter, M. Dohlus, and T. Weiland, “Broadband calculation of scattering parameters in the time domain,” IEEE Trans. Magn., vol. 30, no. 5, pp. 3164–3167, Sep. 1994. [2] B. Geib, M. Dohlus, and T. Weiland, “Calculation of scattering parameters by orthogonal expansion and finite integration method,” Int. J. Numer. Model., vol. 7, pp. 377–398, 1994. [3] Z. Cendes and J.-F. Lee, “The transfinite element method for modeling MMIC devices,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1639–1649, Dec. 1988. [4] W. Reed and T. Hill, “Triangular mesh methods for the neutron transport equation,” Los Alamos Sci. Lab., Los Alamos, NM, Tech. Rep. LA-UR-73-479, 1973. [5] J. Hesthaven and T. Warburton, “Nodal high-order methods on unstructured grids: I. Time-domain solution of Maxwell’s equations,” J. Comput. Phys., no. 181, pp. 186–221, 2002. [6] J. Hesthaven and T. Warburton, Nodal Discontinuous Galerkin Methods, 1st ed. Berlin, Germany: Springer, 2007. [7] N. Gödel, T. Warburton, and M. Clemens, “GPU accelerated discontinuous Galerkin FEM for electromagnetic radio frequency problems,” in IEEE APSURSI, 2009, pp. 1–4.
2797
[8] A. Klöckner, T. Warburton, J. Bridge, and J. S. Hesthaven, “Nodal discontinuous Galerkin methods on graphics processors,” J. Comput. Phys., vol. 228, no. 21, pp. 7863–7882, 2009. [9] N. Gödel, N. Nunn, T. Warburton, and M. Clemens, “Scalability of higher-order discontinuous Galerkin FEM computations for solving electromagnetic wave propagation problems on GPU clusters,” IEEE Trans. Magn., vol. 46, no. 8, pp. 3469–3472, Aug. 2010. [10] J.-P. Berenger, “A perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 114, no. 2, pp. 185–200, Oct. 1994. [11] Z. Lou and J.-M. Jin, “An accurate waveguide port boundary condition for the time-domain finite-element method,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 3014–2023, Sep. 2005. [12] F. Alimenti, L. Roselli, and R. Sorrentino, “A revised formulation of modal absorbing and matched modal source boundary conditions for the efficient FDTD analysis of waveguide structures,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 1, pp. 50–59, Jan. 2000. [13] M. H. Carpenter and C. A. Kennedy, “Fourth-order 2 -2torage Runge–Kutta schemes,” NASA Langley Res. Center, Hampton, VA, NASA Rep. 109112, 1994. [14] G. Kristensson, “Transient electromagnetic wave propagation in waveguides,” Dept. Electrosci., Lund Inst. Technol., Lund, Sweden, Tech. Rep. CODEN:LUTEDX/(TEAT-7026)/1–24/(1993), 1995. [Online]. Available: http://www.es.lth.se/teorel/Publications/TEAT-7000-series/TEAT-7026.pdf [15] O. A. Tretyakov and O. Akgun, “Derivation of Klein–Gordon equation from Maxwell’s equations and study of relativistic time-domain waveguide modes,” Progr. Electromagn. Res., vol. 105, pp. 171–191, 2010. [16] R. E. Collin, Foundations for Microwave Engineering, 2nd ed. New York: Wiley, 2000. [17] N. Nunn and T. Warburton, NUDG++: A Nodal Unstructured Discontinuous Galerkin Framework. Brown Univ., Providence, RI, 2007. [18] “NVIDIA CUDA Programming Guide 3.0,” NVIDIA, Santa Clara, CA, 2010. [19] Ansoft HFFS. ver. 13.0, ANSYS, Canonsburg, PA, 2010. [20] E. Haebel, “Beam tube couplers for the superconducting LEP cavity,” in Proc. SRF Workshop, 1984, pp. 299–322. [21] N. Gödel, S. Schomann, T. Warburton, and M. Clemens, “GPU accelerated Adams–Bashforth multirate discontinuous Galerkin FEM simulation of high-frequency electromagnetic fields,” IEEE Trans. Magn., vol. 46, no. 8, pp. 2735–2738, Aug. 2010.
N
Carsten Potratz, photograph and biography not available at time of publication.
Hans-Walter Glock, photograph and biography not available at time of publication.
Ursula van Rienen, photograph and biography not available at time of publication.
2798
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
An Accurate and Efficient Evaluation of Planar Multilayered Green’s Functions Using Modified Fast Hankel Transform Method Joshua Le-Wei Li, Fellow, IEEE, Ping-Ping Ding, Said Zouhdi, Senior Member, IEEE, and Swee-Ping Yeo, Member, IEEE
Abstract—When the fast Hankel transform (FHT) filter technique is used to calculate the spatial-domain Green’s functions for planar multilayered media, it can be difficult to obtain accurate numerical results because of branch-cut singularities and the surface-wave pole singularities. Although the singularities can be efficiently avoided through deforming the integration path of the Hankel transform from the real axis to the first quadrant in the complex plane, the argument of the integral kernel becomes a complex number so that the FHT filter algorithm cannot be directly applied. The modified FHT filter algorithm is proposed to overcome this problem by expressing the Bessel function with a complex argument as a sum of terms of product of Bessel function with the real part of the argument and Bessel function with the imaginary part of the argument. The FHT filter technique can then be applied to each expansion term. Numerical results confirm that the proposed approach has high accuracy and good efficiency in the near and intermediate fields. More importantly, it successfully extends the applicability of the conventional FHT method for general multilayered geometries. Index Terms—Complex plane analysis, computational techniques, electromagnetic theory, guided-wave structures, multilayered Green’s functions, numerical computation of electromagnetics, numerical methods.
I. INTRODUCTION HE method of moments (MoM) solution to the integral equation has been widely used for handling multilayered medium electromagnetic scattering and radiation problems. A crucial issue in the accurate and efficient MoM analysis is an efficient calculation of Green’s functions for multilayered media that are expressed in terms of Sommerfeld integrals (SIs) [1]–[3]. In general, the SIs cannot be analytically evaluated and the numerical integration requires an enormous amount of computational time since the integrands are highly oscillating and slowly decaying due to Bessel functions and singularities of
T
Manuscript received March 12, 2011; revised July 31, 2011; accepted August 12, 2011. Date of publication October 03, 2011; date of current version November 16, 2011. The work of J. L.-W. Li was supported under the terms of a 1000-Plan Chair (or National) Professorship at the University of Electronic Science and Technology of China under the Chinese Government 1000-Plan. The work of P.-P. Ding was supported by the National University of Singapore and Supelec, France, under a Joint Ph.D. Degree Program. J. L.-W. Li is with the Institute of Electromagnetics and School of Electronic Engineering, University of Electronic Science and Technology of China, Chengdu 611731, China (e-mail: [email protected]). P.-P. Ding and S.-P. Yeo are with the Department of Electrical and Computer Engineering, National University of Singapore, Singapore 117583. S. Zouhdi is with the Laboratoire de Génie Electrique de Paris, École Supérieure D’Électricité, 91192 Gif-Sur-Yvette, Cedex France. Digital Object Identifier 10.1109/TMTT.2011.2166803
spectral-domain Green’s functions. To address this bottleneck, several efficient methods have been proposed to expedite the computation of the SIs [4]–[9]. Among those that recently attracted a lot of interest and attention are the discrete complex image method (DCIM) [10]–[13], the steepest descent path (SDP) method [14], the window function method (WFM) [15], and the fast Hankel transform (FHT) method [16]. The essence of DCIM is to approximate spectral-domain Green’s functions in terms of complex exponentials using the generalized pencil of function (GPOF) method so the spatial-domain Green’s function can be analytically derived in closed form via the Sommerfeld identity. The first research work published on this method for the multilayered problems was carried out by Fang et al. [4]. The well-known two-level sampling algorithm was then proposed to reduce the number of samples required for the exponential approximation [11]. However, the two-level approach, together with the explicit extraction of the surface-wave poles (SWPs) [17]–[20], is capable of approximating spherical and cylindrical wave components, but not the lateral waves. To overcome this shortcoming, the three-level algorithm [8] and the uniform asymptotic expansion technique [9] were recently proposed to calculate the dyadic Green’s functions over all distance ranges. The SDP method employs the saddle point, the least rapidly oscillating value of the integrand, to deform the integration path to a constant phase path on which the integrand descends steeply away from the saddle point. Different steepest descent paths have to be carefully chosen, depending on the relative position between the branch point and the saddle point. For the general multilayered geometries, the spectral-domain Green’s functions have a set of different exponential terms that have different saddle points. Hence, the integration process of the SDP method is quite complicated in both theoretical derivations and numerical computations for general multilayered problems. The WFM utilizes a window function as a convolution kernel to the spatial-domain Green’s function in order to accelerate the function’s decay in the spectral domain. The fast-decaying window function in the spectral domain effectively provides a steep descent path for the integration, and thus the information of original steep descent paths is unnecessary. The spatial-domain Green’s function is approximated by a second-order Taylor expansion. Since the Taylor series expansion requires a large amount of integrals and the approximation is only valid for a limited distance range, the calculation of the spatial-domain Green’s function is quite time consuming and accurate results are obtained only for the near-field region.
0018-9480/$26.00 © 2011 IEEE
LI et al.: ACCURATE AND EFFICIENT EVALUATION OF PLANAR MULTILAYERED GREEN’S FUNCTIONS USING MFHT METHOD
The FHT method transforms the SI into a linear discrete convolution, and the convolution results can be regarded as the system response of a digital filter. In planar multilayered problems, we often deal with situations where the integrand of the SI has SWPs and branch-cut singularities along the integration path. Since the conventional FHT filters developed until now only permit the input function to be smooth and the branch-cut singularity cannot be removed from the integrand, the conventional FHT method is only applicable for shielded multilayered geometries with the extraction of SWPs. In this paper, we propose a modified fast Hankel transform (MFHT) filter algorithm to calculate the spatial-domain Green’s functions for general multilayered geometries. Firstly, the Sommerfeld integral path (SIP) is deformed from the real axis into the first quadrant to move away from the branch-cut singularity and the SWPs singularity. In this way, a relatively smooth integrand of the SI can be obtained even without extracting the contribution of singularities. Secondly, we express the Bessel function with a complex argument as a sum of terms of product of the Bessel function with a complex argument and the Bessel function with an imaginary argument. For each term in the expansions, the traditional FHT filter algorithm can be used. Although each term has a different order, an algorithm for the calculation of the high-order Hankel transform filter coefficients has been successfully developed [21]. The choices involved in the deformed integration path and the number of expansion terms determine the accuracy and efficiency of the MFHT method. To minimize the truncation error and reduce the computational time, the number of expansion terms has to be carefully chosen. In all the numerical calculations, the maximum relative truncation error is set to be 10 ; thus, the accuracy of the expansion of Bessel function can be guaranteed. In this paper, we have developed the MFHT filter algorithm by making use of the FHT filters, which were proposed in [21], and can be readily obtained for high orders. A brief overview of the planar multilayered Green’s functions is given in Section II. A detailed description of the MFHT filter design is then given in Section III where the criteria for choosing the deformed integration path and other crucial parameters are explicitly explained. In Section IV, the accuracy and efficiency of the proposed method are demonstrated by numerical examples. Finally, Section V provides conclusions. II. MULTILAYERED GREEN’S FUNCTIONS Fig. 1 depicts the general planar multilayered media where arare bitrary electric and magnetic current distributions and located. Throughout this paper, a time dependence factor is assumed, but subsequently suppressed. The electromagnetic fields due to arbitrary sources may be expressed in the following mixed potential forms:
2799
Fig. 1. Typical multilayered media with arbitrary electric and magnetic currents.
where denotes the dyadic Green’s function for the magnetic/electric vector potential, represents the scalar Green’s function for the electric/magnetic scalar potenstands for the dyadic Green’s function for tial, and the coupled field. All these Green’s functions for the vector and scalar potentials are not uniquely defined for the multilayered problems. It is preferable to choose Michalski–Zheng’s C-formulation [1]
(2a)
(2b)
The spatial-domain Green’s functions are calculated from the spectral domain through the SIs. As pointed out in [22] and [23], the spectral-domain Green’s functions due to an arbitrary embedded source can be derived from the reflection and transmission properties of TE and TM wave modes in the multilayered isotropic and anisotropic media. The spectral-domain Green’s function can be directly used in integral-equation formulations based on the spectral-domain approach. However, compared with the spatial-domain integral-equation technique, the spectral-domain approach is less flexible in terms of the geometries it can handle and is less efficient due to the double spectral integration. Therefore, the spatial-domain Green’s function is more attractive for the mixed potential integral equation. Generally, the planar multilayered Green’s functions of the vector and scalar potentials in the spatial domain are expressed in terms of SIs of their spectral-domain counterpart, i.e.,
(1a)
(1b)
(3)
2800
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
A. Formulations of MFHT The second integral in (4) can be written as
(5) Fig. 2. Deformed Sommerfeld integration path.
where Since the integrand is typically oscillating while slowly decaying due to the properties of Bessel functions and their resultant spectral-domain Green’s functions, a direct numerical evaluation of these integrals is quite time consuming. The FHT filter technique can be used to transform the Hankel integral into a linear discrete convolution to improve the computational efficiency. Therefore, the conventional FHT method can be applied for the multilayered problems. When the traditional FHT method is applied for the shielded multilayered geometries, it is necessary to locate the positions of SWPs and extract their contributions from the spectral-domain Green’s functions for the sake of obtaining a smooth input function. These contributions in the spatial domain are then analytically evaluated via the residue calculus technique. Although the contour integration method [13], the root-searching technique [18], and the sine transformation method [19] are proposed to extract the contribution of the SWPs, it is still complicated to accurately and efficiently locate the positions of SWPs. For the semiopen and open structures, the spectral-domain Green’s functions have singularities at the branch points, which are related with the outermost regions. Since an analytical extraction of such a contribution of branch-cut singularity is not available, the conventional FHT method is not applicable for the two geometries.
(6a) (6b) and the input function being a complex funcwith tion of the real argument . Although the input function of this integral becomes a smooth function along the deformed integration path, the argument of the Bessel function becomes complex. Since the FHT filters developed until now are only applicable to Bessel functions of real argument in the Hankel integral, the traditional FHT method cannot be directly applied. In order to use the FHT method, a Bessel function with a complex argument can be expressed as [24] (7) The two arguments, and , can be arbitrary values and the Bessel functions with complex arguments in (5) are expanded by the following sum: (8) so that (5) can be written as
III. MFHT METHOD In this section, an MFHT filter algorithm is proposed to calculate the spatial-domain Green’s functions for general multilayered geometries. Although the branch-cut singularity cannot be analytically removed, the integration path can be deformed to move away from it. For the multilayered lossless media, in order to move away from the SWPs and the branch points to obtain the smooth spectral-domain Green’s function, the SIP is deformed from the real axis to the first quadrant, as shown in Fig. 2. The SI (3) can then be written as
(4) where is a real number. The first integral can be efficiently calculated by an adaptive Simpson quadrature method with a computational time quite small compared with the total computational time. The second integral is evaluated by the MFHT method.
(9) where (10) is a monotonically increasing The modified Bessel function function. Each expansion term in (9) can be efficiently and accurately evaluated by the traditional FHT method. In this paper, we choose the optimized FHT filter method proposed by [21] to calculate the Hankel integrals since the FHT coefficients for the Hankel transform with an arbitrary order can be easily obtained. In the optimized FHT filter technique, the following two functions are introduced related with the functions in (9):
(11a) (11b)
LI et al.: ACCURATE AND EFFICIENT EVALUATION OF PLANAR MULTILAYERED GREEN’S FUNCTIONS USING MFHT METHOD
2801
Each expansion term in (9) can then be rewritten as (12) Equation (12) is transformed into a linear convolution-type and integral by using the substitutions as follows: (13) where denotes the input function related with the spectral-domain Green’s function, is the output function related with the spatial-domain Green’s function, and the product in the bracket is the filter-response function of the linear system. The continuous convolution in (13) is discretized to obtain the linear convolution in a general form of (14)
Fig. 3. Comparison between the exact input function and the samples used for : k . MFHT when k
= 0 001
where (15a) (15b) (15c) denotes the linear digital-filter response, while stands for the sampling interval, is the number of samples represents the approximation of , idenper decade, tifies the interpolation function, and is the smoothness parammay be calculated by using eter. The filter coefficients is obtained at discrete points as the convolution theorem. . a discrete convolution between the samples of and Based on the characteristic of the input function and a scheduled truncation tolerance parameter, the sampling interval and the length of digital filter coefficients can be determined. In order to adequately capture the behavior of the input function is chosen as 350 for the numerical near the singularities, examples in this paper. B. Parameters of SIP and MFHT The value of involved in the deformed integration path is the key to the accuracy and efficiency of the MFHT algorithm. , a well-known Sommerfeld To determine the value range of identity is chosen as the following example:
(16) where (17a) (17b) It is noticed that the input function has sin. Thus, the traditional FHT gularities at the points of filter is not applicable for this case. Along the deformed inte-
Fig. 4. Comparison between the exact input function and the samples used for : k . MFHT when k
= 0 005
gration path, the singularity is avoided and the input function becomes smooth. As for the choices of the parameters involved in the path, the required accuracy of the MFHT filter algorithm . In order to obtain accudetermines the minimum value of rate numerical results, sampling of the input function is considered to adequately describe its magnitude behavior. Here, the operating frequency is set as 3 GHz and the vertical distance is 1 mm. When the value of is, respectively, selected as , , and , the samples of the input function compared with the exact values are shown in Figs. 3–5. is selected as or , the It is seen that when characteristic of the singularity in the input function is still apparent and the oscillating behavior of the input function cannot be adequately captured by the samples, even with a quite small sampling interval. Here, the sampling interval cannot be infinitesimal since it has a limited minimum value and the length of the FHT filter would greatly increase as the decreasing of the , the input function is sampling interval. When quite smooth and the sampling is adequate to describe the behavior of the pulse. Corresponding to the three different values
2802
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 5. Comparison between the exact input function and the samples used for : k . MFHT when k
= 0 010
Fig. 6. Relative errors of the results calculated by the MFHT filter for the Som: k ; : k ; and : k , respecmerfeld identity (16) when k tively.
= 0 001 0 005
0 010
of , the relative errors of estimated results compared with the exact analytical results are shown in Fig. 6. When the value of changes from to , the accuracy of estimated results can be greatly improved in the far field and the relative error keeps below 3 dB over a large range of the variable when . The efficiency and accuracy determine the maximum value . As the product of and becomes larger, the of magnitude of input function would become smoother, and thus the sampling density could decrease. However, since the function in (9) is a monotonically increasing function of the argument, with a scheduled accuracy requirement, the number of expansion terms in (9) should be large enough to reduce the truncation error for the expansion of Bessel function. Unfortunately, the increase of expansion terms reduces the efficiency of the MFHT filter algorithm and probably induces a relatively large numerical error. Therefore, the maximum and should be determined. value of the product of . When the Here, the maximum value of is set to
Fig. 7. Number of expansion terms needed in (9) when the truncation and k : . error is set to 10
log (
)=22
Fig. 8. Relative errors of the results calculated by the MFHT filter when f : k , the number of expansion terms k GHz, k ; ; and , respectively.
=3 27
= 0 02
= 11 17
truncation error is chosen as 10 , the number of expansion terms needed in (9) is shown in Fig. 7, corresponding to the . In order to guarantee the efficiency different values of of the MFHT filter algorithm, the number of expansion terms should be below the maximum value 29 and the corresponding is . Fig. 8 shows the relative errors of the value of evaluated results when the number of the expansion terms is chosen as 11, 17, and 27, respectively. The relative errors have since more expansion a large difference until terms are required for a larger value of . In order to simultaneously guarantee the efficiency and acvalues is curacy of the MFHT filter algorithm, the range of to and the number of the expansuggested from sion terms is from 23 to 29, as shown in Fig. 7. In the examples of this paper, the number of expansion terms is selected as 27. The smoothness parameter is set as 8.3764 10 , which is determined by the sampling interval.
LI et al.: ACCURATE AND EFFICIENT EVALUATION OF PLANAR MULTILAYERED GREEN’S FUNCTIONS USING MFHT METHOD
2803
In the planar multilayered problems, the order of Bessel function in (9) usually has three values: 0, 1, and 2. Corresponding to each value, (8) can be written as
(18a)
(18b)
Fig. 9. Relative errors of the results calculated by the MFHT filter for mm, f MHz, and the Sommerfeld identity (16) when z k : k ; : k ; and : k , respectively.
= 0 01 0 02
0 026
= 1
= 10
(18c) It is noticed that the actual terms needed for the FHT are 14, much less than 27 expansion terms. Generally, due to the inherent numerical error, there is a limit to the expansion accuracy as the expansion terms increase. In the MFHT filter algorithm, the integration path is deformed into the first quadrant and Bessel function with the argument of a complex number is expressed as a sum of products of the Bessel function with a real argument and Bessel function with an imaginary argument. The FHT method is then applied for each expansion term to calculate the Hankel integral. The time-consuming integration of the product of the slowly decaying input function and oscillating Bessel function is effectively avoided. IV. NUMERICAL RESULTS In this section, the accuracy and efficiency of the MFHT filter algorithm are investigated and discussed. Firstly, three widely used Sommerfeld identities in (16), (19a), and (19b) are used as the numerical examples, i.e.,
(19a)
(19b) The input functions in the three identities have the same singularities located at the points . Thus, the traditional FHT method cannot be directly used here.
Fig. 10. Relative errors of the results calculated by the MFHT filter for the Sommerfeld identity (19a), when z mm, f GHz, and : k ; : k ; and : k , respectively. k
= 0 01 0 02
0 026
= 1
= 1
When the MFHT method is applied for the three integrals, the formulas in (18a)–(18c) are used for the expansion of the Bessel function, respectively. In order to validate the applicability of the value range of , corresponding to the three SIs, the relative errors of the evaluated results compared with the exact and analytic results are shown in Figs. 9–11. Fig. 9 shows the relative errors for the first identity (16) when the operating frequency is 10 MHz and is , , and , respectively. the value of Fig. 10 shows the relative errors for the second identity (19a) when the operating frequency is 1 GHz and the value of is , , and , respectively. Fig. 11 shows the relative errors for the third identity (19b) when the operating is , , frequency is 100 GHz and the value of , respectively. and It is seen that accurate results can be obtained when the value is from to . Corresponding to the range of
2804
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 11. Relative errors of the results calculated by the MFHT filter for the mm, f GHz, and k Sommerfeld identity (19b), when z : k ; : k ; and : k , respectively.
0 01 0 02
0 026
=1
= 100
=
Fig. 12. Relative errors of the results calculated by the MFHT filter for the : k , and the operating Sommerfeld identity (16), where z mm, k frequencies are 10 MHz, 1 GHz, and 100 GHz, respectively.
=1
= 0 02
three identities, the relative errors have been plotted at different frequencies to demonstrate the robustness of the MFHT method, as shown in Figs. 12–14. Fig. 12 shows the relative errors for the is and the operfirst identity (16) when the value of ating frequency is 10 MHz, 1 GHz, and 100 GHz, respectively. Fig. 13 shows the relative errors for the second identity (19a) is and the operating frequency when the value of is 10 MHz, 1 GHz, and 100 GHz, respectively. Fig. 14 shows the relative errors for the third identity (19b) when the value of is and the operating frequency is 10 MHz, 1 GHz, and 100 GHz, respectively. It is illustrated that all the relative errors remain below 2 dB over four times difference of the operating frequency and six times difference of the value of , and thus the proposed algorithm can be strongly relied upon when the singularities exist in the input function. In addition, although the number of the expansion terms are 14, all numerical calculations are completed within 5 s on a 2.8-GHz personal computer
Fig. 13. Relative errors of the results calculated by the MFHT filter for the : k , and the operating Sommerfeld identity (19a), when z mm, k frequencies are 10 MHz, 1 GHz, and 100 GHz, respectively.
=1
= 0 02
Fig. 14. Relative errors of the results calculated by the MFHT filter for the : k , and the operating Sommerfeld identity (19b), when z mm, k frequencies are 10 MHz, 1 GHz, and 100 GHz, respectively.
=1
= 0 02
with 1-GB RAM. Successive application of the MFHT filter algorithm to the three Sommerfeld identities validates its great accuracy and efficiency. Secondly, the MFHT filter algorithm is applied for calculating the spatial-domain Green’s function for the planar mulreptilayered media. The following notations are assumed: resents the layer number of the source point, represents the layer number of the field point, represents the source position, and represents the field position. For the lossless media shown in Fig. 15, when mm and GHz, and , and branch-cut the SWPs are located at singularity is located at . Fig. 16 depicts the samples of the spectral-domain Green’s function and the exact values when . Along the deformed SIP, three singularities are avoided and the behavior of the spectral-domain Green’s funcis adequately captured by the sampling. Figs. 17 and tion
LI et al.: ACCURATE AND EFFICIENT EVALUATION OF PLANAR MULTILAYERED GREEN’S FUNCTIONS USING MFHT METHOD
2805
Fig. 15. Geometry of four-layer lossless media above a PEC.
G versus , when m = n = 3; z = f = 0:3; 3; and 30 GHz, respectively.
Fig. 18. Magnitude comparison of = 0 015 , and
z = 01:0 mm, k
: k
Fig. 19. Geometry of two-layer lossy media above a PEC.
G : k
k =k
Fig. 16. Magnitude of ~ versus , when mm, = 0 015 , and = 30 GHz.
01:0
k
f
m = n = 3; z
=
z
=
G k
k =k , when m = 2; n : k , and f = 30 GHz.
Fig. 20. Magnitude of ~ versus mm, = 0 mm, = 0 015
01:3 G versus , when m = n = 3; z = f = 0:3; 3; and 30 GHz, respectively.
Fig. 17. Magnitude comparison of = 1 0 mm, = 0 015 , and
z 0:
k
: k
18 depict the MFHT-based results for and , respectively. An excellent agreement is found between the MFHTbased results and the numerical integration results, when the operating frequencies are 0.3, 3, and 30 GHz, respectively. For the and , two elements of the dyadic Green’s function, the formulas in (18b) and (18a) are used, respectively, for implementing the MFHT method. For the lossy media shown in
z
= 1;
z
=
Fig. 19 [25], the SWPs are in the fourth quadrant of the plane and the branch-cut singularity is located on the real axis. , the smooth input function of the SI is When obtained along the deformed SIP, as shown in Fig. 20. The sampling is adequate to capture the characteristic of the spectral-do. Figs. 21 and 22 demonstrate the main Green’s function and , respectively. The comMFHT-based results for putational results from the MFHT method agree very well with the numerical integration results at the operating frequencies of 0.3, 3, and 30 GHz, respectively. Since the time-consuming SI
2806
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
V. CONCLUSION
Fig. 21. Magnitude comparison of G versus , when m = 2; n = 1; z = 01:3 mm, z = 0 mm, k = 0:015k , and f = 0:3;3; and 30 GHz, respectively.
In this paper, an MFHT filter algorithm has been proposed and developed to obtain the spatial-domain Green’s functions for the planar multilayered media. After deforming the integration path to avoid the singularities, the original real integral kernel becomes complex and the Bessel function with a complex argument is expressed as a sum of terms of products of the Bessel function with the real part of the argument and the Bessel function with the imaginary part of the argument. The traditional FHT filter algorithm is then used for each expansion term. The valid value range of crucial parameters involved in the deformed integration path have been discussed and determined through numerical examples. The complicated extraction of contributions by the SWPs singularity can be completely avoided. The rapidly oscillating and slowly convergent SIs are transformed into the fast discrete convolutions. Finally, the great accuracy and high efficiency of the modified algorithm have been demonstrated when the method is applied for Sommerfeld identities and multilayered problems. It is worthy mentioning that the MFHT method is more suitable for practically lossy material problems since the SWPs contrarily move away from the SIP. One issue of this method is that the valid range of the calculation of dyadic Green’s functions herein is limited to the near and intermediate fields, excluding the far field. To address this problem, the interpolation method in terms of extrapolation scheme may be an optional approach in the future. ACKNOWLEDGMENT The authors would like to thank Prof. N. B. Christensen, University of Aarhus, Aarhus, Denmark, for his kind help on the efficient calculation of FHT filter coefficients. REFERENCES
Fig. 22. Magnitude comparison of G versus , when m = 2; n = 1; z = 01:3 mm, z = 0 mm, k = 0:015k , and f = 0:3;3; and 30 GHz, respectively.
is transformed into the discrete convolution, the efficiency of the calculation of the SIs can be significantly improved. For each case above, the CPU time used for the MFHT algorithm is within 20 s on a 2.8-GHz personal computer with 1-GB RAM. It can be inferred from the numerical examples that the MFHT filter algorithm has an excellent accuracy and a high efficiency when used to solve the problems with singularities in the input function. When the MFHT method is applied for calculating the spatial-domain Green’s function, the complicated extraction of contributions by the SWPs is successfully avoided. Compared with the numerical integration results, the MFHT-based results can be obtained accurately and efficiently. It is worth mentioning that the accuracy of this method cannot be guaranteed in the near and intermediate fields. Under this situation, the interpolation method can be applied onto the extrapolation scheme so as to extend the valid range of . At microwave frequencies, variations of the dyadic and scalar Green’s functions change monotonically so the procedure is standard and will not be detailed further herein.
[1] K. A. Michalski and D. Zheng, “Electromagnetic scattering and radiation by surface of arbitrary shape in layered media—Part I: Theory,” IEEE Trans. Antennas Propag., vol. 38, no. 3, pp. 335–344, Mar. 1990. [2] G. Dural and M. I. Aksun, “Closed-form Green’s functions for general sources and stratified media,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1545–1552, Jul. 1995. [3] K. A. Michalski and J. R. Mosig, “Multilayered media Green’s functions in integral equation formulations,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 508–519, Mar. 1997. [4] D. G. Fang, J. J. Yang, and G. Y. Delisle, “Discrete image theory for horizontal electric dipoles in a multilayered medium,” Proc. Inst. Elect. Eng., vol. 135, pt. H, pp. 297–303, Oct. 1988. [5] W. C. Chew, Wave and Fields in Inhomogeneous Media. New York: IEEE Press, 1995. [6] A. C. Cangellaris and V. I. Okhmatovski, “Novel closed-form Green’s function in shielded planar layered media,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2225–2232, Dec. 2000. [7] V. I. Okhmatovski and A. C. Cangellaris, “A new technique for the derivation of closed-form electromagnetic Green’s functions for unbounded planar layered media,” IEEE Trans. Antennas Propag., vol. 50, no. 7, pp. 1005–1016, Jul. 2002. [8] A. Alparslan, M. I. Aksun, and K. A. Michalski, “Closed-form Green’s functions in planar layered media for all ranges and materials,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 3, pp. 602–613, Mar. 2000. [9] R. R. Boix, A. L. Fructos, and F. Mesa, “Closed-form uniform asymptotic expansions of Green’s functions in layered media,” IEEE Trans. Antennas Propag., vol. 58, no. 9, pp. 2934–2945, Sep. 2010. [10] Y. L. Chow, J. J. Yang, D. G. Fang, and G. E. Howard, “A closed-form spatial Green’s function for the thick microstrip substrate,” IEEE Trans. Microw. Theory Tech., vol. 39, no. 3, pp. 588–592, Mar. 1991. [11] M. I. Aksun, “A robust approach for the derivation of closed-form Green’s functions,” IEEE Trans. Microw. Theory Tech., vol. 44, no. 5, pp. 651–658, May 1996.
LI et al.: ACCURATE AND EFFICIENT EVALUATION OF PLANAR MULTILAYERED GREEN’S FUNCTIONS USING MFHT METHOD
[12] Y. X. Liu, L. W. Li, T. S. Yeo, and M. S. Leong, “Application of DCIM to MPIE-MoM analysis of 3-D PEC objects in multilayered media,” IEEE Trans. Antennas Propag., vol. 5, no. 2, pp. 157–162, Feb. 2002. [13] F. Ling, J. Liu, and J. M. Jin, “Efficient electromagnetic modeling of three-dimensional multilayer microstrip antennas and circuits,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 6, pp. 1628–1635, Jun. 2002. [14] T. J. Cui and W. C. Chew, “Fast evaluation of Sommerfeld integrals for EM scattering and radiation by three-dimensional buried objects,” IEEE Trans. Geosci. Remote Sens., vol. 37, no. 2, pp. 887–900, Mar. 1999. [15] W. Cai and T.-J. Yu, “Fast calculations of dyadic Green’s functions for electromagnetic scattering in a multilayered medium,” J. Comput. Phys., vol. 165, pp. 1–21, Nov. 2000. [16] R. C. Hsieh and J. T. Kuo, “Fast full-wave analysis of planar microstrip circuit elements in stratified media,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 9, pp. 1291–1297, Sep. 1998. [17] F. Ling and J. M. Jin, “Discrete complex image method for Green’s functions of general multilayer media,” IEEE Microw. Guided Wave Lett., vol. 10, no. 10, pp. 400–402, Oct. 2000. [18] Y. Liu, L. W. Li, T. S. Yeo, P. S. Kooi, and M. S. Leong, “Calculation of spatial-domain Green functions for multilayered media using DCIM with automatic handling of surface wave poles,” Proc. Inst. Elect. Eng. —Microw., Antennas, Propag., vol. 151, no. 3, pp. 236–240, Jun. 2004. [19] A. G. Polimeridis, T. V. Yioultsis, and T. D. Tsiboukis, “An efficient pole extraction technique for the computation of Green’s function in stratified media using a sine transformation,” IEEE Trans. Antenna Propag., vol. 55, no. 1, pp. 227–229, Jan. 2007. [20] M. Zhang, L. W. Li, and Y. F. Tian, “An efficient approach for extracting poles of Green’s functions in general multilayered media,” IEEE Trans. Antennas Propag., vol. 56, no. 1, pp. 269–273, Jan. 2008. [21] N. B. Christensen, “Optimized fast Hankel transform filters,” Geophys. Prospecting, vol. 38, pp. 545–568, 1990. [22] P. Y.-Oijala and M. Taskinen, “Efficient formulation of closed-form Green’s functions for general electric and magnetic sources in multilayered media,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 2106–2115, Aug. 2003. [23] C.-W. Qiu, S. Zouhdi, and A. Razek, “Modified spherical wave functions with anisotropy ratio: Application to the analysis of scattering by multilayered anisotropic shells,” IEEE Trans. Antennas Propag., vol. 55, no. 12, pp. 3515–3523, Dec. 2007. [24] M. Abramowitz and I. A. Stegun, Handbook of Mathematical Function. New York: Dover, 1972. [25] C. J. Ong and L. Tsang, “Time domain Green’s functions for lossy and dispersive multilayered media,” IEEE Microw. Guided Wave Lett., vol. 13, no. 9, pp. 399–401, Sep. 2003. Joshua Le-Wei Li (S’91–M’92–SM’96–F’05) received the Ph.D. degree in electrical engineering from Monash University, Melbourne, Australia, in 1992. In 1992, he was a Research Fellow with the Department of Electrical and Computer Systems Engineering, Monash University, sponsored by Department of Physics at La Trobe University, Melbourne, Australia. From 1992 to 2010, he was with the Department of Electrical and Computer Engineering, National University of Singapore (NUS), where he was a Professor and Director of the NUS Centre for Microwave and Radio Frequency. From 1999 to 2004, he was seconded with the High Performance Computations on Engineered Systems (HPCES) Programme of Singapore-MIT Alliance (SMA) as an SMA Faculty Fellow. From May to July 2002, he was a Visiting Scientist with the Research Laboratory of Electronics, Massachusetts Institute of Technology (MIT), Cambridge. In October 2007, he was an Invited Professor with the University of Paris VI, Paris, France. In January and June 2008, he was an Invited Visiting Professor with the Institute for Transmission, Waves and Photonics, Swiss Federal Institute of Technology, Lausanne (EPFL), Switzerland. In 2009, he became a National Professor with the University of Electronic Science and Technology of China, Chengdu, China. He coauthored Spheroidal Wave Functions in Electromagnetic Theory (Wiley, 2001) and Device Modeling in CMOS Integrated Circuits: Interconnects, Inductors and Transformers (Lambert Academic Publishing, 2010), 48 book chapters, over 320 international refereed journal papers, 49 regional refereed journal papers, and over 370 international conference papers. He was a guest editor for the IEICE Transactions on Electronics for the “ISAP2006 Special Section.” He is an associate editor and an (Overseas) Editorial Board member of a few technical journals. His current research interests include electromagnetic theory, computational electromagnetics, radio wave propagation and
2807
scattering in various media, microwave propagation and scattering in tropical environment, and analysis and design of various antennas. Dr. Li has been a Fellow of The Electromagnetics Academy since 2007 (member since 1998). From 2002 to 2007, he was an Institute of Electronics, Information and Communication Engineers (IEICE) Singapore Section chairman. He was an IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Commission-15 member in 2008. He was an IEEE Antennas and Propagation Society (AP-S) Region representative in 2010. He is an IEEE AP-S Distinguished Lecturer for 2011. He was a guest editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES for the “APMC 2009 Special Section.” He was a recipient of a few awards, including two Best Paper Awards of the Chinese Institute of Communications and the Chinese Institute of Electronics, the 1996 National Award of Science and Technology of China, the 2003 IEEE AP-S Best Chapter Award when he was the IEEE Singapore MTT/AP Joint Chapter chairman, and the 2004 University Excellent Teacher Award of the National University of Singapore.
Ping-Ping Ding received the B.S. degree in electronic information science and technology from the University of Electronic Science and Technology of China (UESTC), Chengdu, China in 2004, the M.S. degree in radio physics from Wuhan University, Wuhan, China in 2007, and is currently working toward the Ph.D. degree in electrical engineering at the National University of Singapore, Singapore. Her research interests include computational electromagnetics and microwave circuits and antennas.
Saïd Zouhdi (SM’05) received the Ph.D. degree in electronics from the University of Pierre et Marie Curie, Paris, France, in 1994, and the Habilitation degree in electrical engineering from the University Paris Sud, Orsay, France, in 2003. From September 1995 to August 2007, he was an Associate Professor with the University Pierre et Marie Curie. In September 2007, he joined the University of Paris Sud, where he is currently a Professor of electrical engineering. He is also a member of the Laboratoire de Génie Electrique de Paris, SUPELEC. He has authored or coauthored over 100 journal papers, book chapters, and conference papers. He co-edited Advances in Electromagnetics of Complex Media and Metamaterials (Kluwer, 2003), the first book on metamaterials. He is an Editor of Metamaterials. He has guest edited/co-edited three special issue publications. His research interests include electromagnetic wave interaction with complex structures and antennas, electromagnetics of unconventional complex materials such as metamaterials, chiral, bianisotropic and photonic bandgap (PBG) materials, and optimization methods for various designs. Dr. Zouhdi organized two North Atlantic Treaty Organization (NATO) Advanced Research Workshops: Bianisotropics’2002 and META’08. He has organized and chaired various special sessions in international symposia and conferences.
Swee-Ping Yeo (M’87) received the M.A. degree from the University of Cambridge, Cambridge, U.K., in 1981, and the Ph.D. degree from the University of London, London, U.K., in 1985. Following three years with the Singapore Ministry of Defense, he joined the National University of Singapore, Kent Ridge, Singapore, where he is currently a Professor with the Electrical and Computer Engineering Department. His research interests include electromagnetic modeling, passive components, and six-port reflectometers. Dr. Yeo was the recipient of three Institution of Electrical Engineers (IEE), U.K., Best Paper Awards, i.e., a two-time recipient of the 1985 Electronics Letters Premium and the 1988 Ambrose Fleming Premium. He was also the recipient of the National University of Singapore 1998 Outstanding University Researcher Award.
2808
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
3-D Thin-Wire FDTD Analysis of Coaxial Probe Fed in Asymmetric Microwave Components Seung-Yeup Hyun and Se-Yun Kim, Member, IEEE Abstract—For the coaxial probe fed in asymmetric microwave components, an improved scheme on the 3-D thin-wire (TW) finite-difference time-domain (FDTD) is implemented without additional grid refinements and/or auxiliary update terms. The fine geometrical discontinuity such as the conductive arm, feed aperture, and finite end of the coaxial probe is approximated to the quasi-static models. The near fields in the vicinity of the probe end are also theoretically calculated from the uniformly charged disk model. It is shown that the spatial dependency of the near fields around the finite end of its probe agrees well with the direct solutions of the fine-grid (FG) FDTD simulation. The dominant functions of the near-field behaviors in the vicinity of the probe are easily incorporated into the correction factors of the coefficients for the 3-D Cartesian FDTD update equations. For the choice of the cell size in the proposed TW FDTD, the input admittances of a coaxial monopole probe in air are calculated and compared with the FG FDTD and the measured data. To evaluate the effects of the asymmetric geometry in the vicinity of the coaxial probe, coaxial-probe fed waveguide launchers are numerically analyzed as a function of the excitation frequency, the eccentric position, and the axial height of the coaxial probe. In comparison with the standard TW FDTD, the proposed TW FDTD provides a very close agreement with the reference data. Index Terms—Coaxial probes, finite-difference time-domain (FDTD) methods, thin wires (TWs).
I. INTRODUCTION
C
OAXIAL probes have been widely used as a feeding structure for RF and microwave applications such as antennas, planar circuits, and waveguides. The coaxial probe has the fine geometrical discontinuity of the cylindrical arm, feed zone, and finite end. This discontinuity gives rise to fast variations of the electromagnetic (EM) fields. Thus, the fine geometry of the probe should be carefully considered. In the finite-difference time-domain (FDTD) method [1], the electrically thin conductor can be approximated to the thin wire (TW) with the quasi-static field dependency [2], [3]. This approach provides sufficient accuracy comparable to fine-grid (FG) resolution without additional grid refinements for the fine geometry [1]–[3]. Various TW techniques have been developed. In [4]–[6], the proper consideration of the cylindrical
Manuscript received April 18, 2011; accepted June 27, 2011. Date of publication August 18, 2011; date of current version November 16, 2011. This work was supported in part under the Korea Institute of Science and Technology (KIST) Internal Project, by the Ministry of Culture, Sports and Tourism (MCST), and by the Korea Creative Content Agency (KOCCA) under the Culture Technology (CT) Research and Development Program. The authors are with the Imaging Media Research Center (IMRC), Korea Institute of Science and Technology (KIST), Seoul 136-791, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2161881
field behaviors around the conductive cylinder improved the computational accuracy. For the feed zone, the loading effects of the coaxial feed line were represented as the 1-D virtual transmission-line model [7], wire-based coaxial line model [8], and soft-source based magnetic-frill model [9]. In real-world conditions, the coaxial probe applications within 3-D asymmetric microwave components are more realistic. Thus, the effects of the finite end, as well as the cylindrical arm and the feed zone of the coaxial probe, should be considered simultaneously. In [5], Mäkinen et al. treated the thin-wire end as a uniformly charged ring model. This model was implemented as the modified update equations with auxiliary terms. Although this approach provided high accuracy in the TW FDTD simulation, the practical implementation may be carefully performed due to the complexity of the auxiliary terms. This paper focuses on simple and efficient FDTD modeling of finite coaxial probes in 3-D asymmetric microwave components. The fine geometrical features of the coaxial probe are equivalently treated with correction factors without additional grid refinements and/or auxiliary correction terms. To accomplish this, the near fields around the fine geometry of the coaxial probe are approximated to the quasi-static fields with the spatial dependency. The near-field functions for the conductive cylinder and the feed zone of its probe are obtained by employing the concept of the cylindrical behavior of the dominant fields. Particularly, the quasi-static field functions around the probe end are derived from a uniformly charged disk model. The dominant functions in the vicinity of the end are then verified by comparison with the direct solutions of the FG FDTD simulation. From the contour-path integration, the dominant field functions of the probe are represented as the correction factors for the standard FDTD update equations. For validity of the proposed approach, the input admittances of coaxial probe in air and coaxial probe fed rectangular waveguide launchers are investigated. II. THIN-WIRE MODELING OF THE COAXIAL PROBE A. Equivalent Modeling for the Conductive Cylinder and the Coaxial Feed Zone According to the quasi-static approximation [2]–[4], if a -directed conductive cylinder with outer radius is electrically thin, the spatial dependency of the near fields in the 3-D Cartesian coordinates is approximated to
0018-9480/$26.00 © 2011 IEEE
(1) (2)
HYUN AND KIM: 3-D TW FDTD ANALYSIS OF COAXIAL PROBE
2809
where is the radial distance from the -axis. and denote the unit vectors along the - and -axes, respectively. If the length of the coaxial feed line is sufficiently longer than the cutoff wavelength of the higher order modes, the higher modes are negligibly small and the TEM mode may be dominant. Thus, (1) and (2) may be applied to the spatial basis functions for the equivalent magnetic-frill source modeling of the coaxial feed aperture [10], and the time dependency of the total voltage over the feed aperture is equivalently represented as the superposition of the incident and the reflected voltage waves within the coaxial feed line [10]. Fig. 1. Uniformly charged disk model for the finite end of the coaxial probe.
B. Finite-End Modeling of the Conductive Cylinder Since the resistive loading can remarkably reduce the finite-end reflection of the resistively loaded cylinder, there are no requirements for the finite-end modeling of the resistively loaded cylinder in [10]. However, in the case of the finite-sized conductive cylinder, the discontinuity of the finite end gives rise to large reflection of the EM fields. Therefore, the finite-end modeling of the conductive cylinder should be carefully considered. The geometry of the coaxial probe and the TEM excitation of the coaxial feed line are rotationally symmetric. Thus, the near-field behaviors around the coaxial probe may be approximated to locally symmetric fields independent of the azimuth angle . On the end surface of the coaxial probe, there is the charge accumulation. Under the quasi-static condition, the end surface is approximated to a uniformly charged disk with the surface charge density , as shown in Fig. 1. Since the geometry of the disk has rotational symmetry, the electric potential is independent of the azimuth angle . , the electric potential on the -axis [11] In the case of is well known as
According to [12], as the general solution of the Laplace’s equation in the spherical coordinates, the electric potential at a point off the -axis of the uniformly charged disk may be defined as
(5)
is the Legendre polynomials with the order . and where are the corresponding expansion coefficients. Since (5) must match with the expansion in terms of the binomial series of (3) and are determined directly. Thus, the off-axial at electric potentials are given by (6), shown at the bottom of this page, where ! and !! denote the ordinary factorial and the double factorial, respectively. From the gradient operation of (6), the electric fields with spherical dependency are obtained by
(3) From the gradient operation on the -axis becomes
, the axial electric field
(4)
(7)
(6)
2810
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
(8)
(9)
(10) At
, the Legendre polynomials [13] becomes odd even
(11) (12)
Hence, the electric fields over drical coordinates are given by
and
in the cylin-
Fig. 2. Near fields around the finite end of a conducting cylinder as a function of normalized distance. (a) Normalized axial and (b) radial electric fields.
theoretical functions in (4) and (13). Thus, applying the cylindrical-to-Cartesian coordinate transformation to (4) and (13), one may find the radial and axial electric fields around the probe with the 3-D Cartesian coordinate dependency end as (15) (16)
(13)
(14) may On the right-hand side of (13), the first term with be dominant, as the radial distance increases. For the validity of this approach, the electric fields around the finite end of a conducting cylinder are numerically calculated by employing the 2-D cylindrical FG FDTD [14] simulation under the FG resolution with about 100 cells per wavelength. The normalized fields with the spatial dependency along the - and -axes are depicted in Figs. 2(a) and (b), respectively. Fig. 2 shows that the FG FDTD results are well fitted by the
III. FDTD FORMULATION For the FDTD formulations of the proposed TW technique, the discrete notations of the space and time are employed as and , respectively. The FDTD update equations for all of the field components in one unit cell from the conductive cylindrical arm may be easily obtained by adopting the spatial dependency in (1) and (2). Since this approach is consistent with the resistively loaded TW approach [10] with zero resistance, these equations are omitted here. In this section, the 3-D FDTD update equations around the finite end of the conductive cylinder are derived.
HYUN AND KIM: 3-D TW FDTD ANALYSIS OF COAXIAL PROBE
2811
Fig. 3. Dual-cell representation for 3-D FDTD field components around the finite end of the conductive cylinder.
A. 3-D FDTD Equations Around the Finite End of the Conductive Cylinder At the finite end of the coaxial probe, the dual-cell representation of the 3-D Cartesian FDTD is shown in Fig. 3. From the integral form of Faraday’s law, (15) and (16) are applied to the in Fig. 3. Hence, the corrected update equation contour-path around the probe end is given by of the magnetic field
Fig. 4. Effects of the cell sizes along the: (a) radial and (b) axial axes.
(17) From (15) and (16), the correction factors of the line integrals along the cell edges in the - and -directions are derived as
(19) By applying Ampere–Maxwell’s law to the contour-path in Fig. 3, the update equation of the radial electric field becomes
(18)
(20)
2812
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE I COMPARISON OF THE FDTD SIMULATION PARAMETERS FOR COAXIAL PROBE IN AIR
where
(21)
Fig. 5. Correction effects of the field components for the thin-wire FDTD. All 3-D TW FDTD results are calculated by using the choice of cell size of x y : b : a and z : a.
1 = 10 =23 (22) Similar to (20)–(22), Ampere–Maxwell’s law along the contour-path in Fig. 3 may be easily represented as the update equation for the axial electric field in the vicinity of the probe end. According to (17)–(22), the finite-end effects of the conductive cylinder are corrected by the surface and line integral factors of the dominant near-field behaviors in (15) and (16) of its end. B. Stability Considerations According to [15], the leap-frog scheme between the electric field and the magnetic field update equations satisfies the reciprocity of the Yee’s FDTD scheme. This ensures the consistency of energy conservation between the electric and magnetic field update equations. Similarly, the proposed TW FDTD with near-field corrections should be used not only for the primary correction from the electric field to the magnetic field, but also for the secondary correction from the magnetic field to the electric field. As shown in Fig. 3, the magnetic fields are updated with correction factors along the surface integral of the magnetic field and the line integral of the neighboring electric fields. In
1 = 0 658
1 =
the next time step, the correction factors along the line integral of the magnetic field and the surface integral of the electric fields will participate in the update of all the neighboring electric and magnetic fields. This procedure is applicable to all the field components within one cell from the conductive cylinder. Therefore, in the proposed FDTD, line and surface corrections of all fields within the primary unit cell satisfy the reciprocity of the FDTD scheme automatically and provide stable computations. Thus, the choice of the time increment , where is is determined by the Courant–Friedrichs–Lewy (CFL) stability limit of the 3-D Cartesian FDTD method [1]. IV. NUMERICAL RESULTS For the validity of the proposed TW FDTD, coaxial probes in air and a rectangular waveguide launcher are numerically analyzed and compared with the reference data. As an absorbing boundary condition (ABC) for the outer boundary of the FDTD space, we employ the four-cell Berenger’s perfectly matched layer (PML) with the normal absorption of 100 dB and the geofor the conductivity profile metric progression ratio of
HYUN AND KIM: 3-D TW FDTD ANALYSIS OF COAXIAL PROBE
2813
[16], [17]. The Gaussian pulse waveform with the unit amplitude is used as our excitation voltage of the coaxial feed line. The characteristic time is determined by the upper frequency limit of the meaningful band. A. Coaxial Probe in Air To investigate the effects of the cell size in the 3-D TW FDTD, a coaxial probe in air is numerically investigated. As shown in Fig. 4, the inner and outer radii of the coaxial probe are mm and , respectively. The axial height . The probe is of the probe from the ground plane is driven by a 50- coaxial line. According to the 2-D cylindrical FG FDTD [14] and the accurate measurement [18], the coaxial probe is resonant at the frequency of about 1.37, 2.25, 4.36, 4.89 GHz, etc. As a function of the cell sizes along the radial and axial direction, the 3-D TW FDTD simulations are carried out. The TW FDTD results are then compared with the FG FDTD [14] and the measured data [18]. The computational parameters, such as the number of cells, CPU time are listed in Table I. As the cell size increases, the accuracy over the high-frequency band gradually decreases. Since the proposed TW FDTD is based on the quasi-static approximation, the low-frequency results have comparable accuracy to the FG FDTD and the measured data. The 3-D proposed TW FDTD results with and have a good agreement with the 2-D and cylindrical FG FDTD data under , where is the CFL limit of the 2-D cylindrical FDTD with rotational symmetry. Although the proposed TW FDTD is based on the 3-D Cartesian FDTD, the computation time of the TW FDTD is more faster than that of the 2-D cylindrical FG FDTD. This implies that the proposed TW technique may be efficient and sufficiently accurate in comparison with the FG FDTD modeling. To investigate the effects of the near-field correction, the standard TW FDTD [3] and the proposed TW FDTD simulations and are perunder formed. As shown in Fig. 5, the standard TW FDTD results have a large deviation from the reference data. The resonant frequencies of the standard TW FDTD are particularly lower than those of the FG data. This means that the coaxial probe appear longer than the nominal length because of the coarseness error of the standard TW FDTD. In contrast, the proposed TW FDTD results agree well with the reference data over the full band. This results from the proper corrections of the quasi-static field behaviors around the finite end, as well as the feed zone and the cylindrical arm of the coaxial probe. Thus, the proposed approach may be useful for the fast simulation with comparable accuracy to the FG resolution B. Coaxial Probe in Rectangular Waveguide Launcher To evaluate the effects of the asymmetric geometry around a coaxial probe, coaxial-probe fed rectangular waveguide launchers are numerically analyzed. The rectangular waveguide, which are matched at both ends, has a cross-sectional dimension of the width mm and the height mm. The dominant TE10 mode of the waveguide ranges from about 1.1 to 2.2 GHz. The waveguide is excited by the coaxial probe mm and mm through a 50- coaxial line. with
Fig. 6. Input admittance of the rectangular waveguide launcher with the shortended coaxial probe feed as a function of the excitation frequency. (a) Evanescent and (b) propagating TE10 modes of the waveguide.
The axially protruding height from the waveguide bottom and the eccentric distance of the probe from the sidewall of the waveguide are arbitrarily varied within the waveguide. In the 3-D TW FDTD simulations, the cross-sectional geometry and . of the waveguide are discretized as and The choice of cell size is based on . This rule results from Section II-A. The PML ABC is terminated at both ends of the waveguide. The input admittances over the feed aperture of the coaxial probe are calculated and compared with those of the Williamson’s theory [21]–[23]. Firstly, as a function of the waveguide length along the -axis, the input admittances of the short-ended coaxial probe located at the center of the waveguide cross section are investigated. The frequency band of the evanescent and the propagating TE10 modes is considered. According to Fig. 6(a), the waveguide length has large effects on the susceptances over the evanescent mode frequency since the evanescent waves are not absorbed by the standard PML. It is well known that the absorption of the evanescent waves in waveguides can be improved by the convolutional perfectly matched
2814
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE II COMPARISON OF THE FDTD SIMULATION PARAMETERS FOR COAXIAL PROBE FED WAVEGUIDE LAUNCHERS
Fig. 8. Input admittance of rectangular waveguide launchers as a function of : GHz. the protruding length of the coaxial probe at f
=16
Fig. 7. Input admittance of rectangular waveguide launchers as a function of eccentricity of: (a) short- and (b) open-ended coaxial probes at f : GHz.
=16
layer (CPML) [19] or the complex frequency shifted PML (CFS PML) [20]. Fig. 6(b) shows that the PML allows a good absorption of the propagating mode. In the case of the waveguide , as the frequency increases, the standard TW length FDTD [3] have some errors over the propagating mode, as well as the evanescent mode constantly. In contrast, the proposed TW FDTD has a good agreement with the theoretical results [21] over the full band. The computational parameters for Fig. 6 are listed in Table II. Over the propagating mode frequency band of the waveguide, the proposed TW FDTD analysis with
18 20 38 cells provides fast simulation and sufficient accuracy. From now, these parameters will be used for the TW FDTD simulation of the coaxial probe. Secondly, the effects of the eccentricity of the coaxial probes GHz of the TE10 are investigated at the frequency mode, as shown in Fig. 7. The input admittances of the short-end and the open-ended coaxial probe coaxial probe are shown in Fig. 7(a) and (b), respectively. In the standard TW FDTD modeling [3] of the short-ended probe, the input is larger than the theoretical results [21] up to susceptance about 0.8 mS over all positions of the probe, and the compuslightly increases tational errors of the input conductance to about 0.3 mS. According to Fig. 7(b), as the probe position approaches to the sidewall of the waveguide, the standard TW FDTD [3] generates large errors in comparison with the theoretical results in [22]. In all cases, the proposed TW FDTD provides comparable accuracy to the Williamson’s theory, regardless of the end state and the eccentricity of the coaxial probe within the waveguide launcher. Lastly, the effects of the protruding height of the coaxial probe in the waveguide launcher are shown in Fig. 8. The probes of the transverse cross secare located at the center tion of the waveguide. In Fig. 8, the standard TW FDTD [3] and underoverestimates the input admittances over in comparison with the estimates the admittances over theory in [23]. Unlike the standard TW approach [3], the proposed TW FDTD provides similar accuracy to the theoretical results. Thus, the proposed approach may be independent of the protruding height of the probe. This implies that the near-field
HYUN AND KIM: 3-D TW FDTD ANALYSIS OF COAXIAL PROBE
corrections of the finite end, as well as the cylindrical arm and the feed aperture of the probe, have large effects on the computational accuracy of the TW FDTD. V. CONCLUSION For simple and accurate modeling of the coaxial probe fed in asymmetric microwave components, the 3-D thin-wire based FDTD modeling technique was proposed. The near fields around the fine geometrical features such as the conductive cylinder, feed zone, and finite end of the coaxial probe were approximated to the quasi-static field functions with spatial dependency. These field functions were incorporated to the correction factors of the coefficients in the standard 3-D Cartesian FDTD update equations. There are no additional requirements such as grid refinements and auxiliary update equations. As an example of 3-D asymmetric geometries, the analysis of the coaxial probe fed rectangular waveguide launcher was performed numerically. The proposed TW FDTD provides comparable accuracy to the theoretical results, regardless of the finite length and the eccentric position of the probe within the waveguide. Thus, the proposed approach may be efficiently applicable to numerical modeling of the coaxial probe feeding in 3-D complex geometry such as various RF and microwave antennas and components. ACKNOWLEDGMENT The authors would like to thank the anonymous reviewers for their invaluable comments and suggestions. REFERENCES [1] A. Taflove and S. C. Hagness, Computational Electromagnetics: The Finite-Difference Time-Domain Method, 3rd ed. Boston, MA: Artech House, 2005. [2] R. Holland, “Finite-difference analysis of EMP coupling to thin struts and wires,” IEEE Trans. Electromagn. Compat., vol. EMC-23, no. 2, pp. 88–97, May 1981. [3] K. R. Umashankar, A. Taflove, and B. Beker, “Calculation and experimental validation of induced currents on coupled wires in an arbitrary shaped cavity,” IEEE Trans. Antennas Propag., vol. AP-35, no. 11, pp. 1248–1257, Nov. 1987. [4] C. J. Railton, D. L. Paul, and S. Dumanli, “The treatment of thin wire and coaxial structures in lossless and lossy media in FDTD by the modification of assigned material parameters,” IEEE Trans. Electromagn. Compat., vol. 48, no. 4, pp. 654–660, Nov. 2006. [5] R. M. Mäkinen, J. S. Juntunen, and M. A. Kivikoski, “An improved thin-wire model for FDTD,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 5, pp. 1245–1255, May 2002. [6] J. Nadobny, R. Pontalti, D. Sullivan, W. Wlodarczyk, A. Vaccari, P. Deuflhard, and P. Wust, “A thin-rod approximation for the improved modeling of bare and insulated cylindrical antennas using the FDTD method,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1780–1796, Aug. 2003. [7] J. G. Maloney, K. L. Shalger, and G. S. Smith, “A simple FDTD model for transient excitation of antennas by transmission lines,” IEEE Trans. Antennas Propag., vol. 42, no. 2, pp. 289–292, Feb. 1994. [8] M. L. Jensen and Y. Rahmat-Samii, “Performance analysis of antennas for hand-held transceivers using FDTD,” IEEE Trans. Antennas Propag., vol. 42, no. 8, pp. 1106–1113, Aug. 1994. [9] S.-Y. Hyun, S.-Y. Kim, and Y.-S. Kim, “An equivalent feed model for the FDTD analysis of antenna driven through a ground plane by coaxial lines,” IEEE Trans. Antennas Propag., vol. 57, no. 1, pp. 161–167, Jan. 2009. [10] S.-Y. Hyun and S.-Y. Kim, “3-D thin-wire FDTD approach for resistively loaded cylindrical antennas fed by coaxial lines,” IEEE Trans. Antennas Propag., vol. 58, no. 12, pp. 4095–4099, Dec. 2010.
2815
[11] D. K. Cheng, Field and Wave in Electromagnetics, 2nd ed. Reading, MA: Addison-Wesley, 1989. [12] D. J. Griffiths, Introduction to Electrodynamics. Upper Saddle River, NJ: Prentice-Hall, 1999. [13] M. R. Spiegel, Schaum’s Outline Series: Theory and Problems of Mathematical Handbook of Formulas and Tables. Singapore: McGrawHill, 1968. [14] J. G. Maloney, G. S. Smith, and W. R. Scott, Jr., “Accurate computation of the radiation from simple antennas using the finite-difference timedomain method,” IEEE Trans. Antennas Propag., vol. 38, no. 7, pp. 1059–1068, Jul. 1990. [15] K. Xiao, D. J. Pommerenke, and J. L. Drewniak, “A three-dimensional FDTD subgridding algorithm based on interpolation of current density,” in Proc. IEEE Electromagn. Compat. Symp., Santa Clara, CA, 2004, vol. 1, pp. 118–123. [16] J.-P. Berenger, “Three-dimensional perfectly matched layer for the absorption of electromagnetic waves,” J. Comput. Phys., vol. 127, pp. 363–379, 1996. [17] J.-P. Berenger, “Improved PML for the FDTD solution of wave-structure interaction problems,” IEEE Trans. Antennas Propag., vol. 45, no. 3, pp. 466–473, Mar. 1997. [18] T. W. Hertel and G. S. Smith, “On the convergence of common FDTD feed models for antennas,” IEEE Trans. Antennas Propag., vol. 51, no. 8, pp. 1771–1779, Aug. 2003. [19] J. A. Roden and S. D. Gedney, “Convolutional PML (CPML): An efficient FDTD implementation of the CFS-PML for arbitrary media,” Microw. Opt. Technol. Lett., vol. 27, no. 5, pp. 334–339, Dec. 2000. [20] J.-P. Berenger, “Application of the CFS PML to the absorption of evanescent waves in waveguides,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 6, pp. 218–220, Jun. 2002. [21] A. G. Williamson, “Analysis and modelling of a coaxial-line/rectangular-waveguide junction,” Proc. Inst. Elect. Eng., vol. 129, no. 5, pt. H, pp. 262–270, Oct. 1982. [22] A. G. Williamson, “Coaxially fed hollow probe in a rectangular waveguide,” Proc. Inst. Elect. Eng., vol. 132, no. 5, pt. H, pp. 273–285, Aug. 1985. [23] A. G. Williamson and D. V. Otto, “Coaxial fed hollow cylindrical monopole in a rectangular waveguide,” Electron. Lett., vol. 9, no. 10, pp. 218–220, May 1973. Seung-Yeup Hyun received the B.S. degree in telecommunications engineering from Jeju National University, Jeju, Korea, in 1997, and the M.S. and Ph.D. degrees in radio sciences and engineering from Korea University, Seoul, Korea, 1999 and 2008, respectively. From 2001 to 2003, he was a Staff Research Engineer with the Research and Development Laboratory, SG Technology, Chungnam, Korea. From 2003 to 2006, he was a Senior Research Engineer with the Digital Appliance Laboratory, LG Electronics, Seoul, Korea. From 1997 to 2008, he was a Student Researcher with the Imaging Media Research Center, Korea Institute of Science and Technology (KIST), Seoul, Korea. Since 2008, he has been a Postdoctoral Research Fellow with the Imaging Media Research Center, KIST. Since 2009, he has been a Principal Research Engineer with the Ground-Penetrating Radar (GPR) Division, Isung Engineering, Gyeonggi-do, Korea. His research interests include ultra-wideband (UWB) antennas, microwave imaging, geophysical probing, and plasma lighting systems. Se-Yun Kim (S’80–M’85) received the B.S. degree from Seoul National University, Seoul, Korea, in 1978, and the M.S. and Ph.D. degrees from the Korea Advanced Institute of Science and Technology (KAIST), Seoul, Korea, in 1980 and 1984, respectively, all in electrical engineering. From 1984 to 1986, he was a Postdoctoral Research Fellow with the KAIST. In 1986, he joined the System Technology Division, Korea Institute of Science and Technology (KIST), Seoul, Korea, as a Senior Researcher, where he is currently a Principal Researcher. His research interests include EM diffraction, microwave imaging and geophysical probing. Dr. Kim was the recipient of the Order of National Security Merit of the Korean Government in 1990.
2816
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
New Design Formulas for Impedance-Transforming 3-dB Marchand Baluns Hee-Ran Ahn, Senior Member, IEEE, and Sangwook Nam, Senior Member, IEEE
Abstract—New design formulas for impedance-transforming 3-dB Marchand baluns are proposed. They are about the even- and odd-mode impedances of the coupled transmission-line sections of the Marchand baluns and determined by coupling coefficients together with termination impedances. The particular property proposed in this paper is to choose the coupling coefficient arbitrarily, resulting in infinite sets of design formulas available. This is quite different from the conventional design approach in which only one coupling coefficient is possible. For the perfect isolation of the Marchand balun, an isolation circuit (IC) is needed, being composed of two 90 transmission-line sections and resistance(s). Sufficient area to build such a long IC is, however, inherently not available. For this, ways to reduce the IC size are also suggested. To validate them, a microstrip Marchand balun terminated in 130 and 70 is designed at a design center frequency of 1.5 GHz and tested. The measured results are in good agreement with prediction, showing that power divisions are 3.57 and 3.262 dB, return losses at all ports are better than 21 dB, and the isolation is better than 20 dB around the design center frequency. The measured 2 in phase difference between two balanced signals is 180 about 50% bandwidth.
Index Terms—Compact isolation circuits (ICs) of Marchand baluns, impedance-transforming 3-dB Marchand baluns, modified - and -types of equivalent circuits of transmission-line sections, new design formulas for Marchand baluns.
5
I. INTRODUCTION HE MARCHAND balun introduced in 1944 [1] has been realized in various transmission-line structures and utilized for various applications such as balanced mixers, balanced amplifiers, and frequency multipliers [2]–[23]. The Marchand balun has two sets of coupled transmission-line sections, and if the even- and odd-mode impedances of the two sets of transmission-line sections are identical, 180 phase difference between two balanced signals can be achieved theoretically in whole frequencies (except even multiples of the design center frequency). Due to such attractive performance, the Marchand balun has received substantial attention from microwave circuit designers. In the beginning of 2000, design equations were developed for impedance-transforming 3-dB Marchand baluns and have been used for more than one decade [2], [4], [6], [7],
T
[9], [11], [15]–[18], [20]–[23]. Since the coupling coefficient of the coupled transmission-line sections suggested by the conventional design approach is, however, determined by the termination impedances, only one value is possible and it can be 0 or 3 dB. It is desirable that the coupling coefficients be determined by the coupling structures [24]–[28] and independent of the termination impedances of the Marchand baluns. In this paper, new design formulas of the even- and odd-mode impedances are derived for the impedance-transforming 3-dB Marchand baluns. Since the coupling coefficient of the coupling transmission-line sections can be selected arbitrarily, infinite sets of design formulas of the even- and odd-mode impedances are available. It will be shown later that the conventional design equations [2], [4], [6], [7], [9], [11], [15]–[18], [20]–[23] are only one among the infinite sets of even- and odd-mode impedances proposed in this paper. Any impedance-transforming 3-dB balun may be equivalent to a circuit consisting of two impedance transformers and an isolation circuit (IC), which may be determined by the phase delay of the impedance transformers [24]. In the case of the Marchand balun, since the phase delay of the impedance transformers are 90 or 90 , the IC should be composed of two 90 transmission-line sections and resistance(s) [24]. Since the two output ports (balanced ports) of the Marchand baluns are, however, located close to each other, no sufficient area to construct such a long IC is available. The 90 transmission-line sections might be shortened by using the conventional - [26], [29] or -type of equivalent circuit, but the resulting bandwidth may be reduced. To avoid the bandwidth reduction, a transmission-line section is divided by the number of and the corresponding design equations of - or -type of equivalent circuit are derived. In the case of infinite number of , the -type equivalent circuit with is very similar to the artificial transmission-line approach [30], but different fundamentally with some number of . To validate the design equations of the Marchand baluns and the modified equivalent circuits of a transmission-line section, a microstrip Marchand balun terminated in 130 and 70 is fabricated and measured. II. IMPEDANCE-TRANSFORMING MARCHAND BALUNS A. Description and Conventional Design Formulas
Manuscript received February 07, 2011; revised July 14, 2011; accepted July 24, 2011. Date of publication September 22, 2011; date of current version November 16, 2011. This work was supported by the Korea Government (MEST) under National Research Foundation of Korea (NRF) Grant 2011-0001270. The authors are with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul 151-742, Korea (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2164618
A Marchand balun is described in Fig. 1(a) where it consists of two sets of coupled transmission-line sections. It is terminated in at port and at ports and for the is located beimpedance transforming, and an internal port tween two sets of coupled transmission-line sections. For the and and the perfect isolation perfect matching at ports between the two ports, an IC is needed to be connected. For the analyses, a set of coupled transmission-line sections terminated
0018-9480/$26.00 © 2011 IEEE
AHN AND NAM: NEW DESIGN FORMULAS FOR IMPEDANCE-TRANSFORMING 3-dB MARCHAND BALUNS
2817
where (2a) (2b) (2c) with
where is the admittance parameters contributed by ports and of the circuit in Fig. 1(a). is the voltage between port and ground, the admitIf tance parameters of the coupled transmission-line sections contributed by ports and are (3) Fig. 1. Impedance-transforming baluns. (a) Marchand balun. (b) Equivalent circuit of the Marchand balun only at a design center frequency.
where (3a)
in at port is designated as and another as , and an equivalent circuit being valid only at a design center frequency is introduced in Fig. 1(b). The conventional design formulas of the even- and odd-mode and its coupling coefficient [2], [4], impedances [6], [7], [9], [11], [15]–[18], [20]–[23] are (1a)
(3b) (3c) are two-port admittance parameters in terms of ports where and of the circuit in Fig. 1(a). From (2) and (3), with port terminated in , the admitcontributed by ports and without the tance parameters IC are obtained as
(1b) (4) (1c) where The conventional coupling coefficient in (1c) is a function of the termination impedances and . It may be 3 dB and 0 dB when . The coupling when coefficient should be determined by the structure of the coupled transmission-line sections itself, not by the termination impedances [24]–[28]. Therefore, the design equations in (1) should be modified so that the coupling coefficients can be independent of the termination impedances. The two sets of coupled transmission-line sections will be synthesized for the design formulas.
(4a) (4b) (4c) When as
, the admittance parameters in (4) are simplified
(5) B. Design Formulas If the currents at ports , , and are denoted as , , and , as shown in Fig. 1(a), and the voltages between ports and and ground as and , the relation between currents and voltages are (2)
in As is well known, if the power is excited at port and Fig. 1(a), the power is divided between ports where the two waves are 180 out of phase at a design center frequency. To derive the design formulas of the complicated Marchand baluns easily, an equivalent is needed, as depicted in Fig. 1(b), consisting of two transmisson-line sections with the and the electrical length of , characteristic impedance of
2818
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
one 180 phase shifter, and IC. In this case, the electrical length of is 90 at a design center frequency and the characteristic is [24], [31], [32]. Without the impedance of IC, the admittance parameters between ports and of the equivalent circuit in Fig. 1(b) are
TABLE I EVEN- AND ODD-MODE IMPEDANCES OF MARCHAND BALUNS AND R WITH R
= 50
= 100
(6) For the two circuits in Fig. 1 to have the same frequency responses at the design center frequency, the admittance parameters in (5) and (6) should be equal to each other, from which the following relation holds: (7) The two sets of coupled transmission-line sections should be satisfied with the general definition of the coupling coefficient such as (8) From (7) and (8), the design formulas of the impedance-transforming 3-dB Marchand baluns are obtained as (9a) (9b) In the derived design formulas in (9), infinite sets of the evenand odd-mode impedances may be obtained by varying the coupling coefficient , and the coupling coefficient is not the function of the termination impedances of and . This is quite different from the conventional one in (1c). The conventional coupling coefficient in (1c) is one of infinitive sets in (9) and or in (1) may be obtained by equating and (9). and When the termination impedances are , the conventional coupling coefficient of is only one value of 0.4472 ( 6.9897 dB), and the corresponding even- and odd-mode impedances are 80.9 and 30.9 . The same values of the even- and odd-mode impedances are calculated by substiinto the equations in (9). In addition, there tuting are so many other even- and odd-mode impedances available from the design (9). C. Frequency Responses of Marchand Baluns and With the termination impedances of , the even- and odd-mode impedances were calculated by varying the coupling coefficients and are written is 3 dB, the in Table I. When the coupling coefficient is 242.4 , which is hard to be reeven-mode impedance of alized with a planar structure. When is 5 dB, and , which may be realizable with microstrip technology. Based on the data in Table I, frequency responses were simulated at a design center frequency of 1 GHz, and the simulation results are plotted in Fig. 2. and and Matching at port , power divisions of absolute phase difference between and are plotted in
= 50
= 100 . (a) S
Fig. 2. Simulation results with R ,R and jS j. (c) Absolute phase difference between S
j
and S .
j
. (b) jS
j
Fig. 2(a)–(c), respectively. Independently of the coupling coefficients, all are perfectly matched at the design center frequency of 1 GHz [see Fig. 2(a)]. As long as the coupling coefficient of the two coupled transmission-line sections is identical, the freand are identical [see Fig. 2(b)], quency responses of and the bandwidths increase with the coupling coefficient. The
AHN AND NAM: NEW DESIGN FORMULAS FOR IMPEDANCE-TRANSFORMING 3-dB MARCHAND BALUNS
2819
Fig. 3. One circuit being equivalent to that in Fig. 1(b) at a design center frequency.
Fig. 5. ICs. (a) One 180 phase shifter and two series isolation resistances. (b) One 180 transmission-line section with two series resistances. (c) Two 90 transmission-line sections with one series and one shunt resistances. (d) Two 90 transmission-line sections with one shunt resistance.
Fig. 4. Frequency responses. (a) Power division ratio of S lute phase difference between S and S .
to S . (b) Abso-
higher coupling coefficient outside of the center frequency. For the Marchand balun in Fig. 2(c), the 180 phase difference between two output signals is achieved independently of the coupling coefficient and the operating frequencies. Due to the attractive properties of the Marchand baluns, they have received substantial attention from circuit designers and have been used for diverse applications for a long time. III. ICs
absolute phase difference between and [see Fig. 2(c)] is 180 theoretically in whole frequencies, except even multiples of the design center frequency. D. Properties of Marchand Baluns There are several ways to realize the equivalent circuit in Fig. 1(b) and one of them is depicted in Fig. 3, where the circuit consists of one set of coupled transmission-line sections with two short circuits in a diagonal direction and one transmission-line section. In this case, the design equations of the coupled transmission-line sections are the same as those in (9). Under the same condition as the Marchand balun with and in Fig. 1(a) and Table I, the frequency responses of the equivalent circuit in Fig. 3 were simulated at the design center frequency of 1 GHz, and the simulation results are to plotted in Fig. 4 where the magnitude of the ratio of is in Fig. 4(a) and absolute phase difference between and is in Fig. 4(b). The ratio of to in Fig. 4(a) is unity at the design center frequency for all coupling coefficients, and to is gradually bigger with the the difference between coupling coefficient smaller and with the operating frequency farther from the center frequency. In contrast to this, the ratio of to in the Marchand balun is unity independently of the coupling coefficient and the operating frequency [see Fig. 2(b)]. and The absolute phase difference [see Fig. 4(b)] between of the equivalent circuit in Fig. 3 is 180 only at the design center frequency of 1 GHz for any coupling coefficient, and the deviation from the 180 phase difference is smaller with the
A. ICs and Even without the IC (Fig. 1), perfect matching at port perfect power divisions are achieved as shown in Fig. 2, but perand and perfect isolation between fect matching at ports ports and do not appear. For the perfect balun performance at all ports, the IC is needed. Since the phase delays of and are 90 and 90 , respectively, the admittance paand [see Fig. 1(a)] [24] rameters of the IC between ports are (10) A circuit with two series resistances of and an 180 phase shifter connected in cascade, as depicted in Fig. 5(a), satisfies the admittance parameters in (10). In addition, the three ICs in Fig. 5 are possible. To realize the 180 phase shifter, one 180 transmission-line section may be employed in Fig. 5(b). in Fig. 5(b) to the center Moving one or two resistances of of the 180 transmission-line section results in the circuit in Fig. 5(c) or (d), respectively. The relation among the characterof the transmission-line section, the output istic impedance and the resistances of and termination impedance of [24] is (11a) (11b)
2820
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 7. Characteristic impedance of Z (b) N = 3. (c) N = 2. (d) N = 1.
Fig. 6. Modified 5- and T -types of equivalent circuits. (a) 5-type. (b) T -type.
and Z
with Z
= 80 . (a) N = 5.
TABLE II DESIGN DATA OF 5- AND T -TYPES OF MODIFIED EQUIVALENT CIRCUITS WITH Z = 80 , = 90 , AND N = 70
B. Compact ICs As shown in Fig. 1(a), since two output ports are connected to each other very closely, it is not easy to implement such long ICs in Fig. 5. The transmission-line sections should therefore be reduced for easy fabrication. To shorten the transmission-line sections without changing phase response, modified - and -types of equivalent circuits may be utilized and detailed in Fig. 6 where the characteristic impedance and electrical and . The length of the original transmission section are modified -type equivalent circuit [see Fig. 6(a)] consists of transmission-line sections with the characteristic impedance of and open stubs. The first and last open stubs are the same and the others have two times susceptance of the first or last one. The number of is therefore equal to the number of the transmission-line sections with . The -type equivalent circuit [see Fig. 6(b)] is a kind of dual network of the -type trans[see Fig. 6(a)] and composed of open stubs and mission-line sections. The electrical length of the first and last transmission-line sections with the characteristic impedance of [see Fig. 6(b)] is half of the others and the others are therefore two times of the first or last one. The characteristic impedand and the lengths of and of the ances of open stubs may be chosen arbitrarily as far as their susceptance and are kept the same, values of and . where The design equations of the equivalent circuits in Fig. 6 are
(12a)
(12b)
(13a)
(13b)
where are characteristic admittances of are electrical lengths of transopen stubs, and mission-line sections and open stubs in Fig. 6. The -type of have been used for various the equivalent circuit with applications [24], [26], [29], [33], but that with greater than 2 has not been discussed yet. For the use of the equivalent cirand should be cuits, the characteristic impedances of , , and will realizable. For this, the relation among be studied. For a transmission-line section with the characteristic and the electrical length of 90 , impedance of and were calcuthe characteristic impedances of lated with and , and the calculation results are is the total length of the resulting plotted in Fig. 7 where , the characteristic transmission-line section. When and are about the same with each impedances of other [see Fig. 7(a)]. With smaller, the difference between and becomes bigger [see Fig. 7(d)]. In the case of , , , , and are calculated in Table II and in where (12b) and (13b).
AHN AND NAM: NEW DESIGN FORMULAS FOR IMPEDANCE-TRANSFORMING 3-dB MARCHAND BALUNS
Fig. 8. Simulation results of jS
j
2821
.
TABLE III FABRICATION DATA OF A MICROSTIP MARCHAND BALUN
Fig. 10. Results measured and predicted are compared. (a) Scattering parame. (b) Scattering parameters from ports and . (c) Absolute ters from port phase difference between S and S .
Fig. 9. Fabricated marchand balun.
Based on the data in Table II, the two types of equivalent circuits were simulated at the design center frequency of 1 GHz and ideal capacitances were used for the susceptances produced by the open stubs. The frequency responses of matching performance are plotted in Fig. 8 where the solid and dotted lines are those of the - and -types of the modified equivalent circuits, (Fig. 8), the return loss is more than respectively. When , 50 dB in the entire frequency range of interest. With the return loss with more than 30 dB is achieved, except several , poor response frequencies around 1.6 GHz, and with is shown in the frequencies higher than 1 GHz. For the use of the 90 transmission-line sections, the number of should be greater and equal to 2 for good matching performance.
IV. MEASUREMENTS To validate design formulas and modified equivalent circuits of a transmission-line section, a microstrip Marchand balun terand was fabricated on minated in , mm). The dielectric cona substrate (FR4, stant of FR4 is found based on a third-order polynomial [34]. dB and a design center frequency of 1.5 GHz were chosen. The corresponding even- and odd-mode impedances are and , and width and gap size of the coupled microstrip transmission-line sections are 1.92 and 0.165 mm, respectively. For the conventional design is 3.174 dB equations in (1), the coupling coefficient of (0.6939) and the even- and odd-mode impedances should be and , which are impossible to be implemented with a microstrip format on any substrate. The in Fig. 5(d) was IC in Fig. 5(d) was employed and
2822
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
calculated for the use of an available chip resistor of 51 . Each 90 transmission-line section was reduced using the modified -type equivalent circuit to have where . The susceptance of produced by one open stub is and was realized with two open stubs connected in parallel. Each open stub is 4 long and the characteristic impedance is 50 . The two termination impedances are not 50 , and therefore, impedance transformers are needed. To transform into 50 , an asymmetric impedance transformer [35], into 50 , [36] was adopted, and to convert was employed. The detailed data are summed up in Table III. If is lowered in Fig. 5(d), then the charthe resistance value of is lowered from (11), which leads to acteristic impedance of the size reduction more from the calculation results in Fig. 7. The fabricated Marchand balun is displayed in Fig. 9, and the results measured and predicted are compared in Fig. 10 where are the measured scattering parameters produced from port and in Fig. 10(b) and absoin Fig. 10(a), those from ports and in Fig. 10(c). Mealute phase difference between sured scattering parameters of the power divisions are 3.57 and 3.62 dB, measured return losses at all ports are better than about 21 dB, and the isolation is better than about 20 dB around the design center frequency of 1.5 GHz. The absolute phase difference between two balanced signals is 180 2 in about 50% bandwidth. The measured results are in good agreement with the prediction, as displayed in Fig. 10. V. CONCLUSIONS Conventional design formulas of the even- and odd-mode impedances of the Marchand balun are determined by one coupling coefficient only, being a function of the termination impedances. The coupling coefficient may therefore be 0 or 3 dB, depending on the termination impedances. In general, the coupling coefficient should be determined by the coupling structure itself, not by the termination impedances. To solve the problem, new design formulas are derived by terminating input port with its matched termination impedance. Infinite sets of the even- and odd-mode impedances are possible by varying the coupling coefficient arbitrarily, which allows design flexibility and diverse applications. Since two output ports of the Marchand baluns are placed very closely with each other, no sufficient space for the long IC is available. For this, modified - and -types of equivalent circuits are also proposed. Using the presented design formulas of the Marchand baluns and the modified - and -types of equivalent circuits, further applications can be expected. REFERENCES [1] N. Marchand, “Transmission line conversion transformers,” Electronics, vol. 17, no. 12, pp. 142–145, Dec. 1944. [2] L. Xu, Z. Wang, Q. Li, and J. Xia, “Modelling and design of a wideband Marchand balun,” in Asia–Pacific Electromagn. Compat. Symp. Dig., 2010, pp. 1374–1377. [3] A. C. Chen, A.-V. Pham, and R. E. Leoni, III, “A novel broadband even-mode matching network for Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 2973–2980, Dec. 2009. [4] C.-S. Lien, C.-H. Wang, C.-S. Lin, P.-S. Wu, K.-Y. Lin, and H. Wang, “Analysis and design of reduced-size Marchand rat-race hybrid for millimeter-wave compact balanced mixers in 130-nm CMOS process,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1966–1977, Aug. 2009.
[5] Z. Xu and L. NacEachern, “Optimum design of wideband compensated and uncompendated Marchand baluns with step transformers,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 2064–2071, Aug. 2009. [6] T. Johnsen and V. Krozer, “Analysis and design of lumped element Marchand baluns,” in 17th Int. Microw., Radar, Wireless Commun. Conf. Dig., May 2008, pp. 1–4. [7] S. Kumpang, R. Phromloungsri, and M. Chongcheawchawchamnan, “Design high performance Marchand balun with step-impedance transmission lines compensated parallel-coupled lines,” in Asia–Pacific Microw. Conf. Dig., 2008, 4 pp. [8] C.-S. Lin, P.-S. Wu, M.-C. Yeh, J.-S. Fu, H.-Y. Chang, K.-Y. Lin, and H. Wang, “Analysis of multiconductor coupled-line Marchand baluns for miniature MMIC design,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 6, pp. 1190–1199, Jun. 2007. [9] R. Phromlungsri, M. Chongcheawchamnan, and I. D. Robertson, “Inductively compensated parallel coupled microstrip lines and their applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3571–3582, Sep. 2006. [10] W. M. Fathelbab and M. B. Steer, “Tapped Marchand baluns for matching applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2543–2551, Jun. 2006. [11] R. Phromloungsri, S. Srisathit, M. Chongcheawchamnan, and I. D. Robertson, “Novel technique for performance improvement in impedance-transforming planar Marchand bluns,” in Eur. Microw. Conf. Dig., 2005, 4 pp. [12] Z.-Y. Zhang, Y.-X. Guo, L. C. Ong, and M. Y. W. Chia, “A new planar Marchand balun,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 1207–1210. [13] W. M. Fathelbab and M. B. Steer, “New classes of miniaturized planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 4, pp. 1211–1220, Apr. 2005. [14] H.-R. Ahn, “Comments on ‘Converting baluns into broadband impedance-transforming 180 hybrids’,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 228–230, Jan. 2004. [15] K. S. Ang and Y. C. Leong, “Authors’ reply “comments on “converting baluns into broadband impedance-transforming 180 hybrids”,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 1, pp. 230–233, Jan. 2004. [16] C. Y. Ng, M. Chongcheawchamnan, and I. D. Robertson, “Analysis and design of a high-performance planar Marchand balun,” in IEEE MTT-S Int. Microw. Symp. Dig., 2002, pp. 113–116. [17] K. S. Ang and Y. C. Leong, “Converting baluns into broadband impedance-transforming 180 hybrids,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 8, pp. 1990–1995, Aug. 2002. [18] J.-L. Chen, S.-F. Chang, and B.-Y. Laue, “A 20–40 GHz monolithic doubly-balanced mixer using modified planar Marchand baluns,” in Asia–Pacific Microw. Conf. Dig., Dec. 2001, pp. 131–134. [19] C.-W. Tang, J.-W. Sheen, and C.-Y. Chang, “Chip-type LTCC-MLC baluns using the stepped impedance method,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2342–2349, Dec. 2001. [20] M. Chongcheawchamnan, C. Y. Ng, M. S. Aftanasar, I. D. Robertson, and J. Minalgiene, “Broadband CPW Marchand balun using photoimageable multilayer thick-film process,” Electron. Lett., vol. 37, no. 20, pp. 1228–1229, Sep. 2001. [21] K. S. Ang and I. D. Robertson, “Analysis and design of impedancetransforming planar Marchand baluns,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 2, pp. 402–406, Feb. 2001. [22] M. Chongcheawchamnan, K. S. Ang, J. N. H. Wong, and I. D. Robertson, “A push–pull power amplifier using novel impedance-transforming baluns,” in Eur. Microw. Conf. Dig., 2000, 4 pp. [23] K. S. Ang, I. D. Robertson, K. Elgaid, and I. G. Thayne, “40 to 90 GHz impedance-transforming CPW Marchand balun,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 1141–1144. [24] H.-R. Ahn and T. Itoh, “New isolation circuits of compact impedance-transforming 3-dB baluns for theoretically perfect isolation and matching,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3892–3902, Dec. 2010. [25] H. R. Ahn and T. Itoh, “Impedance-transforming symmetric and asymmetric DC blocks,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 9, pp. 2463–2474, Sep. 2010. [26] H.-R. Ahn and B. Kim, “Small wideband coupled-line ring hybrids with no restriction on coupling power,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1806–1817, Jul. 2009. [27] H.-R. Ahn and B. Kim, “Transmission-line directional couplers for impedance transforming,” IEEE Microw. Wireless Compon. Lett., vol. 16, no. 10, pp. 537–539, Oct. 2006.
AHN AND NAM: NEW DESIGN FORMULAS FOR IMPEDANCE-TRANSFORMING 3-dB MARCHAND BALUNS
[28] H.-R. Ahn and B. Kim, “Toward integrated circuit size reduction,” IEEE Microw. Mag., vol. 9, pp. 65–75, Feb. 2008. [29] T. Hirota, A. Minakawa, and M. Muraguchi, “Reduced-size branchline and rat-race hybrids for uniplanar MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 3, pp. 270–275, Mar. 1990. [30] K. W. Eccleston and S. H. M. Ong, “Compact planar microstripline branch-line and rat-race couplers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 10, pp. 2119–2125, Oct. 2003. [31] H.-R. Ahn, I.-S. Chang, and S.-W. Yun, “Miniaturized 3-dB ring hybrid terminated by arbitrary impedances,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2216–2221, Dec. 1994. [32] H.-R. Ahn, I. Wolff, and I.-S. Chang, “Arbitrary termination impedances, arbitrary power division, and small-sized ring hybrids,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 12, pp. 2241–2247, Dec. 1997. [33] M.-L. Chuang, “Miniaturized ring coupler of arbitrary reduced size,” IEEE Mircrow. Wireless Compon. Lett., vol. 15, no. 1, pp. 16–18, Jan. 2005. [34] E. L. Holzman, “Wideband measurement of the dielectric constant of an FR4 substrate using a parallel-coupled microstrip resonators,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 7, pp. 3127–3130, Jul. 2006. [35] H.-R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their applications to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Tech, vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [36] H.-R. Ahn, Asymmetric Passive Components in Microwave Integrated Circuits. New York: Wiley, 2006, ch. 11. Hee-Ran Ahn (S’90–M’95–SM’99) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Sogang University, Seoul, Korea, in 1988, 1990 and 1994, respectively. Since April 2011, she has been with the School of Electrical Engineering and Computer Science, Seoul National University, Seoul, Korea. From August 2009 to December 2010, she was with the Department of Electrical Engineering, University of California at Los Angeles (UCLA). From July 2005 to August 2009, she was with the Department
2823
of Electronics and Electrical Engineering, Pohang University of Science and Technology (POSTECH), Pohang, Korea. From 1996 to 2002, she was with the Division of Electrical Engineering, Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. She was also with the Department of Electrical Engineering, Duisburg-Essen University, Duisburg, Germany, where she was involved with the Habilitation dealing with asymmetric passive components in microwave circuits. Her interests include high-frequency and microwave circuit design and biomedical application using microwave theory and techniques. She authored Asymmetric Passive Component in Microwave Integrated Circuits (Wiley, 2006).
Sangwook Nam (S’87–M’88–SM’11) received the B.S. degree from Seoul National University, Seoul, Korea, in 1981, the M.S. degree from the Korea Advanced Institute of Science and Technology (KAIST), Seoul, Korea, in 1983, and the Ph.D. degree from The University of Texas at Austin, in 1989, all in electrical engineering. From 1983 to 1986, he was a Researcher with the Gold Star Central Research Laboratory, Seoul, Korea. Since 1990, he has been a Professor with the School of Electrical Engineering and Computer Science, Seoul National University. His research interests include analysis/design of electromagnetic (EM) structures, antennas, and microwave active/passive circuits.
2824
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Directional Coupler Compensation With Optimally Positioned Capacitances Johannes Müller, Member, IEEE, Minh N. Pham, and Arne F. Jacob, Fellow, IEEE
Abstract—An accurate design synthesis for the phase velocity compensation in coupled line microstrip couplers by means of parallel capacitances is presented. In contrast to previous approaches, an a priori arbitrary placement of the capacitances along the coupled line structure is considered. By optimizing these positions, the directivity-bandwidth performance is significantly improved. Cases with two and three capacitances are treated extensively. The findings are used to generalize the compensation scheme to any number of capacitances. Throughout the analysis, the parasitic even-mode capacitance is taken into account using a realistic model. Several design examples are presented. Simulation results are confirmed by measurements. They compare favorably with those reported previously. Index Terms—Capacitive compensation, coupled lines, directional coupler, inhomogeneous media, phase velocity compensation.
I. INTRODUCTION
C
OUPLED line structures are widely used in microwave circuits, such as Marchand baluns, matching networks, combiners, filters, and directional couplers. If realized in an inhomogeneous medium, e.g., using microstrips, the effective permittivities, and thus, the phase velocities of the even and odd mode differ. This leads to performance degradation, such as output port imbalance in case of Marchand baluns, spurious passbands in filters, and poor directivity, as well as port mismatch in directional couplers. Methods for compensating these phase velocity differences have been investigated in the literature since the early 1970s. One can distinguish between two categories. One aims at effectively equalizing the different phase velocities along the coupled lines. Here, the most common approach is certainly to wiggle the adjacent edges of the coupling slot [1], [2]. This extends the effective path length of the odd-mode current and thereby compensates for its higher phase velocity. Alternatively, the effective even- and odd-mode permittivities can be equalized. This can be achieved by means of dielectric overlays [3], [4], anisotropic substrates [5], quasi-suspended substrate arrangement [6], or
Manuscript received March 08, 2011; revised July 15, 2011; accepted July 29, 2011. Date of publication September 26, 2011; date of current version November 16, 2011. This work was supported by the Deutsche Forschungsgemeinschaft (DFG). The authors are with the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, 21073 Hamburg, Germany (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2165961
apertures in the ground plane [7], [8]. Stepped-impedance approaches [9], [10] rely on internal reflections to achieve port isolation. The second category relies on reactive components connected to the coupled lines. The use of inductive elements in series to the ports was originally proposed in [11]. Design equations were derived in [12] and further developed in [13]. Shunt inductances were recently considered in [14]. The achievable compensation with all these inductive methods is, however, relatively narrowband. Much more common is to place a capacitance between the coupled (microstrip) lines at each end of the coupler. Ideally, this affects only the odd mode and slows it down. This method was first presented by Schaller [15], who also introduced an approximate design equation for the compensating capacitance [11]. Kajfez used a similar formulation and was first to account for the effect of a parasitic even mode capacitance [16]. Thus far, however, the analytical formulation was approximate and valid for loose coupling only. Dydyk improved this method by deriving an exact design equation for both the odd-mode capacitance and the modified odd-mode impedance of the coupled lines [17]. The latter is necessary since the capacitances effectively change the characteristic impedance of the odd mode. The presence of a parasitic even-mode capacitance, however, was not taken into account in [17]. In all methods, the capacitances connect the lines at the end of the coupler. Dydyk also derived formulas for a single capacitance at the center of the coupler [18]. In this case, however, the compensation is more narrowband. In this study, we derive design equations for lumped capacitances that are placed at arbitrary positions, but still symmetrically, along the coupler, thereby maintaining its twofold symmetry. This simplifies the synthesis and ensures quadrature. The parasitic even-mode capacitance is taken into account as sketched in Fig. 1. In contrast to previous work, it is assumed to depend on the odd-mode capacitance instead of being constant, as this is more realistic. This paper is organized as follows. Section II describes the model and outlines the approach. In Section III, we analyze in detail the compensation by means of two capacitances and determine their optimum position. Section IV extends the rigorous design to the case of three, and generalizing the previous findings, an arbitrary number of capacitances. Finally, measurements results are reported. II. THEORY A. Image Parameter Approach The approach is based on the so-called image method, a classical network description used for the synthesis of filters and
0018-9480/$26.00 © 2011 IEEE
MÜLLER et al.: DIRECTIONAL COUPLER COMPENSATION WITH OPTIMALLY POSITIONED CAPACITANCES
Fig. 1. Symmetric coupled microstrip lines with compensating capacitances C at arbitrary positions with q ; ; . Parasitic capacitances C are taken into account. Symmetry lines: A–A and B –B .
= 1 2 ...
2825
-matrix enwith the lower case letters representing the tries of half the structure, as indicated in Fig. 2(b). The image seen at the vertical symmetry plane may differ impedance from the input image impedance. Due to the symmetry, however, no reflection occurs at this interface. is its characteristic impedance For a transmission line, is its (complex) electrical length. As coupled transmisand sion lines can be decomposed into a pair of independent lines with respect to their eigenmodes, the set of image parameters is, in turn, equivalent to the corresponding wave quantities. For symmetric cross sections, the decomposition yields the wellknown even/odd-mode representation. In the following, subscripts (even) and (odd) or, more generally, will be used in this context. B. Ideal Coupler Conditions
Fig. 2. Image method representation of a: (a) general and (b) symmetric twoport.
A directional coupler is considered to be ideal if its ports are matched and if two pairs of ports are isolated from each other. In case of a backward coupler, these are P1–P3 and P2–P4, following the port-notation from Fig. 1. In lossless symmetric four-ports, as are solely considered in this paper, both properties hold simultaneously [21], [22]. An ideal coupler fulfills two necessary conditions: the propagation and the impedance condition. For a parallel line coupler, for instance, the former means that even and odd mode have equal electrical length (i.e., image phase) (3)
matching networks. In this method, circuit analysis is performed from a wave viewpoint, much as in transmission line theory [19]. Recently, we used this theory to effectively characterize symmetrical couplers [20]. For the sake of completeness, the theory is briefly reviewed in the following. For a deeper understanding, the reader is referred to [19]. Any reciprocal two-port network can be represented by its and , so-called input and output image impedances, , as shown in Fig. 2(a). and an image propagation function -maThese parameters can be calculated, e.g., from its trix
In the case of homogeneous media, (3) is always fulfilled, independently of frequency. Otherwise, a compensating structure yielding (3) at the design frequency is needed. According to the second condition, the coupler impedance (4) has to match the system (or reference) impedance (e.g., and are the even- and odd-mode 50 ). Here, (image) impedances, respectively. A third design condition follows from the nominal coupling factor
(1a)
(5)
(1b)
which corresponds to the magnitude of the scattering parameter at frequency were the overall electrical length of the cou. In practice, (3) might not be fulfilled. is then pler is [6]. sometimes replaced by
(1c) being the image attenuation in neper and being with the image phase in radian. In the case of symmetric two-ports, the equations reduce to (2a)
(2b)
C. Coupler Compensation The coupled line model with twofold symmetry is depicted in Fig. 1. Exemplarily, three capacitances are shown. Here, is the capacitance desired for compensation, whereas represents the parasitic even-mode capacitance. Thus, the odd mode sees both in parallel. The corresponding circuit for mode is depicted in Fig. 3(a). The symmetry lines – are preserved in this arrangement. Consequently, the image impedance seen at the port(s) can be
2826
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
The following notations will be used throughout this paper. The total electrical length of the coupled lines is
(8) The even/odd mode inhomogeneity is characterized through (9) with the effective permittivities . The electrical lengths of the two modes are thus related through (10) Finally, let with
Fig. 3. (a) Mode i schematic of coupled lines with three symmetrically placed capacitances. (b) Corresponding image parameter representation. (c) Equivalent ideal transmission line.
calculated by considering just one half of the circuit. As the structure considered in this work is assumed to be lossless, it has a real image impedance and an imaginary propagation . function The compensated coupler should behave ideally—at least at one frequency. Its image parameters should thus match those of an ideal uncompensated coupler, as depicted in Fig. 3(c). This and are now the even/oddmeans that in (3)–(5) mode image parameters of the whole structure. From this argumentation, it follows that the image parameter approach is applicable to any symmetric four-port (e.g., also to branch-line couplers). Depending on the desired functionality though, conditions other than (3)–(5) may apply. D. Design Parameters on each half of the Let there be capacitances in total, symmetric coupler. They are denoted by and define coupled line sections with impedance and elec. For odd, the center capacitrical length tance has to be split into two, one on each side. This yields
(11)
denote the fractional lengths of the individual sections. E. Synthesis Procedure For a desired nominal coupling , a given inhomogeneity , , the ideal image parameters for and a reference impedance the two modes follow from the coupler conditions (3)–(5). Commonly, the image phase of both modes is set to . That way, the compensation and nominal coupling occur at the same frequency. The compensated multisection coupler can now be characterized by means of its image parameters -matrices. The goal is to find by cascading the various , characteristic impedances , values for the capacitances such that the resulting overall image and electrical lengths parameters satisfy conditions (3)–(5). F. Simplifications Obviously the parameter space is very large. Some of these parameters, however, are interdependent, such as the electrical lengths of odd and even mode through (10). Also, as already mentioned, the even- and odd-mode capacitances are assumed to be related. The idea behind this is that, if the odd-mode capacitance is changed during the design process, might change as well, depending on which geometrical or electrical parameters are tuned. This functional dependency must be found before is not an inthe synthesis, e.g., via simulation. In any case, dependent design variable here and we have
for for (6) and
(12) To further reduce the parameter space, the different coupled line sections are assumed to have equal cross section and thus impedance
for for (7)
(13)
MÜLLER et al.: DIRECTIONAL COUPLER COMPENSATION WITH OPTIMALLY POSITIONED CAPACITANCES
For a certain arrangement of , one can now find one or more solutions for the remaining independent quantities, , the capacitances , and the namely, the impedances overall electrical length . In the following sections, coupler compensation is formulated analytically for different numbers of capacitances and the solutions are discussed.
2827
Next, (17a)–(17d) is inserted into (2a) and the remaining conditions (4) and (5) are applied. This yields, after some manipulation, the following expressions for the even- and odd-mode impedances of the coupled line sections:
III. COMPENSATION WITH TWO CAPACITANCES (19)
As a first generalization of known designs, the case of two capacitances with variable positioning is considered. A. Derivation For the fractional position of the capacitance, we set . Thus, for , the capacitances are placed , they merge at at the outer ends of the coupler, and for the center. To obtain a frequency-independent solution, we consider the susceptances (14) instead of the corresponding capacitances. For each mode, the -matrix of, for example, the left sub-circuit, is calculated -matrices of its three parts by multiplying the
It should be mentioned that (19) was obtained using the negative , the physically square root in (18) as this leads to meaningful solution for microstrip lines. In the next step, (18) and (19) are inserted into (16). This and as a function of , , , , yields expressions for and . Using these expressions in (12), one obtains an equation following from that can be solved numerically for , with (10). Here, represents an additional degree of freedom, which will be used for optimization. is constant, as in [16], is calculated first, In the case following from (10). Knowing , and for a given value of pafrom (19), and finally, rameter , are obtained from (18), from (16). and lead to the following The two extreme cases simplified formulas. • (20) (21)
(15) • For the lossless case and with
(22)
(16) the
-matrix entries for mode become
(23) (17a) Again, (17b) (17c) (17d)
Inserting (17a)–(17d) into (2b) yields the even- and odd-mode electrical lengths. Applying the propagation condition (3) leads to a quadratic equation, which can be solved for the auxiliary variables . then depend on parameters , , and the still unknown
(18)
(or
) has to be calculated first, as explained above.
B. Some Limitations The inhomogeneity depends on the geometry of the coupled line structure and the dielectric property of the medium. In the . It increases with case of microstrip lines, for example, thickness and permittivity of the substrate, as well as with . the coupling factor , and may reach values of up to Other transmission line structures, such as broadside coupled lines on suspended substrate, may exhibit inhomogeneities of , which vary in a much wider range [23]. Following the previous derivation, compensation is theoretically possible for any . The type of inhomgeneity imposes, however, some constraints on the compensating capacitances. From (21) or (23), it follows: Type 1:
(24)
Type 2:
(25)
2828
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 4. Compensating odd-mode susceptance B versus for 10–20-dB cou: and = . pling with
= 1 12
= 2
Fig. 5. Normalized even- and odd-mode impedance Z versus for 10–20-dB coupling. : and = .
= 1 12
= 2
Fig. 6. Scattering parameters for the uncompensated case and for
0:5, and = 1. Thus, the upper (lower) limit of for Type 1 Type 2 is determined by the coupling factor through . Weaker (stronger) coupling therefore relaxes the constraints on the capacitance ratio for Type 1 Type 2 . This makes compensation easier. In the remainder of this work, only edge-coupled microstrip lines are considered. In this case, the required capacitance values increase with , as will be shown in Section III-C. Depending on the farication technique, large capacitance values might be difficult to realize. In the following analysis, we choose and , which are typical values for coupled microstrip lines. For reasons of comparability, these values are kept throughout this paper. C. Analysis To illustrate the above, several examples are studied. Compensation is performed at . Fig. 4 shows the compensating odd-mode susceptance versus its position for different coupling levels. The value of the susceptance grows strongly with coupling and decreases when the position approaches the center of the coupler (increasing ). Fig. 5 depicts the normalized even- and odd-mode impedances. They may significantly differ from the image impedances. In Fig. 6, the scattering parameters of a 15-dB coupler are shown for different values of and for the uncompensated case as well. They are plotted versus the normalized frequency. Independently of , perfect compensation is achieved at , as intended. The broadband behavior, howcompared to or ever, is about 20 dB better for . Maximum coupling occurs at about for both and the uncompensated case, while it is shifted to lower
= 0, =
(higher) frequencies for . The response can be explained by inspecting the resulting image parameters. Fig. 7 depicts the frequency dependence of the even- and odd-mode image impedances of the resulting coupler impedance normalized to the 50- reference impedance and of the electrical length . For the uncompensated case, difference the impedances are constant and fulfill conditions (4) and (5) independently of frequency. The electrical length difference, howand is roughly proportional ever, is around 10 at . This strongly degrades the isolation, whereas the input to reflection, which depends more on the impedance level, remains below 40 dB. In the compensated cases, the image impedances and the electrical length difference meet the design values at . In general, though, they are dispersive. While the image imped, ances increase (decrease) with frequency for . Also shows they exhibit almost no dispersion for much less dispersion for , compared to the cases with and , which display similar dispersive behavior this time. D. Ideal Capacitance Position The image parameter dispersion depends strongly on , as was demonstrated in Section III-C. The next step is to find the position of the capacitance yielding maximum bandwidth. To this end, the solution space is further examined and evaluated using a figure-of-merit , which is defined as the minimum directivity within a certain fractional bandwidth (FBW) Directivity
dB
(26)
MÜLLER et al.: DIRECTIONAL COUPLER COMPENSATION WITH OPTIMALLY POSITIONED CAPACITANCES
2829
Fig. 9. Minimum directivity K as a function of and susceptance B
Fig. 7. Image impedances Z and Z (top), coupler impedance Z normalized to Z (middle), and the electrical length difference (bottom) for , : , and . the uncompensated case, as well as for
=0 =05
1 =1
Fig. 10. Scattering parameters S and S n for k : , and C =C dB,
= 10
Fig. 8. Minimum directivity over an FBW of 100% as a function of and the nominal coupling.
In this study, FBW is set to 100%. Fig. 8 depicts the contour in the -space for a typical microstrip plot of for strong coupler. The optimum position is at about for weaker coupling and increases to values around coupling. A minimum directivity in excess of dB is then achievable with a 10-dB coupler. If, on the other hand, the capacitances are placed at the ends or at the center of the coupler, dB the minimum directivity in the band drops below and dB, respectively. IV. COMPENSATION WITH
CAPACITANCES
The number of capacitances is now increased, the placement still ensuring symmetry, as described in Section II-D. Exemplarily, we consider compensation with three capacitances, and to explore the limits, with an arbitrary number . To restrict the number of unknowns, we assume , as
= 1 12
.
versus the number of capacitances
= 0:3.
in Section II-F. As the derivation is similar to the case with two capacitances only, distinct aspects are mentioned here. In this section, we consider exclusively 15-dB couplers. , the position of the two outer capacitances is a For design variable and has to be optimized. One-half of the sym-matrix (15) metric structure is depicted in Fig. 3. The has to be multiplied with the one of half the center shunt suscep. As the position of is fixed, there is tance only one additional degree of freedom, namely, its value. Each fractional position spans a solution space for the possible comand , each of which yielding a set of values binations of and . The minimum directivity is shown as a confor tour plot versus and in Fig. 9. The highest value dB is found for . In the cases and , is thus optimum if the coupler is subdivided into approximately equal symmetric T-sections, each consisting of a shunt capacitance between two identical coupled line sections. To simplify capacitances, this finding is generalthe design in case of ized. The coupler is thus subdivided into identical T-sections. . This might not be quite All capacitances are equal optimal, but reduces the parameter space and also simplifies the coupler design in practice. Indeed, the T-sections can be speci. fied from (22) and (23). For this, has to be replaced by Fig. 10 shows the scattering parameters of such a structure for increasing . For 16 and more capacitances, the isolation exceeds 80 dB over the whole frequency range. The minimum and the resulting odd-mode susceptance are dedirectivity , is already picted in Fig. 11 as a function of . For larger than 50 dB. It should be mentioned, however, that for in-
2830
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 11. Minimum directivity tion of .
n
K and the odd-mode susceptance B
as a func-
=0
Fig. 13. Coupler layout with two capacitances at . Measured scattering parameters and extracted image parameters versus frequency. Fig. 12. Geometry of the interdigital capacitances.
TABLE I GEOMETRIC PARAMETERS OF THE FABRICATED COUPLERS
creasing , the lumped compensation resembles more and more , one has uniformly coupled lines a distributed one. For with equal even- and odd-mode velocities. This justifies in some way the simplifying assumptions made above. V. MEASUREMENTS Several 15-dB couplers were designed and fabricated on mm, ) Rogers Ro4003c substrate (thickness for a center frequency of 2 GHz. The measurements were performed using a test fixture and a four-port vector network analyzer (Rhode & Schwarz ZVA50). The measurement results were deembedded up to the transition between the single and the coupled lines using the multiline TRL-calibration software StatistiCAL from NIST [24]. This way, we were able to characterize the fabricated couplers by means of their image parameters, allowing for straightforward redesigns with optimized results [20]. The capacitances were realized as interdigital capacitors, as depicted in Fig. 12. The geometric parameters for the various fabricated couplers are listed in and capacitors having Table I. Couplers with
= 0:5
Fig. 14. Coupler layout with two capacitances at . Measured scattering parameters and extracted image parameters versus frequency.
or fingers were realized. Besides optimal posiand was also investigated. tioning, the case with The measured performances are documented in Figs. 13–16. The figures include the corresponding layouts. The two capacitance cases in Figs. 13 and 14 demonstrate the benefits of optimal positioning, which improves the minimum directivity from 27 to 38 dB. The image parameters reveal that,
MÜLLER et al.: DIRECTIONAL COUPLER COMPENSATION WITH OPTIMALLY POSITIONED CAPACITANCES
Fig. 15. Coupler layout with three capacitances ( = 0:33). Measured scattering parameters and extracted image parameters versus frequency.
2831
dB instead of dB. The and reasons for this can be manifold. On the one hand, the actual are slightly smaller than assumed in values of and the simulation. On the other hand, instead of being lumped elements, as presumed in the analysis, the realized capacitances have finite length. Full-wave simulation with interdigital structures yields the same qualitative behavior of with respect to and the number of capacitances . It is, however, very time consuming and does not perfectly converge due to numerical noise. It should also be mentioned that, owing to the distributed nature is not realizable. Thus, of the realized capacitors, the case the fractional position of the capacitor with respect to its center . corresponds to Compensation with three and 16 capacitances (Figs. 15 dB and 16) yields minimum directivities of and dB, respectively. While these are good results for broadband compensated coupler, the theoretically dB (Fig. 9) and achievable performances of dB (Fig. 11) could not be reached. Several limitations cause this difference. First, the measurement uncertainty, as determined from the redundant multiline thru-reflect-line (TRL) calibration [24], is around 45 dB, which can be considered as the accuracy limit for the directivity measurement. Secondly, the in-house fabrication process exhibits etching tolerances of around 8 m. The resulting variations of the coupler geometry have a nonnegligible influence on the directivity at such low signal levels. Finally, losses may also have some effect. VI. CONCLUSION An accurate design synthesis for the phase velocity compensation of coupled lines by means of parallel capacitances was investigated for directional-coupler applications. In contrast to previous approaches, an arbitrary number of capacitances was considered. Their positions were optimized with respect to bandwidth-directivity performance. Significant improvements were demonstrated. The parasitic even-mode capacitance was taken into account throughout the analysis. Compensated couplers with two, three, and 16 interdigital capacitances were fabricated and measured. Due to measurement uncertainties and fabrication tolerances, the measurements do not quite match the predictions. Still, the achieved performance with directivities of % is, to the authors’ about 40 dB over an FBW of knowledge, unmatched in literature. Thus, the measurement results confirm the novel compensation approach. Ongoing investigations deal with the distributed nature of the interdigital capacitors and its inclusion in the optimization process. REFERENCES
Fig. 16. Coupler layout with 16 equally distributed capacitances. Measured scattering parameters and extracted image parameters versus frequency.
while the electrical length difference remains below 0.3 in both cases, the image impedance becomes much flatter at . It should be mentioned that, in both cases, the achieved minimum directivity is higher than predicted (Fig. 7). Indeed, dB instead of dB one has
[1] A. Podell, “A high directivity microstrip coupler technique,” in IEEE MTT-S Int. Microw. Symp. Dig., 1970, pp. 33–36. [2] S. Uysal and H. Aghvami, “Synthesis, design, and construction of ultrawide-band nonuniform quadrature directional couplers in inhomogeneous media,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 6, pp. 969–976, Jun. 1989. [3] G. Haupt and H. Delfs, “High-directivity microstrip directional couplers,” Electron. Lett., pp. 142–143, 1974. [4] B. Sheleg and B. Spielmann, “Broad-band directional couplers using microstrip with dielectric overlays,” IEEE Trans. Microw. Theory Tech., vol. MTT-22, no. 12, pp. 1216–1220, Dec. 1974.
2832
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
[5] M. Kobayashi and R. Terakado, “Method for equalizing phase velocities of coupled microstrip lines by using anisotropic substrate,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 7, pp. 719–722, Jul. 1980. [6] S. March, “Phase velocity compensation in parallel-coupled microstrip,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 581–584. [7] R. Jansen, “Microstrip lines with partially removed ground metallization, theory and applications,” Int. J. Electron. Commun. (AEÜ), pp. 485–192, 1978. [8] M. Velázquez, J. Martel, and F. Medina, “Parallel coupled microstrip filters with ground-plane aperture for spurious band suppression and enhanced coupling,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 1082–1086, Mar. 2004. [9] S. Rehnmark, “High directivity CTL-couplers and a new technique for the measurement of CTL-coupler parameters,” IEEE Trans. Microw. Theory Tech., vol. 25, no. 12, pp. 1116–1121, Dec. 1977. [10] J. Müller, C. Friesicke, and A. F. Jacob, “Stepped impedance microstrip couplers with improved directivity,” in IEEE MTT-S Int. Microw. Symp. Dig., 2009, pp. 621–624. [11] G. Schaller, “Untersuchungen an Leitungsrichtkopplern insbesondere in Mikrostreifenleitungstechnik,” Ph.D. dissertation, Univ. Erlangen, Nuremberg, Germany, 1976. [12] R. Phromloungsri, M. Chongcheawchamnan, and I. Robertson, “Inductively compensated parallel coupled microstrip lines and their applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 9, pp. 3571–3582, Nov. 2006. [13] J. Müller and A. F. Jacob, “Complex compensation of coupled line structures in inhomogeneous media,” in IEEE MTT-S Int. Microw. Symp. Dig., 2008, pp. 1007–1010. [14] S. Lee and Y. Lee, “A design method for microstrip directional couplers loaded with shunt inductors for directivity enhancement,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 994–1002, Apr. 2010. [15] G. Schaller, “Directivity improvement of microstrip =4-directional couplers,” Int. J. Electron. Commun. (AEÜ), pp. 508–509, 1972. [16] C. Kajfez, “Raise coupler directivity with lumped compensation,” Microwaves, pp. 64–70, 1978. [17] M. Dydyk, “Accurate design of microstrip directional couplers with capacitive compensation,” in IEEE MTT-S Int. Microw. Symp. Dig., 1990, pp. 581–584. [18] M. Dydyk, “Microstrip directional couplers with ideal performance via single-element compensation,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 956–964, Jun. 1999. [19] G. Matthaei, L. Young, and E. Jones, Microwave Filters, Impedance Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [20] J. Müller and A. F. Jacob, “Advanced characterization and design of compensated high directivity quadrature coupler,” in IEEE MTT-S Int. Microw. Symp. Dig., 2010, pp. 724–727. [21] C. Montgomery, R. Dicke, and E. Purcell, Principles of Microwave Circuits. New York: McGraw-Hill, 1948. [22] K. Araki and Y. Naito, “On the properties of lossless reciprocal 4-port circuits with reflection symmetry,” IEEE Trans. Circuits Syst., vol. 39, no. 2, pp. 155–161, Feb. 1992. [23] H. Mizljno, C. J. Verver, R. J. Douville, and M. G. Stubbs, “Propagation in broadside-coupled suspended-substrate stripline in E -plane,” IEEE Trans. Microw. Theory Tech., vol. MTT-33, no. 10, pp. 946–950, Oct. 1985.
[24] D. Williams, J. Wang, and U. Arz, “An optimal vector-network-analyzer calibration algorithm,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 88–90, Dec. 1998.
Johannes Müller (S’04–M’10) was born in Cologne, Germany, in 1977. He received the Licence d’Ingénierie Électrique degree from the Université de St. Jérôme, Marseille, France, in 2001, and the Dipl. Ing. degree from the Technische Universität Hamburg–Harburg, Hamburg, Germany, in 2005. From 2003 to 2005, he was also with the European Technology Center, Panasonic, Lüneburg, Germany. In 2006, he joined the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg. His research interests include the design and development of microwave and millimeter-wave circuits and components.
Minh N. Pham was born in Ho Chi Minh City, Vietnam, in 1985. He is currently working toward the Diploma degree at the Institut für Hochfrequenztechnik, Technische Universität Hamburg–Harburg, Hamburg, Germany. Since 2005, he has been with the Technische Universität Hamburg–Harburg. He is engaged in the design and development of microwave and millimeterwave planar circuits.
Arne F. Jacob (S’79–M’81–SM’02–F’09) was born in Braunschweig, Germany, in 1954. He received the Dipl.-Ing. and Dr.-Ing. degrees from the Technische Universität Braunschweig, Braunschweig, Germany, in 1979 and 1986, respectively. From 1986 to 1988, he was a Fellow with the Centre Européen pour la Recherche Nucléaire (CERN) (the European Laboratory for Particle Physics), Geneva, Switzerland. He then spent three years with the Accelerator and Fusion Research Division, Lawrence Berkeley Laboratory, University of California at Berkeley. In 1990, he joined the Institut für Hochfrequenztechnik, Technische Universität Braunschweig, as a Professor. Since 2004, he has been a Professor wit the Technische Universität Hamburg–Harburg, Hamburg, Germany. His current research interests include the design, packaging, and application of integrated (sub-)systems up to millimeter frequencies, and the characterization of complex materials.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2833
Generalized Two-Way Two-Section Dual-Band Wilkinson Power Divider With Two Absorption Resistors and Its Miniaturization Iwata Sakagami, Member, IEEE, Xiaolong Wang, Student Member, IEEE, Kensaku Takahashi, and Shingo Okamura
Abstract—A generalized two-way two-section dual-band Wilkinson power divider with two absorption resistors and its size-reduced model are presented. Design equations for dual-band and arbitrary power division are derived from modified evenand odd-mode analysis. The frequency ratio of the lower and upper band frequencies must be lower than three. The channel bandwidth is presented as a function of frequency ratio with mathematical expressions. A coupled line section is introduced to reduce circuit size based on the assumptions of linear phase characteristics and equal phase velocities of the even and odd modes. Two two-way dual-band power dividers, one three-way planar dual-band power divider, and one size-reduced dual-band power divider were fabricated. Experimental results were in good agreement with predicted values. Index Terms—Coupled line, divider, dual band, generalized, power ratio, size reduction, Wilkinson.
I. INTRODUCTION ECENTLY many kinds of microwave power dividers/combiners have been developed for constructing antenna feeder networks, power amplifiers, or mixers that must satisfy increasing demands from wireless communication industries for multiband capability [1], [2], size-reduction techniques [3], and arbitrary power division [4]–[6]. In designing dual-band Wilkinson power dividers, the use of lumped elements [7], [8] or open- and short-ended stubs [9]–[11] has been presented. In [12] and [13], symmetrical dual-band 3-dB Wilkinson power dividers with two absorption resistors were discussed. An asymmetric power divider with arbitrary power division and different terminal loads, when it is used with other active or passive elements in a receiver/transmitter system, may reduce the complexity in circuit design [14]–[16]. A split-tee power divider with asymmetrical structure [4] was developed from [17]; however, the terminal loads were limited to the condiand , where stands for the characteristic tion of
R
Manuscript received March 25, 2011; revised May 10, 2011; accepted May 12, 2011. Date of publication July 18, 2011; date of current version November 16, 2011. This work was supported in part by the Very Large Scale Integration (VLSI) Design and Education Center (VDEC), University of Tokyo, in collaboration with Agilent Technologies Japan Ltd. The authors are with the Graduate School of Science and Engineering, University of Toyama, Toyama 930-8555, Japan (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2160086
represents power diviimpedance of a reference line and sion ratio. Ahn and Wolff discussed a generalized three-port single-section power divider that may reduce the total size of integrated microwave circuits and derived general design equations [16]. The same design equations as those shown in [16] were obtained using a generalized scattering matrix [18]. A design method using a computer optimization technique was also discussed for a generalized two-way -section Wilkinson power divider [19]. In an asymmetrical dual-band power divider with two stubs and one absorption resistor [20], the terminal load conditions were the same as those presented in [4] and the frequency ratio was lower than three because of its stub conditions. A three-way planar dual-band power divider combining two generalized power dividers with two stubs and one absorption resistor of which the frequency ratio is larger than three was presented in [21]. However, there have been little discussion of a dual-band and asymmetrical structure at the same time. In this paper, a generalized model of a two-way two-section Wilkinson power divider with two absorption resistors is discussed by the use of modified even- and odd-mode analysis, and the general design equations for a dual band are presented without complicated mathematical calculations, though the symmetrical structure is well known since Cohn’ paper [22] in 1968. The method for generalization discussed here can be applied to many other Wilkinson type power dividers [23]–[28]. In this paper, channel bandwidth for a dual-band operation is defined on the basis of a two-section Chebyshev transformer [29]–[32], and a method for miniaturization is discussed using a coupled line section [33]–[42]. In [40] and [41], a coupled line section was used for Wilkinson power dividers to reduce the circuit size, and the realizable ranges of frequency ratio were reported to be from 1.33 to 1.67 in [40] and from 2 to 3 in [41]. In [40] and [41], a coupling coefficient of a coupled-line section is directly tied to the frequency ratio through equations, which causes the range of the frequency ratio to be narrow. In this paper, the realizable range of frequency ratio is determined by nonnegative conditions of absorption resistors and a loose coupling such as 20 dB in a coupled line section can be used for all of the realizable frequency ratios of normal size dual-band Wilkinson power dividers. The circuit model discussed here may be suitable for application in a high-frequency region because no reactive elements are used and may also be suitable for miniaturization because no open/short-circuited stubs are used. When only one absorption resistor is used in a Wilkinson power divider, other components
0018-9480/$26.00 © 2011 IEEE
2834
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 1. General model of a two-way two-section Wilkinson power divider.
such as a parallel/series LC circuit or open/short-circuited stubs are required for realizing dual-band responses in isolation and output port matching [1], [7]–[11]. In [40] and [41], nonlinear phase characteristics of the coupled line section were utilized for realizing a dual-band operation.
Fig. 2. Even-mode equivalent circuits. (a) Arm-b, where k R =k . (b) Arm-c, where Z kZ .
)
=
Z
= (1 +
II. GENERALIZED TWO-SECTION WILKINSON POWER DIVIDER Fig. 1 shows a generalized two-way two-section Wilkinson and , where power divider with two absorption resistors and are terminal loads, and are transmission line impedances, and stands for electrical length. The elements of the scattering matrix must satisfy the foland of lower lowing equations at two center frequencies and upper bands: and
where represents the square root of power ratio output ports.
(1) (2) at two Fig. 3. Odd-mode equivalent circuits. (a) Arm-b. (b) Arm-c.
A. Even- and Odd-Mode Equivalent Circuits Regarding even- and odd-mode excitations, four equivalent circuits can be derived, as shown in Figs. 2 and 3 [4], [27], [28]. In Fig. 2(a) and (b), symmetrical voltage distributions on the upper and lower lines are required so as not to dissipate input and . For voltage sympower at the absorption resistors metry, (3) For input match at port 1, (4) Since and , a parallel connection of the arm-b and arm-c circuits shown in Fig. 2 matches . with the terminal load In Fig. 3(a) and (b), since (3) holds, current distributions for odd-mode excitation can be equal in magnitude and out of phase under the condition of (5) (5) Arm-b and arm-c can be connected together to form the circuit shown in Fig. 1 without changing the current distribution
[4], [27]. In this way, the power divider shown in Fig. 1 can be obtained from the arm-b and arm-c circuits. The absorption resistors are given by (6) (7)
B. Reflection Coefficients In Figs. 2(a) and (b) and 3(a) and (b), the symbols using represent reflection coefficients looking into the even- and oddmode equivalent circuits from each terminal. All of the impedances shown in arm-c are times the corresponding impedances in arm-b. Therefore, (8) (9) (10) For the same reason as that above, the reflection coefficient at port #1 in Fig. 1 is equal to that at port #1 in Fig. 2. The relationships in (8)–(10) mean that the divider shown in Fig. 1 can be analyzed by even- and odd-mode equivalent circuits of either arm-b or arm-c.
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
2835
III. DUAL-BAND DESIGN In this section, the arm-b circuits shown in Figs. 2 and 3 are selected for discussion. The arm-b shown in Fig. 2(a) is and . a two-section transformer of which terminals are Therefore, only the results presented in [29] are shown in the following. Defining a frequency ratio and an average frequency to and , then the electrical length be at the lower frequency is given by (11) From the parameters shown in Fig. 2(a), fined as follows [30]:
and
are de(12) (13)
A. Characteristic Impedances The characteristic impedances
and and
are given by (14) (15) (16)
where B. Resistors
.
Fig. 4. Circuit parameters as a function of frequency ratio u. The initial circuit = ;R ;R ; and conditions used for calculation were k R . (a) Line impedances Z and Z . (b) Absorption resistors R and R .
= 25
and
=12
= 50
= 50
The two resistors shown in Fig. 3(a) are determined by the and [22]. Thus, matching conditions at (17) (18) C. Limitation on Frequency Ratio The absorption resistors and must be nonnegative. , i.e., (see the This leads to Appendix). The frequency ratio must be lower than 3. The data in Fig. 4(a) were calculated from the even-mode equivalent circuit shown in Fig. 2(a) and the data in Fig. 4(b) were calculated from the odd-mode equivalent circuit in Fig. 3(a). The realization of a generalized dual-band Wilkinson power divider shown in Fig. 1 is restricted by an odd-mode equivalent circuit. D. Considerations for Channel Bandwidths In this section, channel bandwidths of lower and upper bands are considered under the condition of 20-dB return loss at input port #1 shown in Fig. 1. The reflection coefficient at port , shown in Fig. 2(a), and therefore #1 in Fig. 1 is equal to the equivalent circuit in Fig. 2(a) is discussed in the following. Fig. 5 shows an explanatory response of reflection characterand are electrical lengths resulting in no reistics. . stands flections, and therefore,
Fig. 5. Reflection response of a two-section Chebyshev transformer.
is return for the channel bandwidth at 20-dB return loss. and and the corresponding reflection loss in decibels at is given by coefficient (19) In a traditional wideband design, and shown in Fig. 5 stand for electrical lengths at the edges of a passband and is a tolerated return loss in decibels. is given by (20)
2836
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
where
at
, and
. From
,
(21) The following relationship holds between reflections and transmissions in Fig. 2(a): (22) We select a transmission of the two-section Chebyshev transformer of the form [22], [30]–[32] Fig. 6. Channel bandwidth
(23) (24) From (22) to (24) and
,
1f at 020-dB return loss.
Considering the range of (31),
in Fig. 5,
. From
(25) Define
at
or
as in (26)
Since
at
Using (28),
or , (32)
(27) Also, since match,
under the condition of perfect input Case of (28)
Rewriting (26), (29)
(30) The right side is given by initial conditions, return loss, fre. The left side is a quency ratio , and terminal condition quadratic equation of , as seen from (24). Example of Calculation in the Case of :
and . This means From (32), dB in Fig. 5. , and are obtained. The channel bandwidth is given [rad], where by . Case of
From (22), (23), and (29),
and
dB and In Fig. 5, the two channels merge when dB. In the following, is are separated when discussed under the condition of dB. and , we have From and . When a return loss of 20 dB is specified as a tolerated return loss in a dual-band operation, from (30),
(31)
:
:
Fig. 6 was obtained from (32) as a function of frequency ratio , and the channel bandwidth is calculated by , where and are band-edge frequencies of the lower band at the 20-dB return loss. is the average frequency mentioned in Section III. that was The range of was derived from determined by the consideration in Section III.C and the case mentioned above. of at . Normally, bandwidth In Fig. 6, is used for ratio defined by couplers and dividers in single-band operation. As described in Appendix, the circuit shown in Fig. 1 reduces to a single-section Wilkinson power divider, and the bandwidth ratio was calcuunder the conditions of return loss lated to be of 20 dB and . When the single-section Wilkinson since the power divider works in dual-band operation, and . Thereband centers of lower and upper bands are and the bandwidth fore, the channel bandwidth of ratio of mean the same bandwidth.
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
2837
Fig. 7. Combination of two single lines and a coupled line section.
IV. INTRODUCTION OF A COUPLED LINE SECTION A. Combination of Single Lines and a Coupled Line Section In order to reduce circuit size of the power divider shown in Fig. 1, a network consisting of two single lines of line lengths and and a coupled line section is introduced as shown in and represent line impedance Fig. 7 [36]–[38]. and are the even- and odd-mode and electrical lengths. characteristic impedances, respectively. and are the even-mode and odd-mode electrical lengths corresponding to the coupled-line length . It is known that the coupled line section matches the single lines at all frequencies under the following conditions: (33) (34) The conditions (33) and (34) are called conditions for constant resistance, from which the transmission coefficient, -parameter between input and output ports, of the coupled line section is given by (35) where (36) (37) (38) (39) in (38) stands for the coupling coefficient, and the coupling . strength in decibels is defined by of the network is given by The total phase shift (40) From (33) and (37), (41) (42) Fig. 8(a) and (b) shows phase characteristics of the network versus normalized frequency . Fig. 8(a) shows the , and the total phase shift was case of adjusted to be 90 at the average frequency by changing the coupled-line length . As the coupling becomes tighter and also as the frequency from the normalized frequency becomes higher, the phase deviation from the straight line becomes larger.
Fig. 8. Phase shift in the network shown in Fig. 7 versus normalized frequency f f =f , where f f f = . (a) Case in which i ; and total phase shift ' at f . (b) Case in which total phase shift : . is adjusted to be 112.5 at f
=
=( + ) 2 = 90 =1 = 1 25
= 0 ( = 1 2)
For application to a single-band circuit consisting of quarterwave length transmission lines such as a branch-line hybrid-ring must be discussed in [36] and [38], the total phase shift adjusted to be 90 at the band center. For application to a dual-band operation, the total phase shift needs to be linear in a wide range. In Fig. 8(b), in order is adto modify the phase linearity, the total phase shift justed to be 112.5 at under the condition of at . This means that the phase shift in at . When the coupled line section is in (39), is determined according to the coupling strength. Let the propagation delay time for the line length be . Since can be calculated as and ns under the conditions of GHz and and dB. This means that the tighter the coupling is, the longer the line length must be, since and is the phase velocity in a waveguide. As seen from Fig. 8(b), the phase deviation from a straight line is negligible in the case of loose coupling and with in the range of 0.5–1.5 (i.e., , as described in Section III-C).
2838
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 9. Size-reduced dual-band two-section transformer.
and . • Terminal conditions: and . • Line impedances: For a size-reduced dual-band two-section transformer, the following design data were added. • Coupling strength: 20 dB. at . • Electrical length for single lines: at • Phase shift in the coupled line section: . Since linear phase response was assumed for the size-reduced transformer, slight discrepancies are seen in Fig. 10(a) and (b). Fig. 10(a) shows amplitude characteristics of the size-reduced dual-band transformer. Since amplitude characteristics of the conventional transformer were almost the same as those of the size-reduced transformer, only the peaks of the conventional and . Since transformer were indicated with and , when actual frequencies were GHz and GHz, the peaks of the sizegiven by reduced transformer were calculated to be 0.81 and 1.99 GHz, respectively. The differences in peak frequencies were caused by the assumption of phase linearity. Since the differences are small, the differences may be within fabrication errors or can be minimized by selecting looser coupling than 20 dB. Fig. 10(b) shows phase characteristics of both transformers. Slight differences are found in the range of 1.5–2 in the horizontal axis. Both phase characteristics are coincident at . V. EXPERIMENTS IN THE CASE OF NORMAL SIZE
Fig. 10. Comparison of frequency characteristics. (a) Amplitude characteristics of the size-reduced transformer. f 1 and f 2 indicate peaks of the conventional transformer. (b) Phase characteristics of the conventional transformer and the size-reduced transformer.
B. Size-Reduced Two-Section Transformer In Fig. 2(a), by replacing the two transmission lines of line and with the network shown in Fig. 7, we impedances have a size-reduced two-section transformer, as shown in Fig. 9, and . where Fig. 10(a) and (b) shows calculated frequency responses based on a circuit theory under the ideal conditions of losslessness, no dispersion, and no consideration for discontinuities (hereafter, this calculation is called circuit simulation). For a conventional dual-band two-section transformer, design data were as follows. . • Frequency ratio: • Normalized lower frequency : . • Normalized upper frequency : .
Initial data required for the circuit design are as follows: 1) power ratio ; 2) lower and upper frequencies and ; and . 3) terminal loads and , shown The other parameters, in Fig. 1, can be determined by applying the initial data to design equations shown above. The microstrip line length for a single section is determined by the quarter-wavelength of [43]. The absorpan average frequency tion resistors, and , used in experiments were selected from E24 series thin-film chip resistors, RG1608 model (inch size of 0603), manufactured by the Susumu Company Ltd., Kyoto, Japan [44]. Rogers RT/5880 substrates were used in , the experiments. The data for the substrate are , thickness of dielectric layer mm, and mm. conductor thickness Since line lengths and linewidths required for circuit construction can be determined by the above-mentioned line parameters and substrate data, three power dividers were fabricated using circuit layouts created by ADS2008U2 software from Agilent Technologies, Hachiogi-Shi, Japan. The frequency responses were measured using a vector network analyzer MS4661A manufactured by Anritsu, Atsugi-Shi, Japan, and compared with electromagnetic simulations in ADS2008U2. A. Design Example I Initial Conditions: • Power ratio: • Frequency ratio: GHz).
. .(
GHz and
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
2839
Fig. 11. Photograph of an asymmetric two-way two-section dual-band Wilkinson power divider with two thin-film chip resistors of model RG1608 (inch size of 0603) and two two-section transformers.
TABLE I LINE IMPEDANCES, LINEWIDTHS, AND LINE LENGTHS OF THE TRIAL POWER DIVIDER
• Terminal conditions: and . Other Values Used for Simulation and Experiment: and (calculated values were • 159.7 and 150.4 , respectively). . • . • Theoretical Insertion Losses at and : 3.98 dB at port 2 and 2.22 dB at port 3. • In this example, the output terminal loads were derived from and according to [4]. The fabricated circuit is shown in Fig. 11. Three SMA connectors of 50 were connected at the places numbered 1, 2, and 3. In this section, these places are called ports 1, 2, and 3. The part denoted by the asymmetric divider corresponds to the circuit shown in Fig. 1. Two two-section dual-band transformers were designed for measurements because the input and output arms of the network analyzer are constructed with 50- coaxial lines. The upper to 50 and the lower one is one is to transform to transform to 50 . These transformers are not always necessary when they are used with other active/passive devices with different input/output impedances. In Table I, linewidths, and line lengths (original) were derived at , and substrate data. from line impedances, Only the line lengths were optimized as shown in line lengths (modified) to create a layout pattern of the trial power divider because many fabrication errors such as soldering, the size of
Fig. 12. Reflections at ports 1, 2, and 3, where f GHz. :
20
= 0 8 GHz and :
f
=
chip resistors used, connection area of the power divider, and transformer are included in circuit fabrication. In Figs. 12 and 13, return losses and isolations at GHz and GHz are lower than 20 dB. In Fig. 14, the values of 3.98 and 2.22 dB represent ideal power to be delivered to ports 2 and 3, respectively. The meaat GHz and GHz are surement values of in the range from 2.22 to 2.46 dB and those of are in the range from 3.98 to 4.18 dB, respectively. In Figs. 12–14, the legend “Without two-section transformer” represents frequency characteristics of the asymmetric divider
2840
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 16. Photograph of an asymmetric two-way two-section dual-band Wilkinson power divider of which output terminal loads are R and R . A meandering 50- single line and a dual-band two-section transformer are connected to the two terminals.
= 25
Fig. 13. Isolation between ports 2 and 3, where f : GHz.
20
= 0 8 GHz and :
Fig. 14. Transmissions from port 1 to ports 2 and 3, where : GHz. f
= 20
f
f
=
= 0 8 and :
= 50
TABLE II LINE IMPEDANCES, LINE WIDTHS, AND LINE LENGTHS OF THE TRIAL POWER DIVIDER
The line length of the meandering 50- microstrip line between the asymmetric divider and the SMA connector at port 2 is 101.66 mm. Line length of the 50- microstrip line between the two-section transformer and the SMA connector at port 3 is 20 mm. The linewidth of a 50- microstrip line is and are line impedances from port 3. 2.40 mm.
Z
Z
B. Design Example II
Fig. 15. Phase responses at ports 2 and 3, where GHz. :
20
f
= 0 8 GHz and :
f
=
shown in Fig. 11. The calculations were based on the circuit simulation described in Section IV-B. The legend “With two-section transformer” represents frequency characteristics of the combined circuit of the asymmetric divider and transformers. The calculations were carried out by the layout simulation provided by the ADS2008U2 software. The phase responses shown in Fig. 15 are almost the same. In Fig. 11, two identical 50- microstrip lines with line lengths of 15 mm interconnect the upper transformer and the SMA connector at port 2 and the lower transformer and the SMA connector at port 3, respectively.
Initial Conditions: . • Power ratio: • Frequency ratio: ( GHz and GHz). and • Terminal conditions: . Other Values Used for Simulation and Experiment: and (the calculated values were • 110.8 and 126.9 , respectively). . • • . and : Theoretical Insertion Losses at 4.77 dB at port 2 and 1.76 dB at port 3. This is a case in which the terminal loads are not limited to and presented in [4]. In Fig. 16, the conditions of a meandering 50- single line is used between the terminal of and port 2. A dual-band two-section transformer is to 50 at port 3. used to transform the terminal load Table II shows line impedances and dimensions used for circuit fabrication. In Fig. 16, the even-mode equivalent circuit of the upper part of the power divider is the same as that of the conventional dual-band two-section transformer described in Section IV-B. This means that the reflection characteristics
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
Fig. 18. Isolation between ports 2 and 3, where : GHz.
20
2841
f
= 0 8 GHz and :
Fig. 19. Transmissions from port 1 to ports 2 and 3, where : GHz. f
= 20
Fig. 17. Reflections at ports 1, 2, and 3, where f : GHz.
20
= 0 8 GHz and :
f
f
f
=
= 0 8 and :
=
shown in Fig. 17(a) are the same as those of the conventional dual-band two-section transformer described in Section IV-B. , which Thus, the channel bandwidth was described in Section III-D, was calculated to be 0.14 at 20-dB return loss and frequency ratio . The value was confirmed using the data represented by the legend “Without two section transformer” shown in Fig. 17(a). Actually, theoretical band-edge frequencies at 20-dB return loss in the case of “Without two section transformer” were GHz GHz, respectively, where GHz. On and the other hand, the corresponding measured data were GHz and GHz for the lower band and
Fig. 20. Phase responses at ports 2 and 3, where : GHz.
20
f
= 0 8 GHz and :
f
=
GHz and GHz for the upper band, and the resultant bandwidths are narrower than that in the case of “Without two section transformer.” The transformer used in Fig. 16 is considered to be one of the reasons for the narrow bandwidth. GHz In Fig. 17(a)–(c), the measured return losses at GHz are lower than 20 dB. In Fig. 18, ports 2 and GHz and GHz. In and 3 are well isolated at Fig. 19, the values 4.77 and 1.76 dB represent ideal power
2842
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 21. Photograph of a three-way dual-band power divider of equal power division. TABLE III LINE IMPEDANCES, LINEWIDTHS, AND LINE LENGTHS OF THE TRIAL POWER DIVIDER IN THE SECOND STAGE
The line length of the meandering 50- line is 129.45 mm, and that of the 25- line (the widest line) is 17.8 mm. The line lengths of the 50- lines connected to ports 3 and 4 are 30.47 mm and 30.66 mm, respectively.
Fig. 22. Equivalent circuits of the second stage for excitation at port 1.
to be delivered to ports 2 and 3, respectively. The measurement are in the range of 1.76 to 2.03 dB and those values of are in the range of 4.77 to 5.18 dB at GHz of and GHz. Comments for the legends are the same as those described in “Design Example I.” Fig. 20 shows measured phase responses at ports 2 and 3. GHz and They were optimized to meet at GHz in ADS2008U. Theoretically, the meandering 50single line has no phase characteristics under ideal conditions, lossless and nondispersive, whereas the two-section transformer has phase characteristics. Fig. 20 shows that the difference in phase characteristics between the meandering 50- single line and the two-section transformer is very small. C. Design Example III In Fig. 21, two generalized two-way two-section dual-band Wilkinson power dividers are combined. The divider in the first stage is the same as that shown in Fig. 16. The design data for
Fig. 23. Reflections at ports 1, 2, 3, and 4, where f GHz. :
20
= 0 8 GHz and :
f
the divider in the second stage are shown in the following: Initial Conditions of the Divider Shown in the Second Stage: . • Power ratio:
=
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
2843
Fig. 26. Phase differences between output ports, where : GHz.
20
Fig. 24. Isolations between output ports, where f GHz. :
20
= 0 8 GHz and :
f
f
= 0 8 and :
f
=
= Fig. 27. Photograph of a size-reduced asymmetric two-way two-section dualband Wilkinson power divider. The output terminal loads are R and R , respectively. A 50- single line and a dual-band two-section transto are connected to ports #2 and former, which transforms R #3, respectively, for measurements.
= 25
Fig. 25. Transmissions from port 1 to ports 2, 3, and 4, where f and f : GHz.
= 20
= 0 8 GHz :
• Frequency ratio: ( GHz and GHz). and • Terminal conditions: . Other Values Used for Simulation and Experiment: and (the calculated values were • 174.4 and 117.2 , respectively). . • and dB. Theoretical Insertion Losses at
= 25 50
= 50
Table III shows line impedances and dimensions used for circuit fabrication. The optimization function in ADS2008U was used for determining line length. The three-way divider shown in Fig. 21 is a special case in which only the 50- lines and absorption resistors are used for the second stage circuit. Fig. 22 shows an ideal equivalent circuit of the second stage. This is an example of the circuit structure being simplified as a result of generalization. Transformers shown in Figs. 11 and 16 are not used here. GHz and In Figs. 23 and 24, the measured values at GHz are lower than 20 dB. The isolation was shown in Fig. 24(a). In Fig. 25, almost the same as that of the value of 4.77 dB represents ideal power to be delivered to and ports 2, 3, and 4. The measurement curves of are in the range of 4.77 to 5.11 dB at GHz and GHz. Fig. 26 shows phase differences between ports 2 and 3 and between ports 3 and 4. The measured phase differences are in the GHz and GHz. range from 0.21 to 1.58 at The phase difference between ports 2 and 3 is larger than that between ports 3 and 4. The main reason for the phase differences is thought to be the difference in layout in the second stage.
2844
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE IV LINE IMPEDANCES, LINEWIDTHS, AND LINE LENGTHS OF THE TRIAL POWER DIVIDER
VI. EXPERIMENTS IN THE CASE OF SIZE REDUCTION The transmission-line sections shown in Fig. 1 can be replaced with the circuit shown in Fig. 7 under the conditions of constant resistance represented by (33) and (34) and linear phase characteristics. However, strictly speaking, (34) does not hold in an inhomogeneous medium [34], [36]–[38], [45]–[47]. In this paper, properties of constant resistance and phase linearity are assumed by the use of loose coupling and selection of phase shift in a coupled line section, as described in Section IV. Fig. 27 shows a size-reduced asymmetric two-way two-section dual-band Wilkinson power divider. Four coupled line sections of 20-dB coupling were used. The electrical lengths of single lines shown in Fig. 7 were all selected to be equal. An average value of the even- and odd-mode effective dielectric constants was used to determine the coupled-line length . The rate of size reduction was about 50% in the horizontal direction. The original circuit for size reduction was the Wilkinson power divider shown in Fig. 16 in Section V-B. Therefore, parameters in Section V-B listed under the headings of Initial Conditions, Other Values Used for Simulation and Experiment, and were used and Theoretical Insertion Losses at again in this experiment. In Fig. 27, the even-mode equivalent circuit of the lower part of the power divider is the same as the size-reduced dual-band two-section transformer described in Section IV-B (in Fig. 27, #2 is placed under #3). The design data for the upper part of the size-reduced asymmetric divider shown in Fig. 27 were as follows. and . • Terminal conditions: and • Line impedances:
Fig. 28. Reflections at ports #1, #2, and #3, where f : GHz.
20
= 0 8 GHz and :
f
=
• Other parameters: The same as those indicated in Section IV-B. of the even- and odd-mode In Table IV, the ratios phase velocities are shown just for reference. The values were GHz ( and calculated at GHz) using the effective dielectric constants of the even and odd modes provided by the ADS2008U software. The value of , shown in [38, Table I], was derived from the
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
2845
Fig. 32. Coupled line length l .
Fig. 29. Isolation between ports #2 and #3, where f : GHz.
20
= 0 8 GHz and :
f
=
GHz and that ports #2 and #3 are well isolated at GHz. In Fig. 30, 4.77 and 1.76 dB are ideal values. are in the range of 1.76 to The measurement values of 2.06 dB and those of are in the range of 4.77 to 4.88 dB at GHz and GHz. Fig. 31 shows measured phase responses at ports #2 and #3, where little differences were found. VII. CONCLUSION
Fig. 30. Transmissions from port #1 to ports #2 and #3, where f : GHz. f
= 20
Fig. 31. Phase responses at ports #2 and #3, where f : GHz.
20
= 0 8 and :
= 0 8 GHz and = :
f
Fourier series expansion method [46], [48], though the substrate used in [38] was different from that used in this study. In Fig. 28(a), theoretical band-edge frequencies at 20-dB return loss in the case of “Without two section transformer” GHz and GHz for the lower were band. The corresponding measured data were GHz GHz, respectively. For the upper band, the meaand GHz and GHz. sured data were In Fig. 28(a)–(c), the measured return losses are lower than 20 dB at GHz and GHz. Fig. 29 shows
A planar two-way two-section Wilkinson power divider with two absorption resistors has been selected as a topic of this paper and the generalized model has been studied. Based on modified even- and odd-mode analysis, its general design equations for a dual band have been presented without complicated mathematical calculations. The generalized model may simplify circuit design and reduce total circuit size when the power divider is used with other active/passive devices because matching circuits for connecting the power divider to other devices with different input/output impedances may be simplified. It has been proved from the nonnegative condition of absorption resistors that the frequency ratio of lower and upper bands must be lower than three. A channel bandwidth in a dual-band operation has been defined and a process for calculating the channel bandwidth as a function of frequency ratio has been presented with mathematical expressions. A planar three-way dual-band power divider was fabricated by combining two generalized Wilkinson power dividers. As a result of generalization, the circuit in the second-stage was simplified because the second-stage circuit consists of three 50transmission lines, and therefore, impedance steps that often arise in design of transmission line circuits were reduced. Since two generalized Wilkinson power dividers were connected by a 25- transmission line, no transformers were used here. In general, transformers used in this study are not always necessary when generalized Wilkinson power dividers are used with other devices with different input/output impedances because the terminal impedances of the Wilkinson power dividers may be adjusted to match other devices’ input/output impedances. Finally, a size-reduction technique by the use of a coupled line section based on the assumptions of constant resistance and linear phase characteristics has been presented. The realizable region of frequency ratio is the same as that in the case of normal size dual-band Wilkinson power dividers and a loose coupling such as 20 dB in a coupled line section can be applied for any frequency ratio in the realizable region. In an experiment of a size-reduced asymmetric two-way two-section dual-band power divider with four coupled line sections, the rate of size reduction in the horizontal direction was about 50%.
2846
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Experimental results showed good agreement with theoretical results, though theoretical approximations in circuit design and many fabrication errors were included.
APPENDIX CONSIDERATIONS FOR ABSORPTION RESISTORS Rewriting (17) and (18), (A1) (A2) From [29] and [30], (A3) (A4) 1) Case of
: from (14) since . from (15) and (16). and from (17) and (18). from (3) and (6). Since at , the total length of . The circuit shown in Fig. 1 the circuit shown in Fig. 1 is reduces to a single-section Wilkinson power divider. Therefore, , i.e., , is removed from the the case of consideration of frequency ratio. and : holds 2) Case of . from (A4). will not from (A3) and be realized. and : 3) Case of from (A3) and . from (A4). Thus, is . This leads to positive. From (A3), (A5) After some mathematical manipulations under the condition of (A5), the denominator of (A2) is found to be positive. and : Since 4) Case of holds, will not be realized. and : Since 5) Case of and hold, is positive. Since , we have . This leads to . LINE LENGTH (MODIFIED) IN TABLE IV The line lengths (modified) in Table IV were measured by shown in Fig. 32. The practical coupled line length is estimated to be longer by about 0.7–1.0 mm because the connected areas of a coupled line section and two single lines have some extra coupled-line lengths. The resultant coupled line lengths become closer to the lengths (original) listed in Table IV. ACKNOWLEDGMENT The authors would like to thank all four reviewers and the editor for their valuable comments and suggestions.
REFERENCES [1] S. Srisathit, S. Virunphum, K. Bandudej, M. Chongcheawchamnan, and A. Worapishet, “A dual-band 3-dB three-port power divider based on a two-section transmission line transformer Wilkinson power dividers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 35–38. [2] M. Chongcheawchamnan, S. Patisang, S. Srisathit, R. Phromloungsri, and S. Bunnjaweht, “Analysis and design of a three-section transmission-line transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 7, pp. 2458–2462, Jul. 2005. [3] M. C. Scardelletti, G. E. Ponchak, and T. M. Weller, “Miniaturized Wilkinson power dividers utilizing capacitive loading,” IEEE Microw. Wireless Compon. Lett., vol. 12, no. 1, pp. 6–8, Jan. 2002. [4] L. I. Parad and R. L. Moynihan, “Split-tee power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-13, no. 1, pp. 91–95, Jan. 1965. [5] K.-K. M. Cheng and P.-W. Li, “A novel power-divider design with unequal power-dividing ratio and simple layout,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 6, pp. 1589–1594, Jun. 2009. [6] Y. Konishi, Microwave Integrated Circuits. New York: Marcel Dekker, 1991. [7] L. Wu, Z. Sun, H. Yilmaz, and M. Berroth, “A dual-frequency Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 278–284, Jan. 2006. [8] T. Kawai, Y. Nakashima, Y. Kokubo, and I. Ohta, “Dual-band Wilkinson power dividers using a series RLC circuit,” IEICE Trans., vol. E91-C, no. 11, pp. 1793–1797, Nov. 2008. [9] K.-K. M. Cheng and F.-L. Wong, “A new Wilkinson power divider design for dual band application,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 664–666, Sep. 2007. [10] M.-J. Park and B. Lee, “A dual-band Wilkinson power divider,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 2, pp. 85–87, Feb. 2008. [11] H. Zhang and H. Xin, “Designs of dual-band Wilkinson power dividers with flexible frequency ratios,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 1223–1226. [12] C. Law and K. K. M. Cheng, “Compact dual-band power divider design using branch-lines and resistors only,” in Proc. Asia–Pacific Microw. Conf., Dec. 2008, Art. ID B1-01. [13] D. Wang, W. Tang, and X. Xu, “Isolation characteristics of microstrip Wilkinson dual-band power divider,” in Proc. Asia–Pacific Microw. Conf., Dec. 2008, Art. ID B1-02. [14] H.-R. Ahn and I. Wolff, “Three-port 3-dB power divider terminated by different impedances and its application to MMIC’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 6, pp. 786–794, Jun. 1999. [15] H.-R. Ahn and I. Wolff, “General design equations of three-port unequal power-dividers terminated by arbitrary impedances,” in IEEE MTT-S Int. Microw. Symp. Dig., 2000, pp. 1137–1140. [16] H.-R. Ahn and I. Wolff, “General design equations, small-sized impedance transformers, and their application to small-sized three-port 3-dB power dividers,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 7, pp. 1277–1288, Jul. 2001. [17] E. J. Wilkinson, “An -way hybrid power dividers,” IEEE Trans. Microw. Theory Tech., vol. MTT-8, no. 1, pp. 116–118, Jan. 1960. [18] I. Sakagami, W. Xiaolong, K. Takahashi, and S. Okamura, “Re-considerations on a two-way Wilkinson power divider with different loads and power ratio,” in Proc. IEEE Int. Antennas, Propag., Syst. Conf., Dec. 2009, Art. ID 101. [19] H. Oraizi and A.-R. Sharifi, “Design and optimization of broadband asymmetrical multisection Wilkinson power divider,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 5, pp. 2220–2231, May 2006. [20] Y. Wu, Y. Liu, Y. Zhang, J. Gao, and Zhou, “A dual band unequal Wilkinson power divider without reactive components,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 1, pp. 216–222, Jan. 2009. [21] X. Wang, I. Sakagami, K. Takahashi, and S. Okamura, “A planar three-way dual-band power divider using two generalized open stub Wilkinson dividers,” in Proc. Asia–Pacific Microw. Conf., Dec. 7–10, 2010, pp. 714–717. [22] S. B. Cohn, “A class of broadban three-port TEM-mode hybrids,” IEEE Trans. Microw. Theory Tech., vol. MTT-16, no. 2, pp. 110–116, Feb. 1968. [23] X. Wang and I. Sakagami, “Generalized dual-frequency Wilkinson power dividers with a series/parallel RLC circuit,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2011, Art. ID TH1B-4, to be published. [24] X. Wang, K. Takahashi, S. Okamura, M. Tahara, and I. Sakagami, “Generalized port separation dual-band Wilkinson power divider with serial RLC components,” in Proc. 41th Eur. Microw. Conf., Manchester, U.K., 2011, to be published.
N
SAKAGAMI et al.: GENERALIZED TWO-WAY TWO-SECTION DUAL-BAND WILKINSON POWER DIVIDER
[25] S. Okamura, X. Wang, K. Takahashi, M. Tahara, and I. Sakagami, “A general model of modified Wilkinson power dividers with additional transmission lines,” in Proc. 41th Eur. Microw. Conf., Manchester, U.K., 2011, to be published. [26] X. Wang, I. Sakagami, K. Takahashi, and S. Okamura, “A generalized dual-band Wilkinson power divider with parallel L; C and R components,” IEEE Trans. Microw. Theory Tech., submitted for publication. [27] R. B. Ekinge, “A new method of synthesizing matched broadband TEM-mode three-ports,” IEEE Trans. Microw. Theory Tech., vol. MTT-19, no. 1, pp. 81–88, Jan. 1971. [28] J. J. Taub and G. P. Kurpis, “A more general N -way hybrid power divider,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 7, pp. 406–408, Jul. 1969. [29] C. Monzon, “A small dual-frequency transformer in two sections,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1157–1161, Apr. 2003. [30] I. Sakagami and T. Wuren, “Compact multi-way power dividers for dual-band, wideband and easy fabrication,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2009, pp. 489–492. [31] H. J. Riblet, “General synthesis of quarter-wave impedance transformers,” IRE Trans. Microw. Theory Tech., vol. MTT-5, no. 1, pp. 36–43, Jan. 1957. [32] S. J. Orfanidis, “A two-section dual-band Chebyshev impedance transformer,” IEEE Microw. Wireless Compon. Lett., vol. 13, no. 9, pp. 382–384, Sep. 2003. [33] B. M. Schiffman, “A new class of broadband microwave 90-degree phase shifters,” IRE Trans. Microw. Theory Tech., vol. MTT-6, no. 4, pp. 232–237, Apr. 1958. [34] G. I. Zysman and A. K. Johnson, “Coupled transmission line networks in an inhomogeneous dielectric medium,” IEEE Trans. Microw. Theory Tech., vol. MTT-17, no. 10, pp. 753–759, Oct. 1969. [35] J. L. R. Quirarte and J. P. Starski, “Novel Schiffman phase shifters,” IEEE Trans. Microw. Theory Tech., vol. 41, no. 1, pp. 9–14, Jan. 1993. [36] I. Sakagami, T. Munehiro, H. Tanaka, and T. Itoh, “Branch-line hybridrings with coupled-lines,” in Proc. 24th Eur. Microw. Conf., 1994, pp. 686–691. [37] I. Sakagami, H. Masuda, and S. Nagamine, “Rat-race hybrid rings with a microwave C-section,” IEICE Trans. Electron. (Special Issue), vol. E78-C, no. 8, pp. 1033–1039, Aug. 1995. [38] S. Nagamine and I. Sakagami, “On a reduced-size branch-line hybrid-ring using coupled-lines,” in Electronics and Communications in Japan, Part 2, (in Japanese) Transl.: IEICE Trans., C-I, Vol. J78-C-I, No. 8, pp. 373-380, Aug. 1995. : Scripta Tech. Inc., Dec. 1995, vol. 78, no. 12. [39] R. K. Settaluri, G. Sundberg, A. Weisshaar, and V. K. Tripathi, “Compact folded line rat-race hybrid couplers,” IEEE Microw. Guided Wave. Lett., vol. 10, no. 2, pp. 61–63, Feb. 2000. [40] Z. Lin and Q.-X. Chu, “A novel dual-band power divider based on coupled-lines,” in Proc. Asia–Pacific Microw. Conf., Dec. 2009, Art. ID WE4D-3. [41] X. Tang and K. Mouthaan, “Compact dual-band power divider with single allpass coupled lines sections,” Electron. Lett., vol. 46, no. 10, pp. 688–689, May 2010. [42] A. E. Fouda, A. M. E. Safwat, and H. El-Hennawy, “On the applications of the coupled-line composite right/left-handed unit cell,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 7, pp. 1584–1591, Jul. 2010. [43] K. K. M. Cheng and F. L. Wong, “A novel approach to the design and implementation of dual-band compact planar 90 branch-line coupler,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 11, pp. 2458–2463, Nov. 2004. [44] S. P. Platt, , “Preferred value series for resistors and capacitors,” 2003. [Online]. Available: http://www.spplatt.co.uk [45] K. Atuki and E. Yamashita, “Three methods for equalizing the evenand odd-mode phase velocity of coupled strip lines with an inhomogeneous medium,” (in Japanese) IEICE Trans. B, vol. J55-B, no. 7, pp. 424–426, Jul. 1972. [46] K. Atuki, K. Ogawa, and E. Yamashita, “Coupled transmission lines with asymmetric thick-strip conductors, rectangular outer conductor, and multi-dielectric layers,” (in Japanese) IEICE Trans. B, vol. J55-B, no. 3, pp. 97–104, Mar. 1972.
2847
[47] I. Sakagami and S. Chino, “Determination of parameters of symmetric coupled lines in an inhomogeneous medium using reflected and transmitted waveforms,” IEEE Trans. Electromagn. Compat., vol. 40, no. 4, pp. 382–285, Nov. 1998. [48] E. Yamashita and K. Atuki, “Strip line with rectangular outer conductor and three dielectric layers,” IEEE Trans. Microw. Theory Tech., vol. MTT-18, no. 5, pp. 238–244, May 1970.
Iwata Sakagami (M’80) received the B.S., M.S., and Ph.D. degrees in electronic engineering from Hokkaido University, Sapporo, Japan, in 1972, 1977, and 1980, respectively. From 1972 to 1974, he was with the Communication Equipment Works, Mitubishi Electric Corporation, Amagasaki, Japan. He is currently a Professor with the Graduate School of Science and Engineering, Engineering Research Division, University of Toyama, Toyama, Japan. His research interests include microwave lumped and distributed circuits and electronically tuning devices for wireless applications. Dr. Sakagami is a member of the Institute of Electronics, Information and Communication Engineers (IEICE), Japan.
Xiaolong Wang (S’10) was born in Jilin, China, in 1982. He received the B.S. degree in communication engineering from Jilin University, Changchun, China, in 2005, the M.S. degree from the Changchun University of Science and Technology, Changchun, China, in 2008, and is currently working toward the Ph.D. degree at the Graduate School of Science and Engineering, University of Toyama, Toyama, Japan. His research interests include generalized dual-frequency transmission-line circuits and designs in microwave and millimeter-wave bands.
Kensaku Takahashi was born in Gifu, Japan, on December 5, 1987. He received the B.S. degree in electric and electronic engineering from the University of Toyama, Toyama, Japan, in 2010, and is currently working toward the M.S. degree at the University of Toyama. His research interests are microwave tunable circuits and switch circuits for time division duplex (TDD) systems.
Shingo Okamura was born in Hyogo, Japan, on August 29, 1987. He received the B.S. degree in electric and electronic engineering from the University of Toyama, Toyama, Japan, in 2010, and is currently working toward the M.S. degree at the University of Toyama. His research interests are microwave passive circuits and composite right/left-handed (CRLH) structures.
2848
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Unbalanced-to-Balanced and Balanced-toUnbalanced Diplexer With High Selectivity and Common-Mode Suppression Quan Xue, Fellow, IEEE, Jin Shi, and Jian-Xin Chen, Member, IEEE
Abstract—Two novel balun diplexers based on stub-loaded resonators are presented and carefully examined in this paper. Besides being diplexers, the two balun diplexers are also interface devices between a single-ended (differential) antenna and differential (single-ended) receiver and transmitter. The stub-loaded resonator not only has an extra degree of freedom to realize the anticipant coupling scheme, but it also can compact the circuit size and enlarge the frequency separation of the first differentialand common-mode resonant frequencies. The design procedure of the balun filter with three 50- ports is introduced based on a symmetric four-port balanced-to-balanced bandpass filter. Two demonstrated balun diplexers, unbalanced-to-balanced and balanced-to-unbalanced diplexers, with Rx and Tx frequencies at 1.84 and 2.45 GHz are designed, fabricated, and measured. The implemented balun diplexers present an excellent in-band balanced performance with common-mode rejection ratio better than 38 dB over the passbands. High selectivity and isolation are also obtained by giving a cross-coupling scheme for both Rx and Tx balun filters.
Index Terms—Balanced to unbalanced, balun diplexer, excellent in-band balanced performance, high selectivity and isolation, stubloaded resonator, unbalanced to balanced.
I. INTRODUCTION
B
ALANCED circuits have higher immunity to the environmental noise compared with single-ended circuits. Therefore, many devices have been designed in balanced topologies, such as low-noise amplifiers, mixers, oscillators, power amplifiers, filters, and antennas. However, some devices may be still in single-ended topologies. Therefore, the balun, as an interface device, is necessary for the conversion between balanced devices and unbalanced ones. On the other hand, a modern communication system needs a compact and low-cost RF module. Thus, a device with multifunction is desired. The balun diplexer Manuscript received February 12, 2011; revised July 08, 2011; accepted July 25, 2011. Date of publication September 22, 2011; date of current version November 16, 2011. This work was supported by the Shenzhen Science and Technology Planning Project for the Establishment of the Key Laboratory in 2009 under Project CXB200903090021A and by the Natural Science Foundation of Jiangsu Province, China, under Grant BK2010272. Q. Xue is with the State Key Laboratory of Millimeter Waves, City University of Hong Kong, Kowloon, Hong Kong (e-mail: [email protected]). J. Shi was with the State Key Laboratory of Millimeter Waves, City University of Hong Kong, Kowloon, Hong Kong. He is now with the Institute for Infocomm Research, Singapore 138632 (e-mail: [email protected]). J.-X. Chen is with the School of Electronics and Information, Nantong University, 226019 Jiangsu Province, China (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2165960
or filter is such a device that not only is a device with a filtering function, but is also a balun converting between balanced singles and unbalanced ones. Recently, several methods have been developed to design balun filters. In [1] and [2], the balun filters are designed based on a ring resonator. This kind of balun filter is simple, but the filtering function is limited because it is difficult to cascade resonators to realize a high-order filter. In [3], the balun diplexer is realized by combining the balun and filter by an inter-matching circuit. This method is simple, but it needs a large circuit size. Furthermore, their passband response and in-band balanced performance are not easy to optimize. In [4]–[7], symmetric four-port balanced-to-balanced filters are developed to build balun filters by letting one of the ports open. This method makes the balun filter have a high common-mode suppression with compact size. However, relatively little research has been done on the balun diplexer. In [8], a balun diplexer with one single-ended port and two balanced ports (unbalanced-to-balanced diplexer) is realized, but it has the drawback of poor selectivity, low isolation, and common-mode suppression. In [9], an unbalanced-to-balanced diplexer with high isolation and selectivity was realized based on two balun filters with a 100- unbalanced port. These two examples are unbalanced-to-balanced diplexers, which can only connect with a single-ended antenna with a differential receiver/transmitter, as shown in Fig. 1(a). To be an interface device between a differential antenna and a single-end receiver/ transmitter, the balanced-to-unbalanced diplexer, as shown in Fig. 1(b), is also imperative. Stub-loaded resonators have been used to design dual-band [10], tri-band [11], and ultra-wideband bandpass filters [12], [13]. Most of them are loaded at the center to control the characteristic of the second harmonic. However, little research has been done to control the fundamental resonant frequency of the resonator. In our previous paper [14], several special cases of a microstrip line resonator with different loads have been used to design single-ended diplexers. In this paper, the stub-loaded resonator is proposed to design a balun diplexer. Both unbalanced-to-balanced and balanced-to-unbalanced diplexers are designed to meet various requirements. The differential- and common-mode equivalent circuits of the proposed resonator are built and studied for general situation. It is found that the proposed resonator cannot only obtain an extra degree of freedom in realizing the coupling scheme, but also compact the circuit size and enlarge the frequency separation of the first differential- and common-mode resonant fre-
0018-9480/$26.00 © 2011 IEEE
XUE et al.: UNBALANCED-TO-BALANCED AND BALANCED-TO-UNBALANCED DIPLEXER
2849
Fig. 2. (a) Structure of the proposed stub-loaded resonator. (b) Differentialmode equivalent bisection. (c) Common-mode equivalent bisection.
Fig. 1. Architectures of RF front-ends with balun diplexers. (a) Using unbalanced-to-balanced diplexer. (b) Using balanced-to-unbalanced diplexer.
quencies. Benefited from this feature, the balun filter with three 50- ports is designed based on a symmetric four-port balanced filter. Finally, two demonstrated balun diplexers with Rx and Tx frequencies at 1.84 and 2.45 GHz are designed and fabricated. The design procedures of the balun filter and diplexer are also given. Excellent in-band balanced performance, high selectivity, and isolation are realized, which agree well with the theoretical predictions and simulation results. II. PROPOSED RESONATOR The configuration of the proposed resonator is shown in Fig. 2(a). It consists of a microstrip line with two identical open stubs at symmetrical positions. Since this resonator is symmetric in structure, it is convenient to analyze it using the differential- and common-mode equivalent bisections. A. Differential-Mode Analysis Under differential-mode operation, a virtual-short appears along the symmetric line, leading to the approximated differential-mode bisection in Fig. 2(b). The resulted differential-mode input admittance can be written as (1) where , , and are the electrical lengths of the microstrip line sections. The resonant condition is that the imaginary part of the input admittance equals zero. Therefore, the resonant condition can be described as (2)
Fig. 3. Conditions between the electrical lengths ( ; ; ) conforming to the resonant condition at fundamental differential-mode frequency.
From (2), it can be seen that the different loaded position of the open stubs requires different length of stubs. For the fundamental differential-mode resonant frequency, the electrical and . Fig. 3 lengths are defined to conform when the resonant shows the relations between , , and frequency is at fundamental differential-mode frequency. It is clear in Fig. 3 that even when one of the electrical lengths is fixed, the other two electrical lengths have many choices. This means that the lengths of the three line sections have an extra degree of freedom to be selected, which will facilitate the filter design. With the loaded stub, the resonator also has sufficient coupled sections to satisfy different coupling coefficients and coupling schemes. It can also be found from Fig. 3 that the longer , which can comthe stub, the shorter the length of pact the filter size, because usually the resonators are folded into open-loop shapes in balanced filters, and then the outer length will decide the size of the resonator. B. Common-Mode Analysis Under common-mode operation, there is no current flow through the center of the transmission line. Thus, the
2850
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 5. Schematic diagram of a three-port balun filter.
the same time. The relation between mixed-mode -parameters and single-ended -parameters are shown as follows: Fig. 4. First common-mode resonant frequency (f ) and the ratio of the first common-mode resonant frequency and the first differential-mode resonant frequency (f ).
(5) (6)
common-mode bisection can be gotten by symmetrically bisecting the line, as shown in Fig. 2(c). The common-mode input admittance is given by (3) The resonant condition can be written as (4) For the first common-mode resonant frequency, the electrical and . From lengths are defined to conform , can be any (4), it can be seen that when value; therefore, the loaded stub will not affect the commonmode resonant frequency. To study the common-mode resomm is simulated to nance, a prototype resonator with get the first common-mode resonant frequency and the ratio of the first common- and differential-mode resonant frequencies, as shown in Fig. 4. From Fig. 4, it can be seen that the fundamental common-mode resonant frequency will keep constant . It is while changing the length of the loaded stub when also found that the frequency ratio will change when the lengths of the three line sections change, and the largest . This feature can extend value occurs when the bandwidth with high common-mode suppression. III. BALUN FILTER DESIGN
(7) where is the return loss at the unbalanced port 1, is the two-port -parameters from the unbalanced port 1 to difis the two-port -paferential-mode balanced port 2, and rameters from the unbalanced port 1 to common-mode balanced are the odd/even-mode port 2, respectively. , , , and reflection and transmission coefficients from port 1 [18]. To obtain a well-designed balun filter, high common-mode suppresand good input matching should sion be satisfied. One feasible solution can be as follows [17]: and
(8) (9)
Equation (8) and (9) describe the requirements on the three-port balun filter, which is transformed from a symmetric four-port balanced filter with one of the ports open. Specifically, to realize good input matching at the unbalanced port, the odd-mode input impedance should be twice the source terminated impedance, which means that the odd-mode input impedance should be 100 in a 50- system. However, usually, a 50- transmission line is utilized to connect with the subminiature version A (SMA) connector in a 50- testing system. To meet this requirement, 50- microstrip lines are used at all ports; therefore, the feed structure at the unbalanced port should be tuned to get the required value of external quality factor.
A. Basic Theory
B. Four-Pole Balun Filter Using Proposed Resonators
As described in [15]–[17], the mixed-mode -parameters can be far more “natural” for a circuit with a balanced port than the single-ended -parameters. For a balun filter, it has three ports, as shown in Fig. 5, among which port 1 is a single-ended (unbalanced) port and port 2 and port 3 are a pair of balanced ports. Therefore, the mixed-mode -parameters can show the differential-mode response and common-mode suppression at
The four-pole balun bandpass filter shown in Fig. 6 is composed of four proposed resonators in Section I. Port 1 on the left side is the unbalanced port, and port 2 on the right side is the balanced port. The whole structure is symmetrical to the symmetric line, except the unbalanced port. The balanced port 1 (dotted line) on the left side is used to show what a balanced filter should be and makes the relationship between a balanced filter and a
XUE et al.: UNBALANCED-TO-BALANCED AND BALANCED-TO-UNBALANCED DIPLEXER
2851
W = 1:2, W = W = 0:8 W = 0:5 g = 0:69 g = g = 0:3 g = 0:2, l = 3 l = 5:15 l = 3:37 l = 4:9 l = 3:75 l = 3:45l = 1:6, l = 3:755 l = 3:1 l = 3:2 l = 7:6 l = 3:8 l = 2 l = 3, l = 3:75 l = 13:39 Fig. 6. Configuration of the proposed balun filter at 1.84 GHz. ( , , , , , , , , , , , , , , , , . Unit: millimeters.)
balun filter clear. The four resonators are all stub-loaded resso the common-mode onators with loaded position at resonant frequency will not change with the loaded stubs. To ease the layout, the inner two resonators have different shapes of loaded stubs from the outer resonators. However, its differential-mode resonant frequency will be identical to the resonator in Fig. 2(a) if the input impedance of the stubs with two branches is identical to that of the stub in Fig. 2(a). The proposed balun bandpass filter in Fig. 6 and latter designs in this paper are all implemented using the microstrip structure on the substrate Taconic RF-60A-0310 (substrate thickness mm, dielectric constant , loss tangent ). In the filter design, the simulation is accomplished by the fullwave simulator IE3D and ADS. As for the measurement, all the circuits in this paper are measured by the Agilent N5230A network analyzer, which can measure the two-port differentialand directly. and common-mode -parameters, i.e., For the symmetric structure, it is possible to reduce the level of common-mode noise while possessing the desired differential-mode response. Since the balun filter is transformed from a balanced bandpass filter, the first step of designing the balun filter is to get a balanced bandpass filter. For a differential-mode response, a prototype of the four-pole low-pass filter is applied to design with the fractional bandwidth of 10%, the center frequency of 1.84 GHz, and the positions of transmission zeros at . The initial calculated differential-mode coupling matrix and external quality factor according to the requirements are given in (10) as follows:
(10) In the differential-mode excitation, the symmetric plane is a virtual short. The center frequency of the differential-mode passband is decided by (2). With the loaded stub, the proposed resonators get additional coupling sections, thus it has an extra degree of freedom to realize the anticipant coupling scheme of and gaps affect the filter. The tap position and the coupling coefficient. The short lines with width
Fig. 7. Extracted differential-mode coupling coefficients versus the gap widths.
Fig. 8. Extracted quality factors versus the tapped positions.
on the top and bottom of the filter are used to realize the differ. As in [19], the extracted ential-mode coupling coefficient can be achieved, differential-mode coupling coefficient and and their values with respect to the structure parameters are shown in Figs. 7 and 8, respectively. After getting the respective and Figs. 7 and 8, the differparameters according to , ential-mode response is simulated and optimized, and the final and are the simulation results are shown in Fig. 9. differential-mode return loss and transmission coefficient of the balanced filter, respectively. Under the common-mode excitation, the symmetric plane is a virtual open. The resonant frequency is decided by (4) and . Therefore, near the differential-mode passband, the common-mode response is a stopband. Fig. 9 also shows . It can be seen the simulated common-mode response that it is below 18 dB from 0 to 4 GHz. To transform the balanced filter into a balun filter, one side of the balun filter should be an unbalanced port, and the other side should be a pair of balanced ports. To make the balun filter have almost the same response with that of the balanced filter, the tap
2852
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
= 1:2, = = 0 8 = = 0 5 = 0 3 = 0 4 = = 0 :3 , = 0 515 = 3 = 4 = 2 76 = 3 2 = 3 75 = 1:95, = 1 5 = 4 37 = 1 4 = 2 = 4 8 = 4 = 6:8, = 6 15 = 9 7
Fig. 10. Configuration of the proposed balun filter at 2.45 GHz. (W W : ,W W : ,W : ,g : ,g g W : ,l ,l : ,l : ,l : ,l g ,l l : ,l : ,l : ,l ,l : ,l ,l : ,l : . Unit: millimeters.) l
Fig. 9. Simulated 1.84 GHz.
S -parameters
of the balanced filter and balun filter at
position of the balanced port should not be changed. However, for the unbalanced port, as described in Section III-A, the tap position should be tuned to meet the requirement of external quality factor (11) where is the external quality factor at the unbalanced port. The extracted with respect to the tap position is shown in mm. The elecFig. 8. The tap position is set to be tromagnetic (EM) simulation results of the balun bandpass filter are also shown in Fig. 9. From Fig. 9, it can be seen that the proposed balun filter and balanced filter almost have the same response. Furthermore, it meets the requirement of a well-designed balun bandpass filter with high common-mode suppression and excellent input matching. The design procedure of the balun filter is summarized as follows. First, according to the center frequency, the initial dimension of the resonator can be decided using (1)–(4). Second, according to the bandwidth return-loss out-of-band suppression, the differential-mode coupling matrix can be known. Third, the gap size and tapped position of the balanced filter can be obtained by solving for the coupling coefficient and external quality factor, respectively, and then the response of the balanced filter can be achieved. Finally, by extracting the single-ended external quality factor of the unbalanced port , the response of the of the balun filter and making balun filter can be obtained and is almost similar with that of the balanced filter. C. Four-Pole Balun Filter at 2.45 GHz The balun filter at 1.84 GHz can be used as an Rx balun filter; however, a Tx balun filter is also required. Therefore, another balun filter at 2.45 GHz is also designed, as shown in Fig. 10. The design procedure is the same as that of the previous one. Fig. 11 shows the EM simulated results. It can be seen that center frequency of the balun filter is at 2.45 GHz with fractional bandwidth of 10%, and common-mode suppression is larger than 28 dB from 0 to 4 GHz. Two transmission zeros are
Fig. 11. Simulated 2.45 GHz.
S -parameters
of the balanced filter and balun filter at
located at 2.16 and 2.805 GHz. The balun filter also has almost the same response with the balanced filter, and possesses excellent in-band balanced performance and input matching, which agrees well with the design predictions. IV. PROPOSED BALUN DIPLEXERS Balun diplexers can be unbalanced-to-balanced or balanced-to-unbalanced diplexers, each of them utilized in different types of systems. In this paper, both the unbalanced-to-balanced and balanced-to-unbalanced diplexers are designed with their Rx and Tx passbands located at 1.84 and 2.45 GHz. Based on the two previous balun filters, the balun diplexers can be constructed. To design a balun diplexer with good isolation between the two passbands, the well-designed balun bandpass filter with high selectivity near the passband edge is necessary. Therefore, the previous balun filters utilize cross coupling to achieve transmission zeros near the edges of the passbands. A. Unbalanced-to-Balanced Diplexer Fig. 12 shows the configuration of the unbalanced-to-balanced diplexer, where the common port is the single-ended port,
XUE et al.: UNBALANCED-TO-BALANCED AND BALANCED-TO-UNBALANCED DIPLEXER
Fig. 12. Configuration of the proposed unbalanced-to-balanced diplexer. f is the center frequency of the path with Rx passband, and f is the center , , , frequency of the path with Tx passband. ( , , , , . Unit: millimeters. The other dimensions are identical to those in two balun filters in Figs. 6 and 10.)
W = 1:88 W = 2 W = 0:72 W = 0:5 l = 6 l = 5:8 l = 8:68 l = 5:15
2853
W = W = 1:2 l = 14:97 l = 14:4
Fig. 14. Configuration of the proposed balanced-to-unbalanced diplexer. , , . Unit: millimeters. The other ( dimensions are identical to those in two balun filters at 1.84 and 2.45 GHz.)
Fig. 15. Measured and simulated frequency response of the proposed balanced-to-unbalanced diplexer in Fig. 14. (a) Differential-mode response. (b) Common-mode response. Fig. 13. Measured and simulated frequency response of the proposed unbalanced-to-balanced diplexer in Fig. 12. (a) Differential-mode response. (b) Common-mode response.
and the other two ports are balanced ports. To achieve high in isolation and low return loss, the reflection coefficient and . Fig. 12 should satisfy should meet the requirement of Similarly,
. This requirement can be easily realized by and adding transmission lines between the common port 1 and the two balun filters. In this diplexer, the stepped-impedance lines are utilized because the length is shorter than that using the uniform-impedance line according to optimization results. The measured differential- and common-mode results of the proposed unbalanced-to-balanced diplexer are shown in Fig. 13.
2854
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
and the other two ports are single-ended ports. To realize high isolation and low return loss, the differential-mode reflection in Fig. 14 should satisfy and coefficient . Similarly, should meet the requirement of and . In this diplexer, the 50- microstrip lines are used at symmetric position to meet the requirement of differential-mode reflection coefficients. The measured frequency response of the proposed balanced-to-unbalanced diplexer is shown in Fig. 15. For the differential-mode response, the Rx passband is centered at 1.85 GHz with 1-dB bandwidth of 205 MHz or 11.1%. The minimum insertion loss is 1.42 dB. Two transmission zeros are located at 1.62 and 2.165 GHz. The Tx passband is located at 2.454 GHz. The 1-dB bandwidth is 230 MHz or 9.3%. The minimum insertion loss is 1.77 dB. Two transmission zeros are created at 2.2 and 2.74 GHz. The isolation between ports 2 and 3 is larger than 33 dB from 0.5 to 4 GHz. The CMRRs insides the two differential-mode passbands are larger than 40.4 and 38 dB, respectively. The common-mode isolation between port 2 and 3 is larger than 33 dB from 0.5 and 4 GHz. The total size of the 67.42 mm 16.7 mm diplexer is about at 1.84 GHz. Fig. 16 is a photograph of the fabricated unbalanced-to-balanced and balanced-to-unbalanced diplexers.
Fig. 16. Photograph of the fabricated unbalanced-to-balanced and balanced-tounbalanced diplexers.
For differential-mode operation, the Rx passband is centered at 1.847 GHz with 1-dB bandwidth of 215 MHz or 11.6%. The minimum insertion loss including SMA connectors is measured to be 1.48 dB. Two transmission zeros are created at 1.625 and 2.11 GHz. The Tx passband is located at 2.467 GHz. The 1-dB bandwidth is 215 MHz or 8.7%. The minimum insertion loss including SMA connectors is measured to be 1.78 dB. Two transmission zeros are located at 2.25 and 2.7 GHz. The differential-mode isolation between port 2 and 3 is larger than 43 dB from 0.5 to 4 GHz. The common-mode suppression is larger than 35 dB near the two differential-mode passbands at both Rx and Tx paths. The CMRR defined by
(12) is utilized to quantify the level of commode-mode suppression. The CMRRs inside the two differential-mode passbands are larger than 38 and 38.7 dB, respectively. The common-mode isolation between ports 2 and 3 is larger than 45 dB from 0.5 and 4 GHz. The total size of the diplexer is about mm mm at 1.84 GHz. The slight difference between the differential-mode measurement and simulation bandwidth can be attributed to the fabrication tolerance of the gap. B. Balanced-to-Unbalanced Diplexer Fig. 14 shows the configuration of the balanced-to-unbalanced diplexer, where the common port is the balanced port,
V. CONCLUSION In this paper, a novel stub-loaded resonator has been studied and utilized to design balun diplexers. With the loaded open stubs, the proposed resonator can obtain an extra degree of freedom in realizing the coupling scheme, compact the circuit size, and enlarge the frequency separation of the differentialand common-mode passbands. The design procedure of the Rx and Tx balun filters with three 50- ports have been given. The demonstrated unbalanced-to-balanced and balanced-to-unbalanced diplexers with Rx frequency at 1.84 GHz and Tx frequency at 2.45 GHz have been designed, fabricated, and measured. Excellent in-band balanced performance, high selectivity, and isolation have been realized. REFERENCES [1] E.-Y. Jung and H.-Y. Hwang, “A balun-BPF using a dual mode ring resonator,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 9, pp. 652–654, Sep. 2007. [2] S.-J. Kang and H.-Y. Hwang, “Ring-balun-bandpass filter with harmonic suppression,” IET Microw. Antennas, Propag., vol. 4, no. 11, pp. 1847–1854, Mar. 2010. [3] L. K. Yeung and K. L. Wu, “An integrated RF balanced-filter with enhanced rejection characteristics,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 713–716. [4] L. K. Yeung and K.-L. Wu, “A dual-band coupled-line balun filter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2406–2411, Nov. 2007. [5] C. H. Wu, C. H. Wang, S. Y. Chen, and C. H. Chen, “Balanced-tounbalanced bandpass filters and the antenna application,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2474–2482, Nov. 2008. [6] K. S. Ang, Y. C. Leong, and C. H. Lee, “Analysis and design of miniaturized lumped-distributed impedance-transforming baluns,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 3, pp. 1009–1017, Mar. 2003. [7] T. Yang, M. Tamura, and T. Itoh, “Compact hybrid resonator with series and shunt resonances used in miniaturized filters and balun filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 2, pp. 390–402, Feb. 2010.
XUE et al.: UNBALANCED-TO-BALANCED AND BALANCED-TO-UNBALANCED DIPLEXER
[8] Z.-H. Bao, J.-X. Chen, E. H. Lim, and Q. Xue, “Compact microstrip diplexer with differential outputs,” Electron. Lett., no. 3, pp. 766–768, 2010. [9] C.-H. Wu, C.-H. Wang, and C. H. Chen, “A novel balanced-to-unbalanced diplexer based on four-port balanced-to-balanced bandpass filter,” in Proc. Eur. Microw. Conf., 2008, pp. 28–31. [10] M. Q. Zhou, X. H. Tang, and F. Xiao, “Compact dual band bandpass filter using novel E-type resonators with controllable bandwidth,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 12, pp. 779–781, Dec. 2008. [11] F.-C. Chen, Q.-X. Chu, and Z.-H. Tu, “Tri-band bandpass filter using stub loaded resonators,” Electron. Lett, vol. 44, no. 12, pp. 747–749, 2008. [12] R. Li and L. Zhu, “Compact UWB bandpass filter using stub-loaded multiple-mode resonator,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 1, pp. 40–42, Jan. 2007. [13] L. Han, K. Wu, and X.-P. Chen, “Compact ultra-wideband bandpass filter using stub-loaded resonator,” Electron. Lett., vol. 45, no. 10, pp. 504–506, May 2009. [14] J. Shi, J.-X. Chen, and Z.-H. Bao, “Diplexers based on microstrip line resonators with loaded elements,” Progr. Electromagn. Res., vol. 115, pp. 423–439, 2011. [15] D. E. Bockelman and W. R. Eisenstant, “Combined differential and common-mode scattering parameters: Theory and simulation,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 7, pp. 1530–1539, Jul. 1995. [16] D. E. Bockelman and W. R. Eisenstant, “Combined differential and common-mode analysis of power splitters and combiners,” IEEE Trans. Microw. Theory Tech., vol. 43, no. 11, pp. 2627–2632, Nov. 1995. [17] W. R. Eisenstadt, B. Stengel, and B. M. Thompson, Microwave Differential Circuit Design Using Mixed-Mode S-Parameters. Boston, MA: Artech House, 2006. [18] Y. C. Leong, K. S. Ang, and C. H. Lee, “A derivation of a class of 3-port baluns form symmetrical 4-port networks,” in IEEE MTT-S Int. Microw. Symp. Dig, 2002, pp. 1165–1168. [19] J. S. Hong and M. J. Lancaster, Microwave Filter for RF/Microwave Application. New York: Wiley, 2001.
Quan Xue (M’02–SM’04–F’11) received the B.S., M.S., and Ph.D. degrees in electronic engineering from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 1988, 1990, and 1993, respectively. In 1993, he joined the UESTC, as a Lecturer. He became an Associate Professor in 1995 and a Professor in 1997. From October 1997 to October 1998, he was a Research Associate and then a Research Fellow with the Chinese University of Hong Kong. In 1999, he joined the City University of Hong Kong, Kowloon, Hong Kong, where he is currently a Professor, an Associate Vice
2855
President, and serves as the Director of Information and Communication Research Center, Deputy Director of State Key Laboratory (Hong Kong) of Millimeter-waves of China. Since May 2004, he has been the Principal Technological Specialist of the State Integrated Circuit (IC) Design Base, Chengdu, China. He has authored or coauthored over 190 internationally referred journal papers and over 70 international conference papers. He is the Editor of the International Journal of Antennas and Propagation. His current research interests include microwave passive components, active components, antennas, microwave monolithic integrated circuits (MMICs), RF identification (RFID), and RF integrated circuits (RFICs). Dr. Xue is currently an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and associate editor for the IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS. He is an elected member of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Administrative Committee (AdCom). He is the chair of the IEEE Hong Kong Section of the Antennas and Propagation (AP)/Microwave Theory and Techniques (MTT) Chapter.
Jin Shi was born in Nantong, Jiangsu Province, China, in 1979. He received the B.S. degree from HuaiYin Teachers College, Huai’an City, Jiangsu Province, China, in 2001, the M.S. degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2004, and the Ph.D. degree from City University of Hong Kong, Kowloon, Hong Kong, in 2011. From 2004 to 2006, he was a Research Engineer with Comba, where he was involved with RF repeater systems. From 2007 to 2008, he was a Research Assistant with the City University of Hong Kong. Since 2011, he has been with the Institute for Infocomm Research, Singapore, where he is currently a Scientist. His current research interests are RF/microwave components and subsystems, millimeter-wave filters and antennas, and near-field antennas.
Jian-Xin Chen (M’08) was born in Nantong, Jiangsu Province, China, in 1979. He received the B.S. degree from HuaiYin Teachers College, Huai’an City, Jiangsu Province, China, in 2001, the M.S. degree from the University of Electronic Science and Technology of China (UESTC), Chengdu, China, in 2004, and the Ph.D. degree from the City University of Hong Kong, Kowloon, Hong Kong, in 2008. Since 2009, he has been with Nantong University, Jiangsu Province, China, where he is currently a Professor. His research interests include RF/microwave active and passive circuit designs. Dr. Chen was the recipient of the Best Paper Award presented at the Chinese National Microwave and Millimeter-Wave Symposium, Ningbo, China, in 2007.
2856
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
A Filtering Microstrip Antenna Array Chin-Kai Lin and Shyh-Jong Chung, Senior Member, IEEE
Abstract—A new filtering microstrip antenna array is presented. The antenna elements, together with the very compact feeding network, function as a third-order bandpass filter. The feeding network, which consists of one power divider and two baluns, provides the first two stages, and the microstrip antenna elements provide the last stage in the filter design. The equivalent lumped circuit model is analyzed, and the detail synthesis procedure is pre2 microstrip antenna array is sented. A third-order filtering 2 designed at a center frequency of 5 GHz with 3% fractional bandwidth and Chebyshev 0.3-dB equal-ripple broadside antenna gain response. The results from circuit model, full-wave simulation, and measurements agree well. Compared to the conventional patch antenna array, the proposed filtering microstrip antenna array successfully suppresses the unwanted signals in out-of-band, preserves good selectivity at band edges, and retains the flatness of the passband broadside antenna gain response. Index Terms—Balun, bandpass filter (BPF), filtering antenna, microstrip antenna array, power divider.
I. INTRODUCTION
A
S THE development of wireless communication technologies grows rapidly, integration among components has become a significant issue. In a wireless communication system, the antenna is an essential component for receiving and transmitting signals, while the bandpass filter (BPF) is another crucial component for selecting signals in the required band and rejecting the unwanted signals. Generally, a BPF is composed of resonators with the same resonant frequency as the antenna so it leads to interferences on the return loss and the antenna gain responses, especially at the band edges. Usually the impedance bandwidth of the antenna is different from that of the BPF. The I/O ports of a BPF are typically designed for 50- terminations. However, the input impedance at the of the antenna may not be perfectly matched to 50 band edges. The degradation due to mismatch thus occurs. Since both of these components are generally arranged at the very front-end of a communication system, integration of the antenna and the BPF is considered for enhancing the overall performance and reducing circuit area. Recently, quite a few antennas in different forms were designed through the filter synthesis process, in which the antenna acts as one of the resonators in the filter design. Coupled circular Manuscript received April 21, 2011; accepted May 02, 2011. Date of publication August 04, 2011; date of current version November 16, 2011. This work was supported in part by the National Science Council, R.O.C., under Contract NSC 97-2221-E-009-041-MY3. The authors are with the Institute of Communications Engineering, National Chiao Tung University, Hsinchu 300, Taiwan (e-mail: [email protected]. tw). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2160986
patches were introduced for multiple mode operation in [1]–[4]. Several antennas in different forms, such as slot dipole antennas [5], [6], monopole antennas [7], microstrip antennas [8]–[11], and substrate integrated waveguide (SIW) slot antennas [12] are also integrated with BPFs. Additionally, over the last few decades, the microstrip antenna array has become one of the most popular candidates for highly directive antenna applications because of its characteristics of low profile, light weight, low cost, and easy fabrication. For the requirement of high directivity, the number of antenna elements tends to increase, and the feeding network must be more complicated. As the feeding network becomes complex, some unexpected resonances occur and result in spurious passbands at unwanted frequencies. Intuitively, the spurious signals can be suppressed by a BPF placed right after the antenna array. However, as mentioned previously, a BPF interacts with the antenna array and degrades the performance, especially at the band edges. In order to solve this dilemma, one of the possible solutions is to replace the antenna elements by the filtering antennas [8]–[11]. If antenna elements act as the first/last stage of the receiver/transmitter have the filtering function, then the signals received/transmitted by the system will no longer be interfered by the noises in unwanted bands. Traditionally, the feeding network of the antenna array only has the function of transmitting the signals with proper magnitudes and phases to the antenna elements. The feeding network is usually a combination of transmission lines, power dividers, and baluns (balanced-to-unbalanced transformers). Therefore, the filtering power dividers [13] and the filtering baluns [14]–[16] utilizing coupled resonators can be applied for designing the feeding network so that the feeding network has both the functions of power division and band selection. In this paper, we go a step further by combining the filtering antenna elements and the filtering feeding network so that the circuitry has the return loss and the antenna gain response just like a BPF. A 2 2 antenna array is implemented with a thirdorder Chebyshev BPF response. Functioning as the last stage of the filter, the radiating patch in [11] is adopted for the array elements, while the feeding network contributes to the first two stages. This paper is organized as follows. Section II illustrates the layout and the equivalent lumped circuit of the filtering microstrip antenna array. Section III describes the design procedure and exhibits the simulation results. Section IV presents the comparison between the proposed filtering microstrip antenna array and nonintegrated conventional patch antenna array with BPF. Section V shows the measured results and is followed by conclusions in Section VI. II. STRUCTURE AND EQUIVALENT CIRCUIT The layout, components, and equivalent circuit of the proposed filtering microstrip antenna array are investigated in this
0018-9480/$26.00 © 2011 IEEE
LIN AND CHUNG: FILTERING MICROSTRIP ANTENNA ARRAY
2857
TABLE I THIRD-ORDER CHEBYSHEV FILTER PROTOTYPE SPECIFICATIONS
Fig. 2. Topology of the filtering microstrip antenna array.
Fig. 1. Functional block diagram of the filtering micristrip antenna array.
section. This design is at a center frequency of 5 GHz, with third-order Chebyshev equal-ripple broadside antenna gain response, 0.3-dB ripple level, 3% fractional bandwidth, and 50- port impedance. The BPF specifications are summarized in Table I. A. Topology of the Filtering Microstrip Antenna Array The functional block diagram of the filtering microstrip antenna is illustrated in Fig. 1. At the four corners, four array elements are placed a half free-space wavelength apart from the adjacent ones for minimizing sidelobes. For focusing the main beam in the broadside direction, the feeding network need to be properly designed so that the signals on these four antenna elements are synchronized [17]. The antenna elements 11 and 12 are arranged back to each other for saving the circuit area and reducing the layout complexity. Linked by a balanced- to-unbalanced transformer (balun 1), the antenna elements 11 and 12 have the same phase. The same applies to antenna elements 21 and 22. In order to connect baluns 1 and 2, a power divider is placed at the center to equally split the signal into these two baluns, while the input port of the power divider is connected to the antenna array feeding point. The physical layout of the proposed filtering microstrip antenna array is depicted in Fig. 2. It is printed on a piece of RT/Duroid 5880 substrate with a dielectric constant of 2.2 and a thickness of 0.508 mm. The filtering microstrip antenna array consists of four parts: four radiating patches, two vertical halfwavelength resonators, one inverted E-shape resonator, and one section of feeding microstrip line with the interdigital coupler. At the bottom of Fig. 2 is a 50- microstrip feed line of width . At the top end of the feed line is the interdigital coupler for
feeding the first stage, the E-shape resonator. The E-shape resonator is essentially a half-wavelength resonator; is about half a guiding wavelength. At the sides next to the E-shape resonator, there are two vertical half-wavelength resonators, which act as the second-stage resonators. Since the geometry is symmetrical, the couplings for these two half-wavelength resonators are equal. Thus, the first- and second-stage resonators together can be treated as an equal-power and equalphase power divider, which corresponds to the power divider in Fig. 1. Observing the left-hand side of Fig. 2, the half-wavelength resonator and two radiating patches are all operated at their fundamental modes at the same resonant frequency, . Around the resonant frequency, suppose that at some instance in one period, the current flows on the half-wavelength resonator in the -direction. Through the gap coupling, the current induced on the radiating patches must be in -direction as well, but with 90 phase difference to the current of the half-wavelength resonator. However, the currents on these two radiating patches are synchronized. Thus, they radiate coherently in broadside ( -) direction. These are the baluns in Fig. 1, which is the similar operation principle inspired by [14]–[16]. On the four corners of Fig. 2, there are four radiating patches and , are as the array elements. The element spacings, both approximately a half free-space wavelength. During the design process, we treat them as the last-stage resonators with loads since they resonate at and dissipate the electromagnetic power into the air. B. Equivalent Third-Order BPF Model Since the filtering microstrip antenna array is composed of coupled resonators, the equivalent circuit in Fig. 3 is constructed accordingly. All the resonators in Fig. 2 are modeled resonators with the same resonant frequency, . by shunt The E-shape resonator, the half-wavelength resonators, and the
2858
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
where , , , and are the normalized Chebyshev low-pass filter prototype element values, which are functions of the ripple . Although and have arbitrary values, the firstlevel and the coupling coefficients stage external quality factor and ) are not affected [18] between stages ( (9) (10) (11) Note that in (11) and (12), the admittance inverter is slightly different from the ones in conventional filter synthesis. Since power is split into two, the values of the admittance inverters . are divided by
Fig. 3. Equivalent lumped circuit of the filtering microstrip antenna array.
TABLE II CORRESPONDENCES AMONG FIGURES
III. DESIGN
radiating patches are, respectively, modeled by , , resonators [17], [18]. and The coupling gaps between the resonators are modeled by admittance inverters. The interdigital coupler is modeled by an ad. The coupling between the first and second mittance inverter with idenstages is expressed by two admittance inverters tical 90 phase delay. As for the four gaps between the second and third stages, two of them are modeled by a pair of admittance inverters with 90 phase delay, and the other two are with 90 phase delay. The 180 phase difference among the paired radiating patches are functioning as the baluns. The correspondences among Figs. 1–3 are summarized in Table II. All of the parameters of the equivalent lumped circuit in Fig. 3 can be calculated utilizing the BPF synthesis technology [18]
arbitrary arbitrary
(1) (2) (3) (4) (5) (6) (7) (8)
The synthesis of a filtering microstrip antenna array is presented in this section. The filtering microstrip antenna array is deconstructed into several parts, which are designed individually. By looking up tables in the literature [18], the normalized Chebyshev low-pass filter prototype element values with the dB are , , ripple level of and . Applying (1)–(11) with the filter specifications given in Table I, the values that we need for parameter extrac, tions are pF, and pH. The synthesis of the filtering microstrip antenna array is different from the conventional filter synthesis technologies because the load, or the impedance of port 2 in the filter terminology, cannot be separated from the radiating patch. Therefore, the parameter extractions of the radiating patch, such as external quality factors and the coupling coefficients, are quite different. We are forced to rely more on the lumped circuit model. In the following, the design process will be discussed in detail. The full-wave simulation throughout this work is done using IE3D [19]. A. External Quality Factor of the First-Stage Resonator Fig. 4 is plotted for designing the feed of the first-stage resonator and the coupling between the first- and second-stage resonators. Fig. 4(a) is the test structure for extracting the external quality factor of the first stage. The thin microstrip section with width at the center of the E-shape resonator is for enhancing the coupling with the interdigital coupler [20]. As previously is about half a guiding wavementioned, length. The dimensions of the E-shape resonator and interdigital coupler in Fig. 4(a) are listed in the figure caption. The coupling is the tuning variable for the required external quality gap [18] factor (12)
LIN AND CHUNG: FILTERING MICROSTRIP ANTENNA ARRAY
2859
Q M Q l = 0:7
Fig. 4. and extractions. (a) Test structure for the first-stage external . The physical dimensions are: mm, quality factor mm, mm, mm, and mm, while the gap is the tuning variable. (b) Test structure for the coupling coefficient . mm, mm, mm, The physical dimensions are: mm, mm, and mm, while the gap is the and as the functions of and , respectively. tuning variable. (c)
0:3 g l = 0:7
Fig. 5. Radiating patch extraction. (a) Structure under test. (b) Equivalent lumped circuit. (c) Input impedance responses of the full-wave simulated strucmm, ture and the equivalent lumped circuit with the dimensions mm, mm, mm, mm, mm, and mm.
w = 1:15 w = l = 19:3 l = 28:1 l = 5:625 l = 10:65 M w = 1:15 l = 21:3 w = 0:3 l = 28:1 l = 5:625 g Q M g g
s
= 5:2 w = 1:15
s = 9:95
w = 21:0 g = 4:56
mm should be chosen for the required coupling
coefficient. where is the resonant frequency and is the frequency difference between 90 and 90 of the reflection coefficient. is a function of and is plotted The full-wave simulated . in Fig. 4(c). As calculated previously, the required mm is chosen for the precise coupling. Thus, B. Coupling Coefficient of the First Two-Stage Resonators Fig. 4(b) is the structure for testing the coupling between the first two-stage resonators. The first-stage E-shape resonator was already designed in Section III-A. Two 50- half-wavelength are symmetrically placed resonators with length and width . The dimenbesides the E-shape resonator with a gap size sions of the structure in Fig. 4(b) are listed in the figure caption. Using the conventional technique for extracting the coupling between resonators [18], by recording two resonant frequencies and , then of the coupled-resonator structure in Fig. 4(b), the coupling coefficient between the two resonators, , can be calculated (13) By adjusting the gap size , we can get the proper coupling as a function of is level. The full-wave simulated plotted (the dashed line) in Fig. 4(c). As calculated previously, and the corresponding gap size the required
C. Radiating Patch Design The radiating patch is modeled by the parallel resonator with three parameters, , , and to be determined. Since the radiation resistance of the radiating patch is inseparable from the resonator, the conventional external quality factor extraction method for the last stage of the BPF cannot be applied. Instead, we establish the test structure, as shown in Fig. 5(a), for extracting the element values of the corresponding equivalent circuit of the radiating patch in Fig. 5(b). In Fig. 5(a), the radiating patch is excited by an inserted quarter-wavelength 50- microstrip line with length , and it resonator in Fig. 5(b). The coupling is modeled by the between the radiating patch and the quarter-wavelength 50microstrip line is modeled by an admittance inverter . The coupling here is relatively weaker than that in the final design is because the coupling section is not in resonance. That is, a temporary admittance inverter only for testing and is different in Fig. 3. from These three parameters to be determined correspond to resonant frequency, unloaded quality factor, and load of the resonator [17]. The radiating patch is a half-wavelength square with a coupling section. The resonant frequency of a patch is mainly determined by the patch length . The couaffects the unloaded quality factor. The pling structure , width of the patch, , mainly affects the antenna resistance but the unloaded quality factor is also influenced. While the gap
2860
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
size varies, the value of varies. However, the coupling of strength does not affect the internal parameters the resonator. As shown in Fig. 5(c), the parameters are extracted by fitting the input impedances of the circuits in Fig. 5(a) and (b). The lumped element values that were calculated previously are pF, pH, and The temporary admittance inverter mS is obtained by curve fitting. The corresponding dimensions of the radiating patch are listed in the figure caption. From Fig. 5(c), the impedances of the circuit model and full-wave simulation are well fitted. D. Coupling Between the Last Two-Stage Resonators Since the radiation resistance of the radiating patch is inseparable from the resonator, once again, the extraction of the coupling between the last two-stage resonators must utilize the equivalent circuit. Fig. 6(a) depicts the structure under test (one half-wavelength resonator and two radiating patches), and Fig. 6(b) represents its equivalent circuit. The half-wavelength resonator is modeled . Two radiating patches are modeled by together by with two output ports, port 2 and port 3, with port impedance . The couplings between the last two stages are, respectively, , with 180 phase difmodeled by two admittance inverters, ference, and a loose input coupling is modeled by another ad. mittance inverter with a very small value of For the equivalent circuit in Fig. 6(b), one of the possible combinations for this previously calculated coupling coefficient is pF, pH, mS. The normalized response (dashed line) and of the equivalent circuit is plotted in Fig. 6(c). The physical dimensions of the radiating patches and the half-wavelength resonator in Fig. 6(a) remain the previously demm, mm, mm, signed values: mm, mm, mm, and mm. The half-wavelength resonator is excited by a loose coupled input. Our goal here is to adjust the gap to fit the normalized broadside antenna gain response with response of the equivalent circuit. When the normalized mm, as shown in Fig. 6(c), normalized broadside antenna gain response (solid line) fits well with the response of the equivalent circuit (dashed line).
Fig. 6. Extraction of the coupling between the last two stages. (a) Test structure. (b) Equivalent lumped circuit. (c) Comparison of normalized broadside gain and the normalized S responses with the physical dimensions: w : mm, l : mm, s : mm, s : mm, g : mm, l : mm, and g : mm. : mm, and w
= 19 3 21 3
= 52 = 1 15
= 9 95 = 4 56
= 21 0 = 4 56 =
E. Simulation Results After the extraction works, the last step is to put all the stages together. The final dimensions for the filtering microstrip anmm, mm, tenna in Fig. 2 are mm, mm, mm, mm, mm, mm, mm, mm, mm, mm, and mm. and The element spacing in - and -directions are mm, respectively. Fig. 7 shows the results of both the full-wave simulation and the lumped circuit model. The dashed lines represent the reflection and transmission responses of the lumped circuit model, and the solid lines stand for the full-wave simulated reflection coefficient and the broadside antenna gain responses. The broadside antenna gain of the filtering microstrip antenna
Fig. 7. Simulated reflection coefficients, normalized transmission coefficient, and normalized broadside antenna gain.
array corresponds to the transmission coefficient in the lumped circuit model, in which the antenna resistances, ’s, are acting as the “remote ports.” Both of them are normalized for comparison. Observing the reflection coefficients, the full-wave simulation and the circuit model are in good agreement with minimum
LIN AND CHUNG: FILTERING MICROSTRIP ANTENNA ARRAY
2861
return loss of 11.7 dB and 3% fractional bandwidth in the passband. The filtering microstrip antenna array is symmetric about the -plane, which is an H-wall. The cross-polarized component of the broadside ( -) direction antenna gain vanishes for all frequencies. Thus, the broadside antenna gain is purely co-polarized. The maximum value of the co-polarized broadside antenna gain is 9.6 dBi. The out-of-band ( 4.8 and 5.2 GHz) radiation level is 15 dBi or lower. Comparing to the passband antenna gain, a 24-dB rejection is achieved. Comparing the “broadside antenna gain” and the “transmission mission coefficient” responses, the “broadside antenna gain” has even better selectivity because of the radiation nulls at 4.8 and 5.2 GHz. At these two frequencies, the current induced on the radiating patches are very weak. The radiations due to the open ends of the resonators cancel out each others’ contributions in the broadside direction. Around 5.08 GHz, the band edge of the broadside gain response is slightly shifted to lower frequency because the radiation null is close to the passband. IV. COMPARISON WITH A REGULAR PATCH ANTENNA ARRAY To demonstrate the advantages of the proposed filtering microstrip array, a conventional patch antenna array and a hairpin BPF are cascaded for comparison. Fig. 8(a) is the topology that printed on the same substrate, RT/Duroid 5880 with dielectric constant 2.2- and 0.508-mm thickness. Four inset patch antennas are placed a half free-space wavelength (about 30 mm) apart from the adjacent ones. The feeding network is now composed of nonresonant transmission lines and T-junctions. The Chyebyshev hairpin BPF is designed with the same as the specifications listed in Table I. The conventional patch antenna array and the hairpin BPF are interconnected by a section of a 50- microstrip line. The interconnection is not optimized because this is the general scenario when we design an RF front end system—components are bridged by 50- transmission lines with arbitrary lengths. Fig. 8(b) shows the reflection coefficient of the patch antenna array with and without the hairpin BPF. For the patch antenna array without the BPF (dashed line), there is an unexpected spurious radiation at 5.45 GHz. It is caused by the feeding network. This problem can be solved when a BPF is added right after the patch antenna array. However, the antenna resistance is not 50 when the operating frequency is away from its center, while the I/O ports of the BPF are still designed for 50- terminations. The mismatch may lead to the degradation on band-edge selectivity. From the result in Fig. 8(b) (solid line), although the spurious signal at 5.45 GHz is eliminated, there comes an additional resonance at 4.85 GHz due to the interference between the antenna array and the hairpin BPF. In addition, the maximum return loss in pass band is only 6.5 dB at 5.04 GHz, i.e., the passband impedance matching is also degraded. One of the best ways to solve this problem is to apply the filtering microstrip antenna array. Fig. 8(c) shows the comparison among the broadside antenna gains of several structures. The broadside antenna gain response of the conventional patch antenna array (dashed–dotted line) has a maximum gain of 10 dBi at the center frequency, but with a 2.5-dB gain variation in the
Fig. 8. Comparison between the proposed filtering microstrip antenna and the conventional patch antenna array with/without a hairpin BPF. (a) The conventional patch antenna array and hairpin BPF. (b) Comparison on simulated reflection coefficients. (c) Comparison on simulated broadside antenna gains.
passband. For the patch antenna array with the BPF (dashed line), the passband response is seriously affected by the unwanted couplings, and the gain is rather lower than that of the filter microstrip antenna array. For the proposed filtering microstrip antenna array (solid line), although the peak gain is about 1 dB lower, the gain variation reduces to less than 1 dB, the roll-off of the band-edge is much steeper, and the out-of-band rejection is much stronger. V. MEASUREMENT RESULT Fig. 9(a)–(c) shows, respectively, the photograph, measured/ simulated results, and -plane radiation patterns of the fabricated filtering microstrip antenna array. In Fig. 9(b), the measured magnitude of reflection coefficient has decent agreement with the simulated gain. In the passband, three transmission poles and minimum return loss of 11.7 dB in the passband are observed, as expected. The measured co-polarized broadside antenna gain also fits well with the simulated results in passband. In the out-of-band
2862
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
broadside direction. The simulated 3-dB beamwidth is 46 . The maximum simulated cross-polarized gain resulted from the resonant structures is about 14 dBi at 45 . Although the measured broadside cross-polarized radiation null is not so obvious, the radiation level is rather low in all directions. VI. CONCLUSION This paper has presented a third-order filtering microstrip antenna array with a Chebyshev equal-ripple broadside antenna gain response and a pair of antenna nulls beside the passband. Without suffering from any additional circuit area, the antenna elements together with the feeding network own the function of a filter. The detail synthesis procedure and measured results are presented. Comparing to the regular patch antenna array, the proposed filtering microstrip antenna array successfully suppresses the unexpected spurious signals and retains the flatness of the passband broadside antenna gain response. REFERENCES [1] H. Blondeaux, D. Baillargeat, P. Leveque, S. Verdeyme, P. Vaudon, P. Guillon, A. Carlier, and Y. Cailloce, “Microwave device combining and radiating functions for telecommunication satellites,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2001, pp. 137–140. [2] H. Blondeaux, D. Baillareat, S. Verdeyme, P. Guillon, A. Carlier, Y. Cailloce, and E. Rogeaux, “Radiant microwave filter for telecommunications using hi dielectric resonator,” in Proc. 30th Eur. Microw. Conf., 2000, pp. 1–4. [3] I. Hunter, “Broadband matching of antenna using dual-mode radiators,” in Proc. 33rd Eur. Microw. Conf., 2003, pp. 431–434. [4] A. I. Abunjaileh, I. C. Hunter, and A. H. Kemp, “A circuit-theoretic approach to the design of quadruple-mode broadband microstrip antennas,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 4, pp. 896–900, Apr. 2008. [5] S. Oda, S. Sakaguchi, H. Kanaya, R. K. Pokharel, and K. Yoshida, “Electrically small superconducting antennas with bandpass filters,” IEEE Trans. Appl. Supercond., vol. 17, no. 2, pp. 878–881, Jun. 2007. [6] T. E. Nadan, J. P. Coupez, S. Toutain, and C. Person, “Integration of an antenna/filter device, using a multi-layer, multi-technology process,” in Proc. 28th Eur. Microw. Conf., 1998, pp. 672–677. [7] C.-T. Chuang and S.-J. Chung, “New printed filtering antenna with selectivity enhancement,” in Proc. 39th Eur. Microw. Conf., 2009, pp. 747–750. [8] T. L. Nadan, J. P. Coupez, S. Toutain, and C. Person, “Optimization and miniaturization of a filter/antenna multi-function module using a composite ceramic-foam substrate,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 1999, pp. 219–222. [9] A. Abbaspour-Tamijani, J. Rizk, and G. Rebeiz, “Integration of filters and microstrip antennas,” in Proc. IEEE AP-S Int. Symp., Jun. 2002, pp. 874–877. [10] F. Queudet, I. Pele, B. Froppier, Y. Mahe, and S. Toutain, “Integration of pass-band filters in patch antennas,” in Proc. 32th Eur. Microw. Conf., 2002, pp. 685–688. [11] C.-K. Lin and S.-J. Chung, “A compact edge-fed filtering microstrip antenna with 0.2 dB equal-ripple response,” in Proc. 39th Eur. Microw. Conf., 2009, pp. 378–380. [12] S. Avrillon, I. Pele, A. Chousseaud, and S. Toutain, “Dual-band power divider based on semiloop stepped-impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 4, pp. 1269–1273, Apr. 2003. [13] Y. Yusuf and X. Gong, “A new class of 3-D filter/antenna integration with high quality factor and high efficiency,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 892–895. [14] K.-T. Chen and S.-J. Chung, “A novel compact balanced-to-unbalanced low-temperature co-fired ceramic bandpass filter with three coupled lines configuration,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 7, pp. 1714–1720, Jul. 2008. [15] C.-H. Wu, C.-H. Wang, S.-Y. Chen, and C.-H. Chen, “Balanced-tounbalanced bandpass filters and the antenna application,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2474–2482, Nov. 2008.
Qu
Fig. 9. (a) Photograph of the fabricated filtering microstrip array antenna. (b) Reflection coefficient and broadside antenna gain response. (c) Measured and simulated -plane ( -plane) radiation patterns at 5 GHz.
H
xz
regions, there are some ripples due to the limitation of the sensitivity of the measurement system. However, the out-of-band broadside antenna gain is less than 15 dBi. As previously mentioned, there is theoretically a cross-polarized radiation null in broadside direction because of geometrical symmetry. The measured cross-polarized gain has a maximum level 12 dBi in the passband due to the measurement error. Nonetheless, this is significantly lower than the co-polarized gain, and can be negligible. The measured antenna efficiency at 5 GHz is 72.2%. The measured and simulated -plane radiation patterns at 5 GHz are plotted in Fig. 9(c). Only the upper plane of the simulated radiation pattern is plotted due to the infinite ground setup in IE3D. The radiation pattern of the filtering microstrip antenna array is similar to that of the regular patch antenna array. The co-polarized radiation pattern has a maximum 9.6-dBi gain in
LIN AND CHUNG: FILTERING MICROSTRIP ANTENNA ARRAY
[16] L. K. Yeung and K. L. Wu, “A dual-band coupled-line balun filter,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 11, pp. 2406–2411, Nov. 2007. [17] C. A. Balanis, Antenna Theory, 2nd ed. New York: Wiley, 1997, ch. 14. [18] J. S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [19] S. W. Wong and L. Zhu, “Implementation of compact UWB bandpass filter with a notch-band,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 1, pp. 10–12, Jan. 2008. [20] IE3D Simulator. Zeland Softw. Inc., Fremont, CA, Jan. 1997. Chin-Kai Lin was born in Keelung, Taiwan. He received the B.S. and M.S. degree in physics from National Tsing Hua University, Hsinchu, Taiwan, in 2004 and 2006, respectively, and is currently working toward the Ph.D. degree in communication engineering at National Chiao Tung University, Hsinchu, Taiwan. His current research interests include the design of antennas and microwave circuits.
2863
Shyh-Jong Chung (M’92–SM’06) was born in Taipei, Taiwan. He received the B.S.E.E. and Ph.D. degrees from National Taiwan University, Taipei, Taiwan, in 1984 and 1988, respectively. Since 1988, he has been with the Department of Communication Engineering, National Chiao Tung University, Hsinchu, Taiwan, where he is currently a Professor. From September 1995 to August 1996, he was a Visiting Scholar with the Department of Electrical Engineering, Texas, A&M University, College Station. His areas of interest include the design and applications of active and passive planar antennas, low-temperature co-fired ceramic (LTCC)-based RF components and modules, packaging effects of microwave circuits, vehicle collision warning radars, and communications in intelligent transportation systems (ITSs). Dr. Chung was the treasurer of the IEEE Taipei Section (2001–2003) and the chairman of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) Taipei Chapter (2005–2006). He was the recipient of the Outstanding Electrical Engineering Professor Award of the Chinese Institute of Electrical Engineering and the Teaching Excellence Awards of the National Chiao Tung University (both in 2005).
2864
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Multilayer Planar Tunable Filter With Very Wide Tuning Bandwidth Jim S. Sun, Noriaki Kaneda, Senior Member, IEEE, Yves Baeyens, Fellow, IEEE, Tatsuo Itoh, Life Fellow, IEEE, and Young-Kai Chen, Fellow, IEEE
Abstract—In this paper, we propose and develop a partially shielded tunable filter structure using a varactor-loaded split-ring resonator. The novel physical topology of the filter is suitable for vertical stacking of filters and enables a compact multilayer tunable filter for applications requiring a very wide tuning range. The capacitance range and ratio needed to achieve the designated tuning range are presented, and analytical solutions are given for the second and third higher order modes for synthesis in the spurious-free filter operation range. Two prototypes are developed. A partially shielded tunable filter using varactor-loaded split-ring resonators is developed to verify the design method and to demonstrate the wide tuning range of a tunable filter. To further extend the tuning range, a filter bank with three stacked tunable filters at different frequency bands are designed and developed. With slight overlap between neighboring bands, a continuous tuning range of more than 6:1 is achieved. Index Terms—Split-ring resonators, stripline circuits, tunable filters, varactor tuned, wideband filters.
I. INTRODUCTION UNABLE pre-select filters are crucial components in wideband wireless communications systems, including the emerging system of cognitive radio networks and software-defined radio [1]. During the past years, various forms of tunable filters have been introduced [2]–[11]. The tunable filters reported in [3]–[9] focus on making the center frequency tunable and have less control over bandwidth (BW) variation, while some interesting schemes have been developed to maintain the absolute BW of the filter throughout the tuning range [2], [10], [11]. In [2], a complete theory for the varactor loaded combline filter is presented. It is found that with an optimal length of the resonator, less than 20% variation in the passband BW can be achieved with 2:1 tuning range. In [10] and [11], a ring-type structure and corrugated coupled lines are designed, respectively, to maintain the absolute BW of the bandpass filter within 10% variation. In these kinds of tunable filters, varactor diodes are often used to make tunable resonators due to their fast response time and relatively high- resonance. Some other more sophisticated tunable filters are reported in
T
Manuscript received December 22, 2010; revised June 11, 2011; accepted June 20, 2011. Date of publication August 30, 2011; date of current version November 16, 2011. J. S. Sun and T. Itoh are with the Electrical Engineering Department, University of California at Los Angeles, Los Angeles, CA 90095 USA. N. Kaneda, Y. Baeyens, and Y.-K. Chen are with Bell Laboratories, AlcatelLucent, Murray Hill, NJ 07974 USA. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2163201
[12]–[14], capable of tuning both the center frequency and BW. To achieve this, more tunable or switching components are required and contribute to the higher insertion loss of the overall filter. Though tunable pre-select filters have received much attention in the research community for decades, introducing wideband tunability in resonating electromagnetic structures with little or no loss in signal power and input nonlinearity remains technically challenging. Despite the numerous studies previously done on tunable planar filters, to the authors’ best knowledge, achieving center frequency tuning ratio larger than 2:1 with a single tunable filter with reasonably low insertion loss remains very difficult. In this paper, to bypass this difficulty, we investigate a structure that allows stacking multiple varactor-loaded split-ring resonator filters. Such a filter bank structure enables us to create a compact tunable filter bank that provides very wide frequency tuning range of more than 6:1. The basis of the proposed structure is a partially shielded split-ring tunable filter that is illustrated in Fig. 1. It is in principle the same as the split-ring tunable filter [6], except that in our proposed structure the central part is shielded by a top ground plane. Tunable is responsible for the tuning of center frequency capacitance of the filter, and tunable capacitance is used to achieve , good impedance matching at different center frequencies. , , and represent physical dimension of various parts in the filter. Fig. 1(b) shows the illustration of the stack-up profile of the multilayer structure of the filter. The two substrates Sub 1 and Sub 2 are usually of the same material and thickness. The shielding of the top ground plane changes a portion of the microstrip line into the strip line that has different characteristic impedance and electrical length, thus modifying the resonator into a step-impedance split-ring resonator. The splitring resonators and step-impedance resonators (SIRs) have been studied separately before in numerous literatures [6], [9], [11], [15]–[18]. However, the combination of the two, especially for the application to tunable filter, have not been reported before. In this paper, the characteristics of the step-impedance split-ring tunable resonator, such as fundamental tunable resonance frequency and spurious frequencies, are studied carefully. A design procedure is proposed based on these studies, and a prototype is developed to verify the design procedure. Our prototype in this paper deals with the general case where the impedance changes across the boundary of two transmission lines by dealing with uniform linewidth of the ring resonator. This results in smaller characteristic impedance for the strip-line portion. However, the study in this paper can be easily extended to other cases too, including the special case of changing the strip-line width such
0018-9480/$26.00 © 2011 IEEE
SUN et al.: MULTILAYER PLANAR TUNABLE FILTER WITH VERY WIDE TUNING BW
2865
Fig. 2. Schematic of the partially shielded split-ring resonator.
Fig. 1. (a) Top view and the (b) stack-up profile of the proposed partially shielded split-ring tunable filter structure.
that the characteristic impedances of the two portions are the same. In the last portion of this paper, we report a fully integrated tunable filter bank with three stacked tunable filters. Three filters are fabricated in a single printed circuit board (PCB) fabrication process to form a single filter bank to cover a combined tuning range of 6:1. II. THEORETICAL ANALYSIS A. Step-Impedance Split-Ring Resonator The resonator we employ in this development is the shielded split-ring resonator. The schematic of the resonator is shown in and indicate characteristic impedance and Fig. 2, where and indicate electrical length of the transmission lines. Due to the fact that we maintain the same physical linewidth for both microstrip and strip lines, the equivalent circuit of the structure becomes a more general step-impedance structure. Similar to the basic theory found, for example, in [19], when the odd mode resonances occur, the Plane of symmetry is short circuited, and the equivalent circuit for all odd modes, including the fundamental mode, can be derived in Fig. 3(a). Similarly, the equivalent circuit for all even-mode resonances can be derived by replacing the Plane of symmetry with an open circuit, and is shown in Fig. 3(b). The resonance condition for all the resonances is the input impedance looking into the Port is infinity, and the condition for this to happen at odd and even resonances are derived in (1) and (2) as follows, respectively, using transmission line theory: (1) (2)
Fig. 3. Equivalent circuit for all the odd resonant modes.
The characteristics of the resonator will be studied in Section II-B based on these two resonance conditions. One interesting fact that is worth noted is, while the characteristics in of the SIR depends on the impedance ratio previously published results [15]–[18], in our case the actual matters in addition to the impedance ratio. value of B. Characteristics of Step-Impedance Split-Ring Resonator For the purpose of studying the characteristic of this resis chosen onator, the tuning range is set to be 380–850 MHz, to be 80 , and the total length of the transmission line is chosen to be 65 . We will summarize the characteristic of the resonator with this setup first, and then summarize the effect of . varying the total length and the length of each To determine the impedance ratio portion of the transmission line, we first study the required capacitance for our tuning range versus the length . The required and to tune the resonator to the two capacitance extremes of the tuning range, i.e., 380 and 850 MHz, respectively, are solved using (1) and are plotted in Fig. 4 with dif, and the capacitance ratio ferent . The -axis is the ratio is also included in the plot. We can see that the capacitance value needed to achieve our tuning range decreases as increases; however, the ratio of is almost flat at 6 for all cases. For modern varactor technology, this ratio is very reasonable and commercially available. The resonance frequency of the first even spurious mode , and the first odd spurious mode are studied in
2866
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 4. Capacitance range to realize the tuning range from 380 to 850 MHz and . with Z
= 80
2( + ) = 65
Fig. 6. (a) Capacitance range and ratio and (b) the normalized spurious frequency, f , for the first even mode when the total length is varied.
Fig. 5. Normalized frequencies of: (a) the first even spurious mode f and (b) the first odd spurious mode f versus length ratio = at various impedance ratios K .
Fig. 5(a) and (b), respectively, for different length ratio . In the figure, the two spurious resonance frequencies are , which is the higher end of the frequency normalized to tuning range 850 MHz. Note that although is unaffected by , is because it is an odd mode. Hence, , shown in the is tuned to . This represents the lowest figure, is when possible when the resonator is operating within the tuning range. We can see that although they vary slightly with ,
. This is they are all approximately four times higher than because the fundamental mode of this resonator is significantly lowered by the capacitance . on the capacitor ratio and We have seen the influence of the spurious frequencies. However, these two characteristics are also affected by the total length of the resonator. In Fig. 6(a), we , but with different total show the capacitance ratio for . The required capacitance value increases as length the total length decreases. This is as expected since the transmission line portion acts as an inductive load for fundamental mode. The shorter the line, the smaller the inductance, and hence, the larger capacitance is needed. However, the capacitance ratio is decreasing with increasing total length. This can be useful if a high capacitance ratio varactor is not available while the required tuning range stays the same. In Fig. 6(b), we show the spurious frequency for the first even mode. The spurious frequency shifts to high frequency as the total length is reduced. Although only the even mode is shown, the odd mode spurious frequency follows the same trend providing a good first-order estimate of filter’s spurious-free operation range without full-wave simulations. III. SHIELDED PROTOTYPE In this section, a prototype of the partially shielded tunable filter is developed based on the design graph and method intro-
SUN et al.: MULTILAYER PLANAR TUNABLE FILTER WITH VERY WIDE TUNING BW
2867
Fig. 7. Layout of the shielded prototype.
Fig. 8. Coupling coefficient as the resonator is tuned to different center frequencies.
duced in Section III. Its performance is investigated and verified. Building on the success of this prototype, a stacking structure of multiple tunable filters will be introduced later. The prototype is developed using a 50-mil-thick substrate with 3.7 relative permittivity for both layers of substrates in to be 80 for a reasonable Fig. 1. To begin, we choose linewidth in the microstrip portion. Since for this prototype we want to keep the linewidth the same in both the microstrip and . Based strip line portion, the impedance ratio will be for realizing the tuning range of 380–850 MHz on Fig. 4, is estimated to be from 1.5 pF to about 11 pF. Based on Fig. 5, the first spurious mode is at about 3.1 GHz even for the worst is then chosen to be 0.39, case scenario. The length ratio creating an ample shielded area for additional stack-up filters in order to demonstrate the concept. Fig. 7 shows the layout of the shielded prototype. Positions of various surface mount components are also marked out by arand are varactors and are realized by mounting two rows. different GaAs varactor diodes side by side. is used to tune is tuned to maintain the center frequency of the filter, and is the impedance matching at different center frequencies. 100-pF chip capacitor used as a dc block, preventing the transmission line from shorting the two dc-bias voltages. The resistors is 470-k generic chip resistors from Panasonic. The huge reisolates the dc-biasing circuits from the RF signal. sistance of Although in the dc-bias path from the top bias pad to the bottom one there are two resistors, the current flowing through the resistors are the leakage current of the varactor diodes under reverse bias and is very small. Hence, the dc-bias voltage can be applied to the varactor diodes with reasonable voltage loss. The gap is chosen so that the two resonators are coupled through a suitable , coupling coefficient , where is defined as are the resonant frequency of the two zeros of while the coupled resonators [20]. The coupling coefficient versus different center frequencies of tunable filters is plotted in Fig. 8 with three different gap widths . In the developed prototype, is chosen to be 0.3 mm so that the FBW is about 6% in the center of the tuning range. The dimension of each part of the filter is, referring to the variable definition in Fig. 1, 9.6 mm for , 18.35 , 0.3 mm for coupling gap , and 25.1 mm for the mm for width of the top ground plane. The width of the transmission line
in the resonator is 1.2 mm, which results in 80.41- characteristic impedance for the microstrip line portion and 55.1 for the strip-line portion. The filtering performance of the prototype is shown in Fig. 9. , and Fig. 9(b) Fig. 9(a) shows the simulated and measured . The full-wave simulashows the simulated and measured tion results through this work are obtained by method-of-moments-based commercial software, Sonnet, together with lumped circuit models of varactor diodes. We can see that the two curves correspond to each other very well, except that the insertion loss varies from 1.3 to 12 pF, is slightly lower in simulation. As the center frequency of the filter is tuned from 849 to 378 MHz, which is pretty close to the design value. The corresponding value varies from 1.7 to 24 pF from the high to low end of the for tuning range. We can observe that as the center frequency is tuned, the transmission zero frequencies are also shifted and remain on both sides of the passband, which result in a faster cutoff rate and provide a desirable feature. The insertion loss of this prototype is about 2.7 dB at the lower end and 1.7 dB at the higher end of tuning range. The difference of the insertion loss at the two ends of the tuning range is related to the variation of fractional BW and varactor . As can be observed in the figure, the fractional BW varies across the tuning range and is narrowest at the lower end (5.7%) and widest at the higher end (7.5%). Furthermore, to achieve tuning to lower center frequency, a lower bias voltage needs to be applied, lowering the of the varactor. Fig. 10 shows of the filter from 0.2 to 4 GHz when tuned to 380 MHz. We can see that the spurious mode happens around 3.2 GHz, which corresponds with the prediction in the previous section very well, validating the accuracy and usefulness of analytical model. Linearity is an important metric because it shows how much the signal will be distorted when passing through the component. Since we introduce varactor components in the structure, the filter is expected to be nonlinear at certain input power, and its linearity performance is summarized in Fig. 11. The measured input and output 1-dB compression point (P1 dB) and input third-order intercept point (IIP3) of the constructed prototype are shown in Fig. 11(a) and (b), respectively. We can see a clear trend that the linearity is better at the higher end of the tuning range. This is because the varactor element tends to be more nonlinear at the low bias condition of the filter tuned to a
2868
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 9. Simulated and measured: (a) S split-ring tunable filter prototype.
and (b) S
of the partially shielded
Fig. 10. Wideband simulation of the partially shielded split-ring tunable filter prototype.
low center frequency. While the linearity of the developed tunable filter is limited by the nonlinearity of the GaAs varactor, the proposed filter topology is not limited to the use with semiconductor varactors and is expected to have much improved linearity when combined with more linear tuning elements such as microelectromechanical systems (MEMS) varactors [21]. Lastly, the photograph of the developed prototype is shown in Fig. 12. IV. TUNABLE FILTER BANK An electronic tunable filter with a wide tuning range exceeding over an octave is difficult to realize. We demonstrate in this sec-
Fig. 11. Measured: (a) P1 dB and (b) IIP3 of the developed prototype.
Fig. 12. Photograph of the developed partially shielded split-ring tunable filter prototype.
tion that the proposed novel structure of a partially shielded tunable filter is capable of achieving aggregated wider tuning range by stacking multiple tunable filters on top of each other. Since we have to accommodate the surface mounted components, the top ground plane will be smaller than the whole size of the filter. Hence, the filter with higher center frequency should be naturally stacked on top of that with lower center frequency. Three tunable filters, having tuning ranges of 100–200 MHz, 185–360 MHz, and 350–620 MHz, respectively, are stacked on top of each other, forming a compact tunable filter bank. There is a slight overlap of the tuning range of each tunable filter to seamlessly cover the entire tuning range from 100 to 620 MHz, corresponding to tuning range of more than 6:1.
SUN et al.: MULTILAYER PLANAR TUNABLE FILTER WITH VERY WIDE TUNING BW
2869
Fig. 13. 3-D view of the filter bank.
Fig. 13 shows the 3-D view of the three tunable filters that are stacked together. The filters are developed in one PCB fabrication process with multiple substrate and metal layers using a single substrate material. The substrate layers and ground planes have been rendered partially transparent for a clear view of the structure. Filter 1 is the filter for the lowest band from 100 to 200 MHz. A substrate of 62-mil thickness is used for both layers of the substrate for this tunable filter. Although the detailed layout is not shown, it is understood that the structure is similar to the shielded prototype shown in Fig. 7, and all the surface mount components are in place. The central part of the filter is shielded by another layer of substrate and the top ground plane. The tunable capacand are both realized by commercially available itance GaAs varactor diodes. The varactors are connected in parallel allowingtherealizationofcapacitanceupto20pFbeforethebiasing voltage drops below 4 V. This givesusbetter insertion loss and linearity since the loss and linearity of the varactor diode tends to be poor under low biasing condition. All other surface mounted components in this tunable filter are the same as those in the shielded prototype introduced in Section III. Filter 2 is the second tunable filter with tuning range from 185 and for this filter is realto 360 MHz. The capacitance ized the same way as in the first filter. Since the operating frequency is higher than that of the first filter and the capacitance is the same as the first filter, the transmission line part of the resonator is shorter. The whole structure can fit on the bottom ground plane that is the same size as the top ground plane of filter 1, just as shown in Fig. 13. Other surface mounted components are also the same, except the vertically mounted SMA connector due to the space constraint. For filter 3, since the center frequency of this filter, 350–620 MHz, is higher than the other two, less capacitance is needed for . Thus, Cr is realized with a single varactor diode, capable of tuning from 1.6 to 10 pF for the biasing range from 4 to 15 V. All other surface mounted parts are the same as the other two filters, and the structure of the filter is similar to the other two, except that since it is on the top most layer, no shielding is applied. and of the three Fig. 14 shows the measurement of stacked prototypes. We can see that the frequency range from 100 to 530 MHz is seamlessly covered with the three tunable filters combined together. The measured insertion losses of the three filters are quite consistent with each other, and vary from about 4.2 dB at the lower end of each individual tuning range to about 2.4 dB at the higher ends. This decreasing trend of insertion loss also agrees with the single tunable filter prototype
Fig. 14. Measured: (a) S bank.
and (b) S
of the three filters in the tunable filter
Fig. 15. Photograph of the developed tunable filter bank prototype.
developed earlier. Within the tuning range of each filter, the fractional BW also varies. The variation of the fractional BW is similar for filters 1 and 2. It is found to be from 4.6% to 6.4% from the lower end to higher end of the tuning range for filter 1 and 2, and from 5.5% to 6.2% for filter 3. Fig. 15 shows a photograph of the stacked prototype. We can see that for this prototype, the input and output of the three tunable filters are separated, but it is imaginable that with reasonable effort, a switching network can be realized to switch between the three filters and realize continuous tuning from 100 to 620 MHz.
2870
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
V. CONCLUSION In this paper, we introduced a partially shielded split-ring tunable filter structure suitable for stacking multiple filters. This allows us to create a compact tunable filter bank to achieve very wide tuning range. The characteristic of this shielded split-ring resonator is studied carefully in this paper, including the spurious resonances. Although the spurious resonance frequencies depend on the detail of the structure, a stopband range of 4:1 is predictable from the presented theoretical model. A prototype tunable filter is developed using the proposed partially shielded structure, and the filter characteristics, tuning range, and nonlinearity are investigated. With a single filter structure, we achieve 2.25:1 tuning range with reasonable insertion loss in the passband and the measured results agree closely with the simulation. The spurious free range of about 3.7:1 is verified in the full-wave simulation, and agrees well with the theoretical study in Section II. The insertion loss and the nonlinearity performance both improve as the center frequency is tuned to the higher end of the tuning range, and both are related to the characteristic of the varactor diodes under different bias condition. Based on the success of the single partially shielded structure prototype, a filter bank prototype consisting of three tunable filters stacking on top of each other using the same partially shielded structure is developed. The filter bank has low profile, and, while preserving performance similar to the single partially shielded tunable filter prototype, the combined tuning range of more than 6:1 is achieved.
[13] B. E. Carey-Smith, P. A. Warr, M. A. Beach, and T. Nesimoglu, “Wide tuning-range planar filters using lumped distributed coupled resonators,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 2, pp. 777–785, Feb. 2005. [14] K. Kawai, H. Okazaki, and S. Narahashi, “Center frequency, bandwidth, and transfer function tunable bandpass filter using ring resonator and J-inverter,” in Proc. Eur. Microw. Conf., 2009, pp. 1207–1210. [15] S.-Y. Lee and C.-M. Tsai, “New cross-coupled filter design using improved hairpin resonators,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 12, pp. 2482–2490, Dec. 2000. [16] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applicatioins. New York: Wiley, pp. 19–21. [17] M. Makimoto and S. Yamashita, “Compact bandpass filters using stepped impedance resonators,” Proc. IEEE, vol. 67, no. 1, pp. 16–19, Jan. 1979. [18] M. Makimoto and S. Yamashita, “Bandpass filters using parallel coupled stripline stepped impedance resonators,” IEEE Trans. Microw. Theory Tech., vol. MTT-28, no. 12, pp. 1413–1417, Dec. 1980. [19] D. M. Pozer, Microwave Engineering, 3rd ed. New York: Wiley, 2004. [20] M. Sagawa, K. Takahashi, and M. Makimoto, “Miniaturized hairpin resonator filters and their application to receiver front-end MIC’s,” IEEE Trans. Microw. Theory Tech., vol. 37, no. 12, pp. 1991–1997, Dec. 1989. [21] S.-J. Park, M. A. El-Tanani, I. Reines, and G. M. Rebeiz, “Low-loss 4–6-GHz tunable filter with 3-bit high- orthogonal bias RF-MEMS capacitance network,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 10, pp. 2348–2355, Oct. 2008.
Q
Jim S. Sun received the Bachelor degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 2006, the Master of Science degree from the University of California at Los Angeles (UCLA), in 2008, and is currently working toward the Ph.D. degree in electrical Engineering at UCLA. In 2008, he was a Summer Intern with Bell Laboratories, Alcatel-Lucent, Murray Hill, NJ.
REFERENCES [1] Y. Yuan, P. Bahl, R. Chandra, P. Chou, I. Farrel, T. Moscibroda, S. Narlanka, and Y. Wu, “KNOWS: Cognitive networking over white spaces,” in IEEE DySpan, 2007, pp. 416–427. [2] I. C. Hunter and J. D. Rhodes, “Electronically tunable microwave bandpass filters,” IEEE Trans. Microw. Theory Tech., vol. MTT-30, no. 9, pp. 1354–1360, Sep. 1982. [3] I. C. Hunter and J. D. Rhodes, “Varactor tuned microwave filters,” in IEEE MTT-S Int. Microw. Symp. Dig., 1982, pp. 399–401. [4] A. R. Brown and G. M. Rebeiz, “A varactor tuned RF filter,” IEEE Trans. Microw. Theory Tech., vol. 48, no. 7, pp. 1157–1160, Jul. 2000. [5] B.-W. Kim and S.-W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004. [6] M. Makimoto and M. Sagawa, “Varactor tuned bandpass filters using microstrip-line ring resonator,” in IEEE MTT-S Int. Microw. Symp. Dig., 1986, pp. 411–414. [7] K. Chang, S. Martin, F. Wang, and J. L. Klein, “On the study of microstrip ring and varactor-tuned ring circuits,” IEEE Trans. Microw. Theory Tech., vol. MTT-35, no. 12, pp. 1288–1295, Dec. 1987. [8] T. S. Martin, F. Wang, and K. Chang, “Theoretical and experimental investigation of novel varactor-tuned switchable microstrip ring resonator circuits,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 12, pp. 1733–1739, Dec. 1988. [9] S. Kumar, “Electronically tunable ring resonator microstrip and suspended-substrate filters,” Electron. Lett., vol. 27, no. 6, pp. 521–523, 1991. [10] S.-J. Park and G. M. Rebeiz, “Low-loss two-pole tunable filters with three different predefined bandwidth characteristics,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 5, pp. 1137–1148, May 2008. [11] M. A. El-Tanani and G. M. Rebeiz, “Corrugated microstrip coupled lines for constant absolute bandwidth tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 956–963, Apr. 2010. [12] K. Kawai, H. Okazaki, and S. Narahashi, “Center frequency and bandwidth tunable filter employing tunable comb-shaped transmission line resonators and J-inverter,” in Proc. Eur. Microw. Conf., 2006, pp. 649–652.
Noriaki Kaneda (S’98–M’00–SM’10) received the Ph.D. degree in electrical engineering from the University of California at Los Angeles (UCLA), in 2000. In 2000, he joined the Optical Networking Group, Lucent Technologies, Holmdel, NJ, as a Member of Technical Staff. Since 2007, he has been a Member of Technical Staff with the High-Speed Electronics Research Department, Bell Laboratories, Alcatel-Lucent, Murray Hill, NJ. He has been involved with advanced optical modulation formats including direct detection DPSK, and digital coherent QPSK for the high bit-rate optical transmission systems. His research interests include high-speed digital signal processing in optical transmission systems, advanced modulation formats for optical transmission systems, and microwave and millimeter-wave devices and antennas.
Yves Baeyens (S’87–M’96–SM’04–F’09) received the M.S. and Ph.D. degrees in electrical engineering from the Catholic University, Leuven, Belgium, in 1991 and 1997, respectively. His Ph.D. research was performed in cooperation with IMEC, Leuven, Belgium, and treated the design and optimization of coplanar InP-based dual-gate HEMT amplifiers operating up to the -band. He was a Visiting Scientist with the Fraunhofer Institute for Applied Physics, Freiburg, Germany, for a year and a half, and is currently a Technical Manager with the High-Speed Electronics Research Department, Alcatel-Lucent, Bell Laboratories, Murray Hill, NJ. He is also an Adjunct Professor with the Department of Electrical Engineering, Columbia University, New York, NY. His research interests include the design of mixed analog-digital circuits for ultrahigh-speed lightwave and millimeter-wave applications.
W
SUN et al.: MULTILAYER PLANAR TUNABLE FILTER WITH VERY WIDE TUNING BW
Tatsuo Itoh (S’69–M’69–SM’74–F’82–LF’06) received the Ph.D. degree in electrical engineering from the University of Illinois at Urbana-Champaign, in 1969. After working for the University of Illinois at Urbana-Champaign, SRI, and the University of Kentucky, he joined the faculty of the The University of Texas at Austin, in 1978, where he became a Professor of electrical engineering in 1981. In September 1983, he was selected to hold the Hayden Head Centennial Professorship of Engineering at The University of Texas. In January 1991, he joined the University of California at Los Angeles (UCLA), as a Professor of electrical engineering and Holder of the TRW Endowed Chair in Microwave and Millimeter Wave Electronics (currently Northrop Grumman Endowed Chair). He has authored or coauthored over 400 journal publications, 820 refereed conference presentations, and 48 books/book chapters in the area of microwaves, millimeter-waves, antennas, and numerical electromagnetics. He generated 70 Ph.D. students. Dr. Itoh is a member of the Institute of Electronics and Communication Engineers, Japan, and Commissions B and D of USNC/URSI. He was the editor of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES (1983–1985). He was president of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) in 1990. He was the editor-in-chief of the IEEE MICROWAVE AND GUIDED WAVE LETTERS (1991–1994). He was elected as an Honorary Life Member of the IEEE MTT-S in 1994. He was the chairman of Commission D of International URSI (1993–1996) and the chairman of Commission D of International URSI (1993–1996). He serves on advisory boards and committees of numerous organizations. He was a Distinguished Microwave Lecturer on “Microwave Applications of Metamaterial Structures”
2871
for the IEEE MTT-S (2004–2006). He has been the recipient of numerous awards including the 2000 IEEE Third Millennium Medal and the 2000 IEEE MTT-S Distinguished Educator Award. He was elected as a member of the National Academy of Engineering in 2003.
Young-Kai Chen (F’98) received the Ph.D. degree from Cornell University, Ithaca, NY, in 1988. He is currently the Director of High Speed Electronics and Optoelectronics Research, Bell Laboratories, Alcatel-Lucent, Murray Hill, NJ. From 1980 to 1985, he was a Member of Technical Staff with the Electronics Laboratory, General Electric Company, Syracuse, NY, where he was responsible for the design of silicon and GaAs monolithic microwave integrated circuits (MMICs) for phase-array applications. Since February 1988, he has been with Bell Laboratories, as a Member of Technical Staff. Since 1994, he has been the Director of High Speed Electronics Research. He was an Adjunct Associated Professor with Columbia University. He has contributed to over 100 technical papers. He has contributed to 15 patents in the field of high-frequency electronic devices, microwave integrated circuits, semiconductor lasers, and photonic integrated circuits on InP and silicon. His research interest is in high-speed semiconductor devices and circuits for wireless and optic fiber communications. Dr. Chen is a Bell Laboratories Fellow. He is a Fellow of the Optical Society of America (OSA). He is an elected member of the National Academy of Engineering. He was the recipient of the 2002 IEEE David Sarnoff Award.
2872
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
A Tunable Three-Pole 1.5–2.2-GHz Bandpass Filter With Bandwidth and Transmission Zero Control Yi-Chyun Chiou, Member, IEEE, and Gabriel M. Rebeiz, Fellow, IEEE
Abstract—This paper presents a three-pole tunable combline bandpass filter with center frequency, bandwidth, and zero control. The filter is designed on a Duroid substrate with and mil. A frequency range of 1.5–2.2 GHz with a 1-dB bandwidth tuning from 50 to 170 MHz (2.2%–11.2% fractional bandwidth) is achieved. The transmission zero can also be controlled, and a zero location of 1.37–1.64 GHz is demonstrated of 2.05 GHz. The measured third-order at center frequency intermodulation intercept point and 1-dB power compression point at midband (1.85 GHz) and a bandwidth of 110 MHz are 15 and 8 dBm, respectively. To our knowledge, this is the first three-pole combline tunable bandpass filter with center frequency, bandwidth, and transmission zero control.
= 10 2
= 25
Index Terms—Bandwidth tunable filter, combline filter, transmission zero control, tunable filter.
I. INTRODUCTION
D
UE TO their potential to significantly reduce the overall size and complexity of modern multiband communication systems, RF tunable filters are an active research topic. Among the different topologies used, the combline structure attracts a lot of attention due to its versatile characteristics, such as compactness, excellent stopband rejection, and ease of integration. Different technologies have also been used for the tunable components such as: 1) silicon, and GaAs varactor diodes; 2) p-i-n diodes; 3) ferroelectric diode; and 4) RF microelectromechanical systems (RF-MEMS) devices [1]–[9]. In [3], a tunable combline filter with plural transmission zeroes and source-to-load and multiresonators coupling was realized. Reines et al. demonstrated a 1.6–2.4-GHz RF-MEMS tunable combline filter using RF-MEMS [4]. The suspended structure was utilized to achieve a high- resonator and a low insertion loss. To equalize the even- and odd-mode phase velocity, a microstrip corrugated coupled line was developed to achieve a tunable bandpass filter with constant absolute bandwidth [5], [6]. The above-mentioned combline filters have only tunable center frequency control.
Manuscript received May 24, 2011; revised July 22, 2011; accepted July 29, 2011. Date of publication September 15, 2011; date of current version November 16, 2011. This work was supported in part by the University of California at San Diego (UCSD) Center for Wireless Communications/University of California (UC) Discovery Program, and by the Defense Advanced Research Projects Agency (DARPA) Microstystems Technology Office (MTO) Analog Signal Processor (ASP) Program under a subcontract from Rockwell Collins. The authors are with the Electrical and Computer Engineering Department, University of California at San Diego (UCSD), La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2164619
Fig. 1. Three-pole combline tunable bandpass filter with center frequency, bandwidth, and zero control.
Recently, combline filters with tunable center frequency and tunable bandwidth were published [7]–[9]. A UHF tunable bandpass filter was built with the use of a coupling reducer for bandwidth control [7]. Abunjaileh et al. also presented a tunable filter design with adjustable bandwidth and center frequency [8]. In [9], Joshi et al. demonstrated a highfully reconfigurable tunable bandpass filter. Note that most microstrip combline filters only have transmission zeroes in the higher stopband, and this leads to an asymmetrical transmission response and poor selectivity in the lower band. This paper presents the first three-pole combline filter with tunable center frequency, bandwidth, and transmission zero. The proposed filter topology also allows for the design of tunable filters with multiple poles. II. FILTER DESIGN The tunable filter consists of three straight short-ended res, for center frequency tuning onators with varactor diodes, , located between two adja(Fig. 1). Two pairs of diodes, cent resonators are used for bandwidth control. The other two , placed at input and output (I/O) matching networks diodes, are utilized to generate an additional tunable zero in the lower band. A parallel-line structure is selected as the external coupling structure to achieve impedance matching across a wide tuning range without the need of separate tunable components. The I/O matching networks and center frequency tuning technique have been discussed in [5] and [6] and are not repeated in the following sections.
0018-9480/$26.00 © 2011 IEEE
CHIOU AND REBEIZ: TUNABLE THREE-POLE 1.5–2.2-GHz BANDPASS FILTER
2873
Fig. 2. (a) Two coupled resonators. (b) Their equivalent circuit.
A. Coupled-Resonator Mechanism Fig. 2 presents the coupled-resonator section of the tunable combline filter and its lumped equivalent model. In this case, and are the equivalent capacitors of the resonator and varactor , respectively [11]. Each resonator is network with magnetic and elecmodeled using a parallel tric coupling, respectively. The two-port -parameters can be derived as follows: (1) (2)
Fig. 3. (a) Equivalent-circuit model of adjacent resonators in Fig. 1. (b) Even(top) and odd-mode (bottom) model of (a).
, , , and . The coefficient and represent magnetic and electric coupling between two resonators, respectively. The two natural freand , can be determined by enforcing the deterquencies, minant of the -matrix to zero (3a)
, , and and represent the and , respectively. Note that tunable capacitance value of and represent the even- and odd-mode characteristic admittance of the coupled lines, , , and , where for the ease of analysis. The and , can be, even- and odd-mode resonance frequency, respectively, solved by (5) and (6) [see Fig. 3(b)]
(3b)
(5)
where
The coupling coefficient
,
,
can be obtained as (6)
(4) The approximate condition in (4) occurs as can be controlled by properly tuning and .
. Obviously,
B. Bandwidth Control Fig. 3(a) presents the exact equivalent-circuit model of adand [11]. A short transjacent resonators with diodes connecting the two resonators is included mission line and has an important role in bandwidth control. In this circuit,
where (7a) (7b) The filter bandwidth estimation is given by (8) and (9) and is (8) (9)
2874
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 5. (a) Equivalent-circuit model of the I/O network. (b) Zero location versus C for various L values.
Fig. 4. Simulated filter bandwidth versus C for different C values: (a) with and without transmission line. (b) Filter bandwidth with different L and (c) different L .
where is the fractional bandwidth, ( ) is the value represents of the low-pass prototype, and the center frequency of the coupled-resonator. and are, respectively, 1.0316 and 1.1474, corresponding to the Chebeshev response with ripples of 0.1 dB. Fig. 4(a) presents the simulated filter bandwidth versus for different values ( pF for a center frequency of 2.2–1.5 GHz). The filter bandwidth is shown with mm and without mm the short transmission mm, the bandwidth control is very narrow line. For and depends on the value of , and is only 10–20 MHz when pF. The change in bandwidth control with the connecting transmission line is due to a fundamental aspect in filter design, . At different center frequencies (i.e., namely, (4) with different loading), the electrical position of the bandwidth changes ( is physically fixed, but electricontrol capacitor cally variable), which results in a varying inter-resonator capacitive coupling value , and therefore leads to different bandwidth
tuning characteristics with respect to the resonant frequency. pF GHz , is a longer For example, for electrical length and creates a larger , which results in lower inter-resonator coupling, , and a smaller bandwidth tuning. In is 2.29–2.275 GHz and is fixed at 2.27 GHz this case, is 0.3–0.4 pF. The change of is only 0.8%–0.22%. For as pF GHz , however, a smaller is obtained due to the shorter electrical length of , and a larger tuning bandwidth is achieved. To tackle this problem, an inductive element composed of a short transmission line, , is used to create a controlled magnetic coupling and this counteracts the effect of the varying pF, beinter-resonator capacitive coupling. For pF and corresponds comes 2.43–2.28 GHz for to a of 7.2%–0.8%. Therefore, the inductive element does result in a larger tuning range. As shown in Fig. 4(a) with mm and mm, the filter can achieve a bandwidth tuning range of 50–170 MHz for all values of . A study of the bandwidth tuning is now done with the transmission-line section. Fig. 4(b) presents the bandwidth tuning and mm, where is fixed at range with 0.85 mm. Both possess a wide tuning range from 25 to 170 MHz. and Fig. 4(c) presents the bandwidth tuning with mm and mm. One can observe that as demm recreases, the tuning range decreases, and an sults in a filter bandwidth of 25–200 MHz. C. Zero Creation and Tuning For conventional combline bandpass filters, a lack of a transmission zero in the lower band results in poor roll-off and an asymmetrical response. To solve this, an additional zero is created with an associated tuning circuit. Fig. 5(a) shows the equivalent model of the I/O network consists of a section of transmis-
CHIOU AND REBEIZ: TUNABLE THREE-POLE 1.5–2.2-GHz BANDPASS FILTER
2875
Fig. 6. Photograph of the tunable bandpass filter.
TABLE I DIMENSIONS FOR FABRICATED FILTER (DIMENSIONS ARE IN MILLIMETERS), " : ,H W W , REFER TO FIG. 1 FOR NOTATION mil, W
= 10 2
= 25
=
=
sion line shunted with a varactor diode, , and input transformer of coupling structure . of this network , where is terminacan be written as , where , tion impedance, , and (10a)
Fig. 7. Simulated frequency responses of bandpass filter with tunable center frequency.
(10b) III. MEASUREMENT (10c) A. Filter With Center Frequency Tuning By enforcing , the zero location is solved. Fig. 5(b) with difpresents the transmission zero frequency versus ferent values of . As expected, the zero location decreases or increases. as either D. Filter Design The filter is designed on RT/Duroid 6010 with and mil with an overall size of 11.5 15.7 mm (Fig. 6, Table I). A full-wave electromagnetic simulator, SONNET [15], is employed for the final filter simulation. The filter employs three different varactor diodes: a high-performance GaAs diode pF, , at 2 GHz for MA46H201 ( pF) is selected as for frequency tuning [12], while economical silicon varactor diodes SMV2019 and SMV1234, which do not contribute much to filter loss, are chosen as ( pF, , at 2 GHz for pF) and ( pF, , at pF) for controlling the bandwidth and zero 2 GHz for location, respectively [13], [14]. of the shorted resonator is The simulated unloaded 170–190 at 1.5–2.2 GHz, which implies the filter loss is entirely with a of 55–82 at 1.5–2.2 GHz. Mudominated by the rata 0402 lumped components are also used for bypass capacitors and biasing resistors. The filter is simulated with 32 internal ports, and all diode and lumped-element components are included in the overall simulation using Agilent-ADS [17].
Figs. 7 and 8 present the simulated and measured frequency response with center frequency tuning. The 1-dB absolute band10 MHz, while the center frewidth is maintained as 160 quency is tuned from 1.5 to 2.2 GHz with a good impedance matching. Since two transmission zeros are created at the lower and upper stopband, the filter possesses a symmetrical response. Measurements agree well with simulations as shown in Fig. 9, and only 1.5, 1.85, and 2.2 GHz are shown for clarity. The measured insertion loss at 1.5–2.2 GHz varies from 5.1 to 3.2 dB for a bandwidth of 160 10 MHz. The insertion loss is related to of the resonator as [10] the (dB)
(11)
and represent the fractional bandwidth and where unloaded quality factors of the resonator corresponding to , which is evaluated at center frequency. To validate that the loss , one example is presented. For is entirely dominated by GHz, the of the transmission-line the filter with resonator and are, respectively, 170 and 55 so that the is 40. Using (6), the simulated insertion loss of a overall three-pole 0.1-dB Chebyshev bandpass filter with 8% fractional bandwidth is 4.5 dB and agrees well with measurements. At GHz, the of is 82.5 and the overall filter is 56. For the same filter specification, the simulated insertion loss is 3.2 dB, which also agrees well with measurements.
2876
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 8. Measured frequency responses of the three-pole bandpass filter with tunable center frequency. The bandwidth is set to 170 MHz across the entire band.
Fig. 10. Measured S with bandwidth control at a center frequency. (a) 1.5 GHz. (b) 1.8 GHz. (c) 2.2 GHz.
Fig. 9. Simulated and measured S of 160 10 MHz.
6
at 1.5, 1.85, and 2.2 GHz with bandwidth
B. Bandwidth and Transmission Zero Control The filter bandwidth can also be tuned using the inter-res. Fig. 10(a)–(c) shows the meaonator coupling varactor, sured tunable bandpass filter with bandwidth control 1.5, 1.8, and 2.2 GHz. The bandwidth can be adjusted from 50 affects the odd-mode to 170 MHz for all cases. Since resonance of the coupled lines, only the upper band-edge is changed as the bandwidth is tuned. Note that both the voltage and can both be adjusted at the same time to keep the on center frequency constant. One can observe that a lower center frequency or a smaller bandwidth results in higher passband GHz, the insertion loss, as expected (Fig. 11). At
loss varies from 3.1 to 6.5 dB as the bandwidth changes from 170 to 40 MHz. The insertion loss is high for narrow bandwidth due to the limited of the filter (40–55 for all frequencies). with transmission zero Fig. 12 presents the measured control at 2.05 GHz. The zero location can be controlled from is tuned from 10 to 4 V. Note that the 1.64 to 1.37 when change in the zero location does not influence the filter bandwidth. The zero significantly improves the selectivity in the lower band, and results in a symmetrical frequency response. It can also be used to suppress undesired interferers. C. Linearity and Power Handling The measured input third-order intercept point (IIP3) values versus different filter settings are shown in Fig. 13. The IIP3 increases when the center frequency or filter bandwidth increases since the linearity is limited by the – curve of the varactor diodes , and any decrease in the fractional bandwidth will . The increase the external and the voltage swing across IIP3 at 1.85-GHz range from 11.0 to 15.6 dBm as the bandwidth
CHIOU AND REBEIZ: TUNABLE THREE-POLE 1.5–2.2-GHz BANDPASS FILTER
2877
Fig. 11. Measured and simulated insertion loss versus center frequency with different bandwidths.
Fig. 14. Measured S at 1.5 and 2.2 GHz for a bandwidth of: (a) 170 MHz and (b) 110 MHz with different input powers.
Fig. 12. Measured S
with transmission zero control at 2 GHz.
6–9.5 dB and most of the RF power is dissipated in the varactor diode series resistance. The filter cannot handle more than 5 dBm when all frequencies are considered. IV. CONCLUSION
Fig. 13. Measured IIP3 versus frequency with various bandwidth.
This paper has presented a 1.5–2.2-GHz three-pole tunable filter with bandwidth and transmission-zero control. The pro3.5 bandwidth variance at any posed filter can provide a frequency, and therefore, can achieve a constant absolute bandwidth over the tuning range. The zero creation and tuning significantly also improves the lower band selectivity and response symmetry. Measurement shows that the filter insertion loss is limited by the varactor . In the future, RF-MEMS switched capacitors can be used to result in a significant improvement in insertion loss, power handling, and linearity [4], [6], [16]. This topology is scalable to multipole design and can find many applications due to its tuning flexibility. ACKNOWLEDGMENT
varies from 50 to 170 MHz. For a bandwidth of 170 MHz, the IIP3 is 20.5 1.5 dBm at 1.5–2.2 GHz. Fig. 14 presents the measured power handing at a filter bandwidth of 170 and 110 MHz. As the RF power is increased, varactors self-bias and result in a capacitance increase, the which in turn changes the filter response [5]. At a bandwidth of 50 MHz (not shown), the filter can handle 4–10 dBm at –2.2 GHz; however, as shown in Fig. 10, the loss is
The authors would like to thank Modelithics Inc., Tampa, FL, for the simulation model, and the Rogers Corporation, Rogers, CT, for the low-loss substrate. REFERENCES [1] B.-W. Kim and S.-W. Yun, “Varactor-tuned combline bandpass filter using step-impedance microstrip lines,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 4, pp. 1279–1283, Apr. 2004.
2878
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
[2] J. Nath, D. Ghosh, J.-P. Maria, A. I. Kingon, W. Fathelbab, P. D. Franzon, and M. B. Steer, “An electronically tunable microstrip bandpass filter using thin-film barium–strontium–titanate (BST) Varactors,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 9, pp. 2707–2712, Sep. 2005. [3] M. Sanchez-Renedo, “High-selectivity tunable planar combline filter with source/load-multiresonators coupling,” IEEE Microw. Wireless Compon. Lett., vol. 17, no. 7, pp. 513–515, Jul. 2007. [4] I. Reines, A. Brown, M. El-Tanani, A. Grichner, and G. M. Rebeiz, “1.6–2.4 GHz RF-MEMS tunable 3-pole suspended combline filter,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, pp. 133–136. [5] M. A. El-Tanani and G. M. Rebeiz, “Corrugated microstrip coupled lines for constant absolute bandwidth tunable filters,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 956–963, Apr. 2010. [6] M. A. El-Tanani and G. M. Rebeiz, “High performance 1.5–2.5 GHz RF MEMS tunable filters for wireless applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 6, pp. 1629–1637, Jun. 2010. [7] M. Sanchez-Renedo, M. Gomez-Garcia, J. I. Alonso, and C. BrisoRodriguez, “Tunable combline filter with continuous control of center frequency and bandwidth,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 1, pp. 191–963, Jan. 2005. [8] A. I. Abunjaileh and I. C. Hunter, “Tunable bandpass and bandstop filters based on dual-band combline structures,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3710–3719, Dec. 2010. [9] H. Joshi, H. H. Sigmarsson, S. Moon, D. Peroulis, and W. J. Chappell, “High- fully reconfigurable tunable bandpass filter,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 12, pp. 3525–3533, Dec. 2009. [10] J.-S. Hong and M. J. Lancaster, Microstrip Filters for RF/Microwave Applications. New York: Wiley, 2001. [11] G. L. Matthaei, L. Young, and E. M. T. Jones, Microwave Filters Impedance-Matching Networks, and Coupling Structures. Norwood, MA: Artech House, 1980. [12] “M/A-COM MA46H201 data sheet,” M/A-COM, Lowell, MA, 2006. [13] “Skyworks SMV2019—SMV2023 data sheet,” Skyworks Solutions, Sunnyvale, CA, 2010. [14] “Skyworks SMV123x data sheet,” Skyworks Solutions, Sunnyvale, CA, 2010. [15] SONNET. ver. 10.52, Sonnett Softw., North Syracuse, NY, 2006. [16] G. M. Rebeiz, K. Entesari, I. Reines, S.-J. Park, M. A. El-Tanani, A. Grichener, and A. R. Brown, “Tuning in to RF MEMS,” IEEE Microw. Mag., vol. 10, no. 5, pp. 55–71, Oct. 2009. [17] Advanced Design System (ADS). Agilent Technol. Inc., Santa Rosa, CA, 2010.
Q
Yi-Chyun Chiou (M’08) received the M.S. degree in electronic engineering from Feng Chia University, Taichung, Taiwan, in 2003, and the Ph.D. degree in communication engineering from National Chiao Tung University (NCTU), Hsinchu, Taiwan, in 2008. From 2003 to 2004, he was a Lecturer with the Nan Kai University of Technology, Nanto, Taiwan. From 2008 to 2009, he was an Assistant Researcher and Adjunct Assistant Professor with the Institute of Communication Engineering, NCTU. He is currently a Postdoctoral Fellowship with the
Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla. His research interests include the design of planar millimeter-wave antennas and RF modules. Dr. Chiou is a member of the Editorial Review Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES and the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. He was the corecipient of the 2007 Asia–Pacific Microwave Conference (APMC) Prize, Bangkok, Thailand, and the 2009 Excellent Postdoctoral Research Abroad Program, National Science Council, Taiwan.
Gabriel M. Rebeiz (S’86–M’88–SM’93–F’97) received the Ph.D. degree from the California Institute of Technology, Pasadena. He is currently a Professor of electrical and computer engineering with the University of California at San Diego (UCSD), La Jolla. Prior to this appointment, he was with The University of Michigan at Ann Arbor, from 1988 to 2004. He has contributed to planar millimeter-wave and terahertz antennas and imaging arrays from 1988 to 1996, and his group has optimized the dielectric-lens antennas, which is the most widely used antenna at millimeter-wave and terahertz frequencies. His group also developed 6–18- and 40–50-GHz eight- and 16-element phased arrays on a single silicon chip, and the first millimeter-wave silicon passive imager chip at 85–105 GHz. His group also demonstrated high- RF-MEMS 200) and the new angular-based RF-MEMS tunable filters at 1–6 GHz ( capacitive and metal-contact switches. As a consultant, he helped develop the USM/ViaSat 24-GHz single-chip SiGe automotive radar, phased arrays -, and -band for defense and commercial applications, operating at -, the RFMD RF-MEMS switch, and the Agilent RF-MEMS switch. He has graduated 42 Ph.D. students. He is the Director of the UCSD/Defense Advanced Research Projects Agency (DARPA) Center on RF MEMS Reliability and Design Fundamentals. He currently leads a group of 20 Ph.D. students and three Post-Doctoral Fellows in the area of millimeter-wave RFICs, microwaves circuits, RF-MEMS, planar millimeter-wave antennas, and terahertz systems. He authored RF MEMS: Theory, Design and Technology (Wiley, 2003). Prof. Rebeiz has been an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He has been a Distinguished Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) and the IEEE Antennas and Propagation Society (IEEE AP-S). He is a National Science Foundation (NSF) Presidential Young Investigator, an URSI Koga Gold Medal Recipient, the 2003 IEEE MTT-S Distinguished Young Engineer, the 2000 IEEE MTT-S Microwave Prize, the 2010 IEEE MTT-S Distinguished Educator Award, the 2011 IEEE AP-S John D. Kraus Award, the 1998 Eta Kappa Nu Professor of the Year Award, the 1998 Amoco Teaching Award given to the best undergraduate teacher at The University of Michigan at Ann Arbor, and the 2008 Teacher of the Year Award of the Jacobs School of Engineering, UCSD. His students have also been the recipients of a total of 19 Best Paper Awards of IEEE MTT-S, RFIC, and AP-S conferences.
Q>
X Ku
W
Q
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2879
A 40-Gb/s Full-Rate 2:1 MUX in 0.18-m CMOS Ahmad Yazdi, Member, IEEE, and Michael M. Green, Member, IEEE
Abstract—This paper demonstrates high-speed design techniques that enable realization of a full-rate broadband serializer operating at 40 Gb/s using a 0.18- m CMOS process. Bandwidth enhancement techniques, including shunt-peaking and multipole bandwidth enhancement, have been incorporated in the different high-speed blocks in the serializer. A dynamic retiming circuit capable of clocked 40-GHz operation is presented, which reduces the periodic jitter at the serial output. A low-power distributed buffer with unequal characteristic impedances in the gate line and drain line is designed as a 40-Gb/s output buffer. A method for generating a differential 40-GHz clock using two coupled 20-GHz oscillators with a “push–push” topology is also presented. An injection-locked divider based on a four-stage ring oscillator with four injection points has been designed for generating a 10-GHz clock signal. Index Terms—CMOS, differential push–push voltage-controlled oscillator (VCO), distributed buffer, dynamic retimer, injectionlocked divider, multiplexer (MUX), select circuit.
I. INTRODUCTION Fig. 1. 40-Gb/s 2:1 chip block diagram.
S
ERIAL DATA communication systems are operating at throughputs up to 40 Gb/s. Until now, communications integrated circuits (ICs) operating at such high speeds have required the use of specialized high-speed technologies, such as GaAs, InP, or SiGe [1]–[3]. Aggressive technology scaling in CMOS processes, however, bring a number of advantages over these compound semiconductor technologies. In particular, CMOS technology exhibits a high integration density, thereby making it possible to design many building blocks on the same die. This enables economical system-on-a-chip solutions for high-performance circuits. Despite all these advantages, one major concern, namely lower unity-gain frequency, makes CMOS particularly challenging for use in high-speed IC design. Many bandwidth enhancement techniques have been developed to push the operating speed of CMOS circuits near the unity-gain frequency limit. Recently, several researchers have designed various building blocks of a 40-Gb/s transceiver in CMOS technology. A 40-Gb/s half-rate 2:1 multiplexer (MUX) and 1:2 de-multiplexer (DEMUX) incorporating shunt and series inductive peaking were designed and fabricated in a Manuscript received February 17, 2011; revised July 25, 2011; accepted July 29, 2011. Date of publication September 26, 2011; date of current version November 16, 2011. A. Yazdi was with the Department of Electrical and Computer Science, University of California at Irvine, Irvine, CA 92697-2625 USA. He is now with the Broadcom Corporation, Irvine, CA 92617 USA (e-mail: yazdi.ahmad@gmail. edu). M. M. Green is with the Department of Electrical and Computer Science, University of California at Irvine, Irvine, CA 92697-2625 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2165849
120-nm CMOS technology [4]. In [5], a 40-Gb/s amplifier and electrostatic discharge (ESD) protection circuit were implemented in a 0.18- m CMOS process, featuring shunt and series peaking. A 4:1 MUX using distributed amplification techniques was reported in [6]. More recently, a 40-Gb/s transceiver in 0.13- m CMOS was reported in [7]. In this paper, which is an expanded version of that presented in [8], we describe the design techniques used to design a 40-Gb/s 2:1 MUX and 40-GHz frequency synthesizer in 0.18- m CMOS. In Section II, an overview of CMOS broadbanding techniques is given. In Section III, the circuit designs for a full-rate 2:1 MUX and output driver are discussed. The 40-GHz clock multiplying unit utilizing a differential push–push voltage-controlled oscillator (VCO) is presented in Section IV. The experimental results are reported in Section V. II. MUX TREE STRUCTURE AND BW ENHANCEMENT TECHNIQUES A data MUX is a key block in high-speed data communication systems. In recent years, 40-Gb/s systems have been developed to increase transmission capacities. The chip block diagram of a 2:1 full-rate serializer is shown in Fig. 1. The two 20-Gb/s input data signals are retimed by conventional current mode logic (CML) D flip-flops (DFFs). One 20-Gb/s output is also retimed by a CML latch to provide half clock period (25 ns) delay between the two inputs to the select circuit to avoid glitches in the 40-Gb/s select circuit output [9]. Furthermore, the 40-Gb/s select circuit output is retimed to reduce periodic jitter (PJ) from the 40-Gb/s output. Finally, a 40-Gb/s buffer derives the 50off-chip load.
0018-9480/$26.00 © 2011 IEEE
2880
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Wireline devices such as MUX/DEMUX operating at 10–40-Gb/s require circuit architectures that operate over a broad range of frequency, from nearly dc to 30 GHz. A 0.18- m CMOS n-channel MOSFET, used in this design, for example, of 40 GHz. A simple single-stage common-source has amplifier using this same technology will have a gain-bandwidth product of 15–18 GHz. This is too low for sufficiently large output swing and low jitter at 40-Gb/s operation. Some well-known bandwidth extension techniques suitable for broadband circuits are shunt-peaking [10], multipole bandwidth enhancement, or series-peaking [11], and distributed amplification [12]. All these enhancement techniques use inductors as a key element for increasing the operating speed. The performance and effectiveness of these techniques depend on characteristics of the on-chip spiral inductors. In the shunt-peaking technique, the inductor is placed in series with the loading resistor, in which case the effective parasitic resistance of the inductor is negligible compared to the load resistance. A special case of multipole bandwidth enhancement, shown in Fig. 2(a) [11], where the load is purely capacitive, is referred to as series peaking, which is sensitive to the inductor factor. In Fig. 2(b), the frequency response of a common-source amplifier using this technique is depicted with different values of inductor quality factor . Distributed amplification is another bandwidth enhancement technique that employs a topology in which the inductors or transmission lines separate the gain stages, yet the output currents from individual stages combine in additive fashion [12], [13]. In this technique, the low quality factor of the inductors cause signal attenuation at high frequencies and limit the number of stages that can be realized. All of these bandwidth enhancement techniques are effective only if the self-resonant frequency (SRF) of the inductors/parasitic capacitor combination is higher than the signal bit rate. The inductors are designed in six-metal layer CMOS technology. The important characteristics of this technology related to the inductor design are listed in Table I. The inductors with ’s of approximately 11 are designed in this process.
Fig. 2. (a) Small-signal model of a common-source amplifier with multipole bandwidth extension technique. (b) Frequency response of the common-source C and amplifier with multipole bandwidth extension technique with C L : nH for different value of inductor quality factor.
=
=15
TABLE I PROCESS SUMMARY FOR INDUCTOR DESIGN
III. CIRCUIT DESIGN FOR FULL-RATE 2:1 MUX A. Master–Slave Flip-Flop and Select Circuit Fig. 3 shows a master–slave D flip-flop [9] suitable for retiming up to 20 Gb/s, where shunt peaking is used to increase the speed. Such a conventional DFF is edge-triggered so that the clock duty-cycle distortion will not contribute deterministic jitter to the output data. In addition, the deterministic jitter due to mismatches in the previous blocks will be eliminated by the retimer. The 20-Gb/s data outputs from two of these retimers will be multiplexed by the half-rate select circuit shown in Fig. 4, and similar to that used in [10], includes a number of bandwidth enhancement techniques [15]. First, shunt-peaking inducare inserted in series with the load resistors. Even tors after incorporating inductive peaking, this select circuit still suffers from limited bandwidth due to the slow rise and fall times of the internal nodes. In order to enhance the speed of the current switching in the clock transistors, series-peaking inductors were inserted in series with the drains of transistors , thereby
Fig. 3. DFF and latch for up to the 20-Gb/s retiming.
separating the charging and discharging of the drain capacsource capacitances. itances and the As mentioned earlier, the shunt peaking is insensitive to the inductor , but its SRF must be higher than 20 GHz in order to accommodate 40-Gb/s output signals. From simulations it was found that the SRF of a center-tap inductor, shown in Fig. 5(a), designed for optimum shunt peaking, falls below 20 GHz. Thus,
YAZDI AND GREEN: 40-Gb/s FULL-RATE 2:1 MUX IN 0.18- m CMOS
2881
Fig. 6. Dynamic retimer operating at 40 Gb/s.
Fig. 4. 40-Gb/s select circuit.
Fig. 5. (a) Center-tap inductor. (b) Stacked inductor.
instead a pair of individual stacked inductor structures, one of which is shown in Fig. 5(b) and realized using the top two metal layers, was used [14]. The SRF of these stacked shunt-peaked inductors was found to be higher than 60 GHz and the area used by these two inductors is almost equal to that of the Fig. 5(a) center-tap inductor. B. Dynamic Retimer As shown in Fig. 1, the select circuit output is timed by a 20-GHz half-rate clock. Any duty-cycle distortion in the clock signal will result in PJ in the output data. To alleviate this problem, the output of the select circuit should be retimed by a full-rate clock. In a conventional serializer, a retimer is normally realized by a conventional DFF. However, even with all the bandwidth enhancement techniques implemented, such a circuit is not fast enough in this process to perform retiming on the full-rate 40-Gb/s data signals. Consequently, for retiming at 40 GHz, a new approach is needed, described as follows. Fig. 6 shows a novel CML-based retiming circuit that operates at 40 Gb/s. This circuit relies on transferring input data to nodes and holding this data on the parasitic for 12.5 ps. When the clock goes high, the capacitances and both begin to master section turns off and nodes . If there were no shunt-peaking present, the float toward amount of time that the data could be held at these nodes would time constant. On the other hand, the longer simply be the
Fig. 7. Illustration of retiming operation. (a) No overshoot. (b) Large overshoot.
time constant that would be needed to hold the data for 12.5 ps would also imply lower bandwidth, making the output transition time too slow. Since each CML section is turned off during one clock cycle, in order to simultaneously satisfy the requirements for fast transition and long enough hold time a large amount of shunt peaking—larger than what would normally be used and to maximize the speed—is used at nodes nodes. Fig. 7(a) illustrates the retimer circuit’s behavior with little or . When the clock goes high, no peaking present at nodes and decreases toward zero the difference between . As illustrated in Fig. 7(b), since both nodes float toward to hold the logic level long the overshoot allows nodes enough until the next clock cycle. This behavior is realized by choosing the value of the inductor such that the ringing frequency coincides with the baud rate of 20 GHz. Since the retimer is clocked by the 40-GHz clock, each CML section will always turn off at the peak value of the output. To verify the optimum inductor value, a dynamic retimer has been simulated to retime a 20-Gb/s data rate, where and fF. A 48-ps pulse is applied to the dynamic retimer. Fig. 8 shows the retimer pulse response for three different inductor values. The load impedance of the network with a ringing frequency retimer output is an . By plugging the and of values into this equation, can be chosen to set this frenH, the output pulsewidth quency to baud rate. For
2882
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 8. Dynamic retimer output for different inductor values.
Fig. 10. (a) Input applied to retimer with PJ. (b) Retimer output.
Fig. 9. (a) Retimer output eye without L
. (b) Retimer output eye with L
.
is almost 50 ps, which is equivalent to 0 PJ at the output. Series peaking is not appropriate here since that would effectively split up the load capacitance, thereby allowing these nodes to float totoo quickly. ward Another essential aspect of the retimer is to have fast current switching between the master and slave. As in the select circuit, was used to realize faster current series-peaking inductor switching. In Fig. 9(a) and (b), the retimer output eye diagram , respectively, are shown ( has been without and with included for both cases). This comparison shows the improve. In particular, it is ment in the eye diagram that results from
clear that the output transition time is made significantly shorter due to faster current switching. To verify proper functionality of the retiming circuit, it is necessary to observe its response to input signals that exhibit PJ and duty-cycle distortion. Fig. 10(a) shows a periodic 0101 pattern applied to the retimer input. This waveform contains 2-ps PJ—i.e., the signal is high for 27 ps and low for 23 ps. Fig. 10(b) shows the corresponding simulated retimer output, where the PJ is reduced to only 0.6 ps. Thus, the retiming is effective. In this circuit, the inductor was realized using the fully symmetric four-port structure shown in Fig. 11. Such a structure makes more use of mutual coupling than single-ended inductors, thereby resulting in higher and smaller area. This inductor works best when the currents are balanced; any significant common-mode components of the currents will reduce the effective inductance value. Due to the negligible common-mode drain currents, the differential four-port component of the in the Fig. 6 dynamic reinductor can be properly used for timer circuit. This is not the case for the Fig. 4 select circuit, which is why a pair of single-ended inductors were used in that circuit to realize the series peaking. In the design of the dynamic and are 86 m 87 m retimer, the dimensions of and 77 m 71 m, respectively. C. 40-Gb/s 50-
Output Driver
The output of the 40-Gb/s retimer has to be buffered in order to drive the 50- external terminations. Designing a 50-
YAZDI AND GREEN: 40-Gb/s FULL-RATE 2:1 MUX IN 0.18- m CMOS
2883
Fig. 13. Output eye diagram at the nominal process corner and 60 C.
Fig. 11. Four-port differential inductor structure.
Fig. 14. CMU block diagram.
Fig. 12. Proposed distributed 50- output driver.
output buffer with sufficient bandwidth is one of the major challenges in 0.18- m technology. The CML buffer transistor sizes are constrained by the retimer driving capability, as well as the 50- back termination. In order to have a high enough amplitude and low jitter, the CML buffer transistors following the retimer should be small enough in order to present a sufficiently low capacitance. Given this fact, the four-stage distributed buffer shown in Fig. 12 was used. In this circuit, an open-drain CML buffer drives the input gate line with 100characteristic impedance. The open drain gives an interface between the lumped retimer and distributed buffer [6]. By choosing 100- characteristic impedance in the gate line, less current is required in the open-drain buffer for the desired voltage amplitude, thereby reducing the power dissipation. To optimize the performance of the distributed circuit, each tap point on the gate and drain line should be matched to its corresponding characteristic impedance to have the maximum bandwidth. The drain line is terminated to the 50- output through off-chip coupling capacitors. These off-chip coupling
are large enough to pass through sufficiently capacitors long sequences of consecutive 0 or 1 s. is used As shown in Fig. 12, a shunt-peaking inductor in the open-drain CML buffer to further extend the bandwidth. is used for both shunt peaking of the openIn other words, drain CML buffer and impedance matching at node 1. By inserting this inductor, the intersymbol interference (ISI) is reduced by 30%. In order to achieve the highest possible SRF and , single-ended octagonal inductor structures were used for both the gate and drain lines. The supply voltage of this distributed buffer was 2.5 V, which results a higher swing and better ISI at the output. Fig. 13 shows the simulated output eye at nominal process corner and nominal temperature. In this design, the are 85 m 85 m, 50 m 50 m, sizes of , , and and 60 m 55 m, respectively. IV. 40-GHz CLOCK MULTIPLYING UNIT Fig. 14 shows the block diagram of the Type-II phase-locked loop (PLL) used in this circuit. It uses a standard architecture consisting of a VCO, phase detector, charge pump, low-pass filter, and divider. The PLL generates an output clock at a frequency 32 times that of the low-frequency input reference clock. Directly generating a 40-GHz clock is a major challenge in 0.18- m technology and using the conventional cross-coupled VCO is not a good choice due to the very small inductor that would be required. In addition, designing a 40-GHz clock divider is challenging in 0.18- m technology. For these two reasons, the push–push structure is used. In such a structure, a pair
2884
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 17. 20-GHz dynamic divider with quadrature clock inputs.
Fig. 15. 40-GHz differential push–push VCO.
Fig. 16. Inductively loaded tuned buffer.
of balanced signals with half-rate frequency is combined so that the fundamental signal and odd harmonics cancel, while and other the second harmonic at the full-rate frequency even harmonics add constructively. Most push–push VCOs are implemented to extract the second harmonic signal from a virtual ground where the antiphase fundamental signals cancel out [17]–[20] and generate a single-ended clock frequency at [20], [21]. In order to generate a differential clock signal at , a differential push–push VCO, shown in Fig. 15, that uses a quadrature VCO (QVCO) was implemented. As shown in VCOs generate quadrature clock sigFig. 15, two coupled with the resonant frequency set nals at half-rate frequency by and the varactor capacitance . The currents and are summed at node X; the fundamental and odd harare canceled, while the even harmonics, monics of , are reinforced. Likewise, currents and particularly are summed at node Y, resulting in a second harmonic current that has the opposite phase of . Due of to the fact that clock signals in Fig. 15 at have 90 phase difference, the resulting voltages at nodes 1 and 2 have 180 phase difference at . Most push–push VCOs in the literature [17]–[21] are reported as standalone blocks and use passive components, such as on-chip transmission lines, to sum the currents. The push–push VCO implemented in the frequency synthesizer
Fig. 18. Sensitivity curves for 20-GHz divider with two- and four-phase inputs.
Fig. 19. Die photograph.
within a transceiver requires a high-amplitude clock at . For this reason, inductors and were chosen in order to
YAZDI AND GREEN: 40-Gb/s FULL-RATE 2:1 MUX IN 0.18- m CMOS
2885
Fig. 20. Measurement setup.
satisfy two constraints simultaneously in the presence of load : First, the impedance at at nodes X and capacitance Y should be minimized in order to allow optimum operation at nodes of the coupled VCOs. Second, the impedance at 1 and 2 should be maximized in order to attain the largest possible voltage amplitude. The phase noise of the clock at is 6 dB higher than that at [22]. Due to the fact that the 40-GHz clock is directly generated from the 20-GHz clock in the push–push VCO, there will also always be a fixed phase relationship between them. This is another advantage of the push–push VCO in which the phase relationship between 20and 40-GHz clocks exhibits no variation over PVT, thereby making the overall MUX more robust compared to the use of a 40-GHz VCO and clock divider. The generated 20- and 40-GHz clock signals are buffered by tuned CML stages, shown in Fig. 16, which resonate at the appropriate oscillation frequency. Frequency dividers are crucial circuits that are employed in PLLs. Since the push–push VCO already provides both 40- and 20-GHz clock signals, a 40-GHz clock divider is not necessary, as illustrated in Fig. 14. Moreover, the phase between these 40and 20-GHz clocks does not change with PVT, making the retimer timing much more robust. The frequency divider realized by a DFF with negative feedback is often used in broadband circuits [9]. Such a divider exhibits a large locking range and is commonly used for input frequencies up to 10 GHz [23], [24]. For higher frequencies, the large capacitance of the cross-coupled transistors prevents correct operation of the divider. For this reason, an injection-locked frequency divider has been incorporated in the design for 20-GHz operation [24]. Fig. 17 shows the 20-GHz dynamic divider used in this circuit, which consists of a ring oscillator with the full-rate clock injected at all four delay cells. It is similar to the one reported in [24], except that it is driven by four phases of the input clock rather than two. These four injecting points of this divider are driven directly by the four single-ended outputs of the QVCO
described in this section, spaced 90 apart. The resulting improvement is verified in Fig. 18, which shows the simulated sensitivity curves of a dynamic divider with two full-rate phases applied compared with the Fig. 17 divider with four phases applied. The sensitivity curve of the divider does shift over PVT, but simulations verify it still locks over the entire frequency range of the VCO. The other frequency dividers, charge-pump, and phase-frequency detector circuits use conventional circuit topologies. Inductors are an essential part of nearly all of the building blocks in the transmitter design; they are needed for bandwidth enhancement as well as resonators. Proper modeling of these inductors up to 50 GHz is essential for accurate 40-Gb/s simulation. For this reason, the electromagnetic (EM) simulation package IE3D [16] was used for generating -parameter data up to 50 GHz for each inductor used in circuit. From this data, a passive lumped model was generated for circuit simulation. V. MEASUREMENT RESULTS The 40-Gb/s 2:1 MUX was fabricated using Jazz Semiconductor 0.18- m CMOS technology. A die micrograph is shown in Fig. 19. To perform the measurements, a two-layer test-board . was fabricated with a 5-mil-thick Rogers 5880 panel The top layer of the board is used for high-speed and dc traces; the bottom layer is used for coupling capacitors and the loop filter. The traces are made by 1-oz copper with gold plating. The dies were stud bumped with 50- m gold bumps and then flip-chip mounted to the board. The flip-chip assembly allows smaller parasitics from chip pads to the board. The measurement setup is shown in Fig. 20. An Anritsu MP1800A pattern generator provides two channels, each with 10-Gb/s data. Two high-frequency splitters are used to create an additional replica from each original channel. The resulting four channels are fed to an Anritsu MP1803A 40-Gb/s 4:1 MUX. Since channels 1 and 2 are identical and channels 3 and 4 are identical, the 4:1 MUX output will have an effective data rate of 20 Gb/s. A
2886
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 21. Measured 40-Gb/s eye diagram. Fig. 23. 40-Gb/s retimer effect on PJ.
TABLE II CHIP SUMMARY
Fig. 22. Free-running VCO phase noise.
second pair of high-frequency splitters is then used to replicate each single-ended 20-Gb/s output. One channel is delayed by exactly 1 UI (50 ps) using a SEMPLEX MFR 60637 phase shifter. Finally, the two 20-Gb/s random data signals are applied to the inputs of the test chip. The 40-Gb/s output was measured with an Agilent 86100 digital communication analyzer (DCA) triggered by a 625-MHz reference clock. This reference clock, along with the 10- and 40-GHz clocks using for synchronizing the MP1800A and MP1803A, respectively, are generated by an Anritsu MG3696B frequency synthesizer. The 40-Gb/s output single-ended eye diagram, measured by an Agilent 86100 DCA, is shown in Fig. 21. The eye diagram has 241-mV vertical eye opening with random jitter of 900-fs rms. The phase noise of the 20-GHz free-running VCO, measured by an Agilent spectrum analyzer E4448A, is 101.33 dBc/Hz at 1-MHz offset, as shown in Fig. 22. A 40-Gb/s BERT measurement system was not available; to verify the correct output data sequence, another measurement was performed where one input channel was held at logic 1 and the other was held at logic 0. To observe the operation of the dynamic retimer, this same 40-Gb/s output data sequence was measured with retimer first enabled and then disabled, shown in
upper and lower waveforms, respectively, of Fig. 23. Enabling the retimer improves the PJ from 600 to 350 fs. The chip draws 345-mA current from the 1.8-V supply and 62 mA from 2.5-V power supply. The total power dissipation of the chip is 776 mW, of which 185 mW is consumed by the 50- output buffers. The die size is 2 1.5 mm and includes 58 inductors. The chip performance is summarized in Table II. VI. CONCLUSION A full-rate 40-Gb/s 2:1 MUX was fabricated and measured in 0.18- m CMOS technology. By design of a 40-Gb/s dynamic retimer, the duty-cycle distortion has been reduced from 40-Gb/s output data and a full-rate transmission was achieved. Also by use of a distributed output buffer, a good eye opening is achieved at the 50- off-chip load. A differential 40-GHz push–push VCO was incorporated in the CMU. Low power consumption, the lack of a 40-GHz clock divider, and robustness of the MUX timing are among the many advantages that are achieved by this. A 20-GHz ring oscillator-based injectionlocked divider is realized to divide down the VCO output. ACKNOWLEDGMENT The authors would like to thank Jazz Semiconductor, Newport Beach, CA, for fabricating the chip. They are also grateful to the Broadcom Corporation, Irvine, CA, and Prof. H. Hashemi’s research laboratory at the University of Southern California, Los Angeles, for providing the test equipment.
YAZDI AND GREEN: 40-Gb/s FULL-RATE 2:1 MUX IN 0.18- m CMOS
REFERENCES [1] T. Suzuki, T. Takahashi, K. Makiyarna, K. Sawada, Y. Nakasha, T. Hirose, and M. Takikawa, “Under 0.5 W 50 Gb/s full-rate 4:1 MUX and 1:4 DEMUX in 0.13 m InP HEMT technology,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2004, pp. 234–235. [2] J. P. Mattia, R. Pullela, G. Georgieu, Y. Baeyens, H. S. Tsai, Y. K. Chen, C. Dorschky, V. Winkler, T. Mohrenfels, M. Reinhold, C. Groepper, M. Sokolich, L. Nguyen, and W. Stanchina, “High-speed multiplexers: A 50 Gb/s 4:1 MUX in InP HBT technology,” in Proc. IEEE Gallium Arsenide Integr. Circuit Symp., Oct. 1999, pp. 189–192. [3] M. Meghelli, A. V. Rylyakov, and L. Shan, “50 Gb/s SiGe BiCMOS 4:1 multiplexer and 1:4 demultiplexer for serial communication systems,” IEEE J. Solid-State Circuits, vol. 37, no. 12, pp. 1790–1794, Dec. 2002. [4] D. Kehrer, H. D. Wohlmuth, H. Knapp, M. Wurzer, and A. L. Scholtz, “40 Gb/s 2:1 multiplexer and 1:2 demultiplexer in 120 nm standard CMOS,” IEEE J. Solid-State Circuits, vol. 38, no. 11, pp. 1830–1837, Nov. 2003. [5] S. Galal and B. Razavi, “40 Gb/s amplifier and ESD protection circuit in 0.18 m CMOS technology,” IEEE J. Solid-State Circuits, vol. 39, no. 12, pp. 2389–2396, Dec. 2004. [6] U. Singh, L. Li, and M. M. Green, “A 34 Gb/s distributed 2:1 MUX and CMU using 0.18 m CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 9, pp. 2067–2076, Sep. 2006. [7] J. K. Kim, J. Kim, G. Kim, H. Chi, and D. K. Jeong, “A 40 Gb/s transceiver in 0.13 m CMOS technology,” in VLSI Circuits Symp. Tech. Dig., Jun. 2008, pp. 196–197. [8] A. Yazdi and M. M. Green, “A 40 Gb/s full-rate 2:1 MUX in 0.18 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 362–363. [9] B. Razavi, Design of Integrated Circuits for Optical Communications, 1st ed. New York: McGraw-Hill, 2004. [10] T. H. Lee, The Design of CMOS Radio-Frequency Integrated Circuits, 2nd ed. Cambridge, U.K.: Cambridge Unive. Press, 2004. [11] B. Analui and A. Hajimiri, “Bandwidth enhancement for transimpedance amplifier,” IEEE J. Solid-State Circuits, vol. 39, no. 8, pp. 1263–1270, Aug. 2004. [12] H. T. Ahn and D. J. Allstot, “A 0.5–8.5 GHz fully differential CMOS distributed amplifier,” IEEE J. Solid-States Circuits, vol. 37, no. 8, pp. 985–993, Aug. 2004. [13] B. Beyer, S. N. Prasad, R. C. Becker, J. E. Nordman, and G. K. Hohenwarter, “MESFET distributed amplifier design guide lines,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 3, pp. 268–275, Mar. 1984. [14] A. Zolfaghari, A. Chen, and B. Razavi, “Stacked inductors and transformers in CMOS technology,” IEEE J. Solid-State Circuits, vol. 36, no. 4, pp. 620–628, Apr. 2001. [15] J. Lee, “High-speed circuit designs for transmitters in broadband data links,” IEEE J. Solid-State Circuits, vol. 41, no. 5, pp. 1004–1015, May 2006. [16] IE3D. Mentor Graphics, Wilsonville, OR, 2007. [Online]. Available: www.mentor.com [17] O. Lee et al., “A 60 GHz push–push InGaP HBT VCO with dynamic frequency divider,” IEEE Microw. Wireless Compon. Lett., vol. 15, no. 10, pp. 679–681, Oct. 2005. [18] K. W. Kobayashi et al., “A 108 GHz InP-HBT monolithic push–push VCO with low phase noise and wide tuning bandwidth,” IEEE J. SolidState Circuits, vol. 34, no. 9, pp. 1225–1232, Sep. 1999.
2887
[19] Y. Baeyens and Y. K. Chen, “A monolithic integrated 150 GHz SiGe HBT push–push VCO with simultaneous V -band outputs,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, vol. 2, pp. 877–880. [20] P. C. Huang, M. D. Tsai, and H. Wang, “A 114 GHz VCO in 0.13 m CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2005, vol. 1, pp. 404–405. [21] P. C. Huan et al., “A 131 GHz push–push VCO in 90 nm CMOS technology,” in RFIC Symp. Tech. Dig., 2005, pp. 613–616. [22] A. Yazdi and M. M. Green, “A 40 GHz differential push–push VCO in 0.18 m CMOS for serial communication,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 11, pp. 725–727, Nov. 2009. [23] R. Mohanavelu and P. Heydari, “A novel ultra high-speed flip-flopbased frequency divider,” in IEEE Int. Circuits Syst. Symp., May 2004, vol. 4, pp. 169–172. [24] U. Singh and M. M. Green, “High-frequency CML clock dividers in 0.13 m CMOS operating up to 38 GHz,” IEEE J. Solid-State Circuits, vol. 40, no. 8, pp. 1658–1661, Aug. 2005. Ahmad Yazdi (S’03–M’07) was born in Tehran, Iran, in 1978. He received the B.Sc. degree from Sharif University of Technology, Tehran, Iran, in 2001, the M.S. degree from the University of Southern California, Los Angeles, in 2003, and the Ph.D. degree from the University of California at Irvine, in 2008, all in electrical engineering. He is currently a Senior Staff Scientist with the Broadcom Corporation, Irvine, CA. His research interest includes design of analog, mixed-signal, and RF integrated circuits for wireless applications. He has authored or coauthored 15 papers in technical journals and conferences. He holds three patents.
Michael M. Green (S’89–M’91) received the B.S. degree in electrical engineering from the University of California at Berkeley, in 1984, and the M.S. and Ph.D. degrees in electrical engineering from the University of California at Los Angeles, in 1988 and 1991, respectively. Since 1997, he has been with the Department of Electrical Engineering and Computer Science, University of California at Irvine, where he is currently a Professor and Chair. From 1999 to 2001, he was an Integrated Circuit (IC) Designer with the Optical Transport Group, Broadcom Corporation (formerly Newport Communications). He has authored or coauthored over 80 papers in technical journals. He holds three patents. His current research interests include the design of analog and mixed-signal ICs for use in high-speed broadband communication networks and nonlinear circuit theory. Dr. Green has served as an associate editor for the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, and the IEEE TRANSACTIONS ON EDUCATION. He was the recipient of the 1994 Guillemin–Cauer Award of the IEEE Circuits and Systems Society, the 1994 IEEE W. R. G. Baker Award, a 1994 National Science Foundation (NSF) National Young Investigator Award, and the Award for New Technical Concepts in Electrical Engineering from IEEE Region 1.
2888
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
A CMOS Power Amplifier With IntegratedPassive-Device Spiral-Shaped Directional Coupler for Mobile UHF RFID Reader Sunbo Shim, Student Member, IEEE, and Songcheol Hong, Member, IEEE
Abstract—A CMOS power amplifier (PA) with a compact spiral-shaped directional coupler for a mobile UHF RF identification (RFID) reader is proposed here, and its output power combiner and the directional coupler are implemented using an integrated passive device process. The two-chip solution not only enables a CMOS PA to be highly efficient, but also allows the directional coupler and the power combiner to be mounted in a compact standard package. A polar transmitter is implemented using the CMOS PA with the directional coupler to verify the operation of the proposed configuration for a UHF RFID reader. Measurements indicate that the CMOS PA with the directional coupler transmits 27.3 dBm of output with 44.6% of power-added efficiency and that the implemented polar transmitter satisfies the required UHF RFID reader specifications. Index Terms—CMOS power amplifier (PA), directional coupler, integrated passive device (IPD), polar transmitter, power combiner, RF identification (RFID).
I. INTRODUCTION
M
OBILE UHF RF identification (RFID) systems, which cover the frequency range from 860 to 960 MHz, utilize electromagnetic (EM) waves to transfer and receive data between a tag and a reader for identification. The integrated reader in a mobile terminal first activates passive tags, detects backscattered signals from the tags, and then recognizes the properties of the objects that contain the tags. One of the essential issues of mobile UHF RFID is to integrate the reader system in a single-chip using a CMOS process. CMOS technology enables reader system integration with high yield, low power consumption, and low manufacturing cost. Fig. 1 shows a conventional UHF RFID reader front-end system that has the direct-conversion transceiver structure. According to previous papers [1]–[3], it is possible to integrate a large portion of a UHF RFID reader system in a single CMOS chip, excluding an antenna, a power amplifier (PA), and a circulator. Manuscript received March 10, 2011; revised July 27, 2011; accepted August 05, 2011. Date of publication September 26, 2011; date of current version November 16, 2011. This work was supported in part by the National Research Foundation of Korea (NRF) under the Basic Science Research Program funded by the Ministry of Education, Science and Technology R11-2005-02904001-0 (2009) through the Intelligent Radio Engineering Center, and by Samsung Electro-Mechanics. The authors are with the School of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon 305-701, Korea (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2165962
Fig. 1. Conventional UHF RFID reader RF front-end.
Although improved performances of CMOS PAs have recently been reported in the literature [12], [16], it is still unavoidable that a fully integrated PA using standard CMOS technology undergoes a degradation of power-added efficiency (PAE) due to the low quality factor of on-chip inductors or transformers, which are fabricated on a moderately conductive silicon substrate, especially when those passive components are used for output impedance matching circuits. Typically, in the case of a UHF RFID reader, an external PA based on a compound semiconductor process, such as InGaP/GaAs, has been used in the form of a discrete module. A circulator, which is a three-port microwave component and contains ferrite material with high magnetic permeability, is usually expensive and bulky. It is known that a circulator can be substituted with a directional coupler, which is fabricated on a relatively inexpensive printed circuit board (PCB), for use in UHF RFID reader applications. Nonetheless, its size is still too large to be integrated in a compact package. In this paper, we propose a spiral-shaped directional coupler in a compact size using an integrated passive device (IPD) process on a highlyvresistive substrate. An IPD directional coupler for a UHF RFID reader was first introduced in [6] with notable isolation at 912 MHz using lumped components, but that configuration suffers from insertion loss higher than 1.1 dB. The compact directional coupler proposed in this work demonstrates relatively low insertion loss and sufficient isolation through the frequency range of interest for UHF RFID reader applications. Additionally, a power combiner for a CMOS PA is also implemented on the same IPD substrate, and it combines the output power from two pairs of a differential PA and performs an impedance transformation from low impedance to 50 . The proposed RF front-end architecture for a UHF RFID reader is shown in Fig. 2. It is revealed that the overall PAE of a CMOS PA can be improved by designing the
0018-9480/$26.00 © 2011 IEEE
SHIM AND HONG: CMOS PA WITH IPD SPIRAL-SHAPED DIRECTIONAL COUPLER FOR MOBILE UHF RFID READER
2889
by the addition of the coupling factor of the directional couand the minimally detectable power at the antenna pler because the coupling factor, which has notation, acts like insertion loss in the receiver link chain. Unless the receiver sensitivity limits the communication range between a tag and reader, the following inequality should be fulfilled: Fig. 2. Proposed UHF RFID reader RF front-end.
(dBm)
(dBm)
(dB) Sensitivity (1)
The receiver sensitivity can be obtained using the following equation: Sensitivity(dBm) Fig. 3. Conventional parallel-coupled directional coupler.
output matching components using the IPD process [8]–[11]. The designed module, including the CMOS PA and the compact directional coupler, is applied to a polar transmitter for a UHF RFID reader, as described in [11] and [12], for the further improvement of power efficiency of the overall transmitter. This paper is organized as follows. The specifications of a directional coupler for UHF RFID readers are examined in Section II. Section III describes the proposed spiral-shaped directional coupler. Section IV covers the circuit implementation of the transmitter and the CMOS PA for mobile UHF RFID readers. Section V presents a single chip that includes the proposed directional coupler and the power combiner for a CMOS PA on an IPD substrate. Measurement results are discussed in Section VI. Section VII concludes this paper. II. SPECIFICATIONS OF DIRECTIONAL COUPLER FOR UHF RFID READER Fig. 3 shows the diagram of a conventional directional coupler. It is a four-port component with input, direct, coupled, and isolated ports. Usually, it is applied to a UHF RFID reader with the input port connected to the output of a PA, the direct port connected to an antenna, the isolated port connected to the input of a receiver, and the coupled port connected to a 50- resistor. To be adopted in UHF RFID reader applications, the following parameters should be considered for a directional coupler: coupling factor, insertion loss, and isolation. The coupling factor of a directional coupler in an RFID reader is related to the required noise figure of the receiver and the insertion loss of the transmitter between the PA and antenna. According to the link budget analysis in [1], the minimally detectable power level at a reader antenna is about 53 dBm for the recognition distance of 1 m between a tag and a reader when the one-tone output power is 27 dBm. Since the link loss in the air for 1 m range is about 31 dB, the received power at a tag is about 4 dBm, which is much higher than the sensitivity of a state-of-the-art tag ( 18.5 dBm) [5]. The output power of the modulated backscattering signal from a tag is 22 dBm, provided that the tag antenna gain is 2 dBi and the reflected power from a tag antenna is only 1%. The minimally detectable power at the receiver input of a UHF mobile RFID reader is calculated
(2)
It is assumed that the noise bandwidth of the receiver is 120 kHz at the data rate of 40 kb/s and that the minimum is 11.6 dB for the bit required signal-to-noise ratio error rate of 0.001% [1], [15]. The reported performance in previous literature for UHF RFID readers [1]–[4] shows that the noise figure of the receiver chain at the normal mode is about 32–39 dB. Consequently, the coupling factor, , should be more than 19.6 dB, according to the worst case estimation of the noise figure at a receiver. However, as the coupled power of a directional coupler is increased, the insertion loss from the input port to the direct port through the forward path is also increased. For example, in the case of 15-dB coupling, the minimum insertion loss is 0.14 dB because at least 3.16% of the total power is delivered to the coupled port if no power is detected at the isolated port. When the coupling factor is 10 dB, the minimum insertion loss becomes 0.46 dB. In practice, ohmic loss through metal lines, capacitive coupling to the substrate, and the nonzero isolation of a directional coupler increases the insertion loss. Thus, taking account of the tradeoff between the required noise figure at the receiver and the insertion loss at the transmitter, we determine the targeted coupling factor of the directional coupler to be from 16 to 18 dB. To decrease the insertion loss, it is desirable to lower the coupling factor of a directional coupler so long as the required noise figure of the receiver is not so tight to meet the sensitivity specification of a UHF RFID reader. The high isolation of a directional coupler for a UHF RFID reader is necessary for the suppression of transmitter power leakage into the receiver. In the case of a passive RFID system, a reader should send a continuous-wave (CW) signal to power-up passive tags. If the isolation performance of the directional coupler is limited, some portion of the transmitted CW signal is directly leaked to the receiver of the reader and causes the saturation of the receiver as a self-jammer, which is usually called blocking. For this reason, the RFID receiver circuit is generally point for normal mode operation designed to have a high (the listen-before-talking mode operation will not be dealt with in this study). This can be achieved by bypassing a low-noise amplifier (LNA) and utilizing a highly linear down-conversion mixer, such as a passive mixer. According to the implementation of the receiver for the UHF RFID reader in [1], it is possible . to design a receiver circuit with 8 dBm of Based on the linearity analysis in [4], it is recommended to of the receiver at least 6 dB larger than the power make the
2890
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 4. Layers of IPD process [8].
of the self-jammer so as to prevent the receiver from desensitization or blocking conditions. Therefore, if the output power of the PA is 27 dBm, the maximum allowable power level at the input port of the receiver against the blocking condition caused by self-jamming is 2 dBm and the required isolation of the directional coupler for the UHF RFID reader should be higher than 25 dB for the entire UHF RFID band. III. SPIRAL-SHAPED DIRECTIONAL COUPLER When a directional coupler is implemented on PCB in the form of two parallel-coupled microstrip lines, as shown in Fig. 3, it becomes too bulky, as large as a quarter-wavelength line, which has the magnitude of several centimeters. To make a small-sized directional coupler, one can choose microfabrication technology, instead of fabrication on PCB. The IPD process, which is a specialized microfabrication technology for building passive circuit elements, can be a good candidate approach to implement a compact directional coupler. Fig. 4 shows the layer stack-up of the IPD process, as provided by the Samsung Electro-Mechanics Corporation [8]. It features a thicker top metal layer than that of the commercial CMOS process, and has a 10- m thickness of copper and a highly resistive silicon substrate. A spirally wound metal line on a highly resistive substrate can obtain a targeted inductance with shorter length than that on a conductive substrate due to the reduction of the eddy current. Besides, the occupied area of a directional coupler can be dramatically reduced by changing its form from a parallel shape to a spiral shape. Fig. 5 illustrates the layout diagram and circuit symbol of the proposed directional coupler. Its structure is derived from a transmission-line transformer with two spirally coupled lines. The design procedure is as follows. First, two metal lines interwound with a small space between the primary side and the secondary side are placed and the -parameters are extracted by EM simulation. Second, a capacitor, , is inserted between the two ports of the secondary side. Next, an additional capacitor, , is inserted between the two ports of the primary side to form an LC tank. Finally, additional shunt capacitors ( and ) for impedance matching are placed, as shown in Fig. 5(b). attached between the isolated port A large capacitor and coupled port at the secondary side increases its effective electrical length with much shorter physical length than [17], and enhances the isolation from the input port to the isolation port at the secondary side, whereas only a relatively small amount of the power at the coupled port is reduced. Note that the direction of the directivity is reversed, i.e., to forward-wave coupling, by the addition of the large capacitor at the secondary
Fig. 5. Proposed spiral-shaped directional coupler. (a) Simplified layout. (b) Schematic symbol.
side, compared with the direction of the isolated port and the coupled port of the conventional directional coupler, which is based on backward-wave coupling, as shown in Fig. 3. Fig. 6 shows the shift of the coupling and the isolation with . Although the frerespect to the variation of the capacitor quency at the null point is not, strictly speaking, inversely proportional to the capacitor value, its tendency implies that the lowest point of the isolation moves down to low frequencies as the capacitor value is increased, contrary to only a small change of the coupling. Fig. 7 shows the lumped circuit model of the proposed directional coupler. The spirally oupled lines are considered to be equivalent to the low-order model of the 1:1 transformer. The total coupling coefficient of the transformer is 0.6. Other parameters extracted from the lumped-circuit modeling are shown in Table I. at the primary side The placement of the capacitor between the input port and direct port inserts a zero in the frequency response, aiming for notch filtering of the second harmonic component of the fundamental frequency, which
SHIM AND HONG: CMOS PA WITH IPD SPIRAL-SHAPED DIRECTIONAL COUPLER FOR MOBILE UHF RFID READER
Fig. 6. Simulated coupling and isolation with respect to the variation of capacitor value (C ) of the proposed spiral-shaped directional coupler.
2891
Fig. 8. Second harmonic rejection by a zero insertion. (a) Part of the forward path in schematic symbol of the proposed directional coupler and its equivalent circuit. (b) Notch filtering effect.
Fig. 9.
S -parameter at the input (or direct) port of the directional coupler.
Fig. 7. Lumped model of the spiral-shaped directional coupler.
TABLE I PARAMETERS FROM EXTRACTION OF LUMPED-CIRCUIT MODEL
Fig. 10. Polar transmitter architecture for UHF RFID reader.
will be discussed in detail in Section V. The induced inductance through the main line (input-direct) and the capacitor performs a parallel LC tank to reject a certain frequency component, as described in Fig. 8. are used for precise Additional capacitors at the input port and the diimpedance matching to 50 rect port, as depicted in Fig. 5(b). The impedance of the input and , port (or direct port) approaches 50 after placing as shown in Fig. 9. The insertion loss was improved by 0.1 dB and according to the simulation. after the placement of Note that -parameter at the input port and the direct port of the designed directional coupler are almost the same due to the
symmetric structure of the directional coupler. The capacitance values of , , and are much smaller than that of , and do not make substantial effects on the isolation. The direction of each port in the layout is determined through the consideration of the actual placement of a CMOS transceiver chip and the directional coupler. IV. TRANSMITTER AND CMOS PA A. Polar Transmitter Architecture for UHF RFID Reader In a typical mobile UHF RFID reader system, direct up-conversion transmitter architecture with in-phase/quadrature (I/Q) modulation is prevalently used to support amplitude shift keying (ASK) as a modulation scheme. However, power efficiency degradation is inevitable due to the usage of a highly linear PA. Such degradation causes a serious problem by reducing the
2892
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 11. Schematic of CMOS PA.
battery life of a mobile handset terminal containing an RFID reader chip. Polar transmitter architecture adopting a nonlinear switchmode PA for a UHF RFID reader, which is proposed in [12], has some advantages over direct up-conversion transmitter architecture. First, it is able to transmit the output power more efficiently. Generally, a switch-mode PA is more power efficient than a linear PA because it is optimally designed to transmit an output power at a saturated level. Fig. 10 shows the polar transmitter architecture that is applicable to a mobile UHF RFID reader. The utilization of a switch-mode PA in the polar transmitter contributes to the improvement of power efficiency of the overall RFID reader transmitter. In particular, the enhancement of efficiency is maximized when a transmitter provides a one-tone CW signal for a long period of up to a few hundreds of microseconds to activate passive tags between baseband signals, such as “select” and “query” commands since a switch-mode PA can deliver a saturated output power with a better efficiency than a linear PA. An additional advantage of polar transmitter architecture is that it simplifies the circuit constitution of a transmitter. As shown in Fig. 10, the raw data to be transmitted is pulse shaped at an analog low-pass filter before the bias modulation of PA so that the output spectrum of the PA can be controlled not to violate the spectral mask regulation. If the direct up-conversion transmitter structure with I/Q modulation is employed for a UHF RFID reader, the occupied area on a die should be increased to contain two digital-to-analog converters, two mixers, and an additional analog low-pass filter. B. Switch-Mode CMOS PA Fig. 11 illustrates the overall schematic diagram of the designed CMOS PA. The PA core chip including the input balun, driver stage, and power stage is designed using a 0.18- m RF
CMOS process. The power stage consists of two pairs of differential cascode amplifiers. The amplified differential signals of each pair are summed up in an in-phase manner at a power combiner, which is fabricated in an IPD process. The on-chip input balun converts the single-ended signal from an external instrument, for example, an Agilent E4438C signal generator, into differential signals for a measurement. It can be excluded in actual implementation of the overall CMOS transceiver chip because the carrier signal is provided from other circuit blocks on a die, such as a local oscillator or a phase-locked loop, as a form of differential signals. The driver stage is comprised of three stages of driver amplifiers. The inverter-like driver amplifier has a feedback resistor between the input and output for the stable operation of the rail-to-rail voltage swing. Each driver amplifier is self-biased and GND to almost the center point between the both at the input and the output by means of determining the proper size ratio of nMOS and pMOS transistors. More stages can be augmented to further increase power driving into the power stage. DC blocking capacitors are not attached between the stages of the driver amplifiers to save the area that would otherwise be occupied by metal–insulator–metal (MIM) capacitors on a die. The power stage consists of two pairs of differential amplifiers with cascode configuration by stacking the common-source (CS) 0.18- m-gatelength transistors with thin gate oxide and the common-gate (CG) 0.35- m-gatelength transistors with thick gate oxide. The nominal supply voltages of these transistors are 1.8 and 3.3 V, respectively. Fig. 12 indicates the simulated waveforms of the drain–source voltage of a CS and CG transistor at the power stage. This reveals that each device in the power stage operates in a marginally safe region from excessive voltage stress since it is known that nMOS devices used
SHIM AND HONG: CMOS PA WITH IPD SPIRAL-SHAPED DIRECTIONAL COUPLER FOR MOBILE UHF RFID READER
Fig. 12. Simulated voltage waveform of power stage (Freq: P = 5 dBm, V = 2:5 V).
2893
= 910 MHz,
as power transistors can endure about twice the nominal supply voltage [16]. Although it is possible to increase the drain–source voltage swing of each transistor as high as the limitation allows by changing the load impedance seen at each drain of CG transistors or increasing the size of the transistors, a reliable operation is preferable to avoid the voltage breakdown due to an instantaneous voltage peak from voltage standing wave ratio (VSWR) problems. The gate voltage of the CS transistors, in Fig. 11, is determined as offering the appropriate condition for class-E operation through careful inspection of the transistor and . The gate voltage of the size and capacitance value of CG transistor, , is fixed to near for the operation of the switch-mode PA to transmit a one-tone CW signal. When the PA transmits an envelope-varying amplitude shift-keying (ASK) signal, the pulse-shaped analog input signal is introduced at through a baseband modem and a low-pass filter and then directly modulated by the carrier signal at the power stage. The power combiner implemented using the IPD process plays a role of transforming from low impedance to 50 , combining power generated from two pairs of differential amplifiers at the power stage, and converting differential signals to be single ended, based on the parallel-combining transformer (PCT) technique [8], [16]. The structure of a 2 1:2 transformer is adopted to combine the power of two pairs of differential amplifiers effectively in a compact area. Since the magnetically coupled metal traces on a highly resistive IPD substrate acquire targeted inductance with less loss than passive elements of a standard CMOS substrate, the PAE of a PA using it is increased significantly. Series connection of the and the inductor not only contributes to capacitor the impedance matching, but also reduces the third harmonic component at the output of the PA by forming a path to the GND like a notch filter. V. IPD CHIP INCLUDING DIRECTIONAL COUPLER AND POWER COMBINER There are some advantages of integrating a directional coupler and a power combiner of a PA on a single IPD chip. First, the total occupied area of the implemented UHF RFID reader
Fig. 13. Photographs of the proposed spiral-shaped directional coupler on an IPD chip.
system can be significantly reduced by integrating all required blocks, except for an antenna in one standard package. No additional external components are needed other than bypass capacitors to the GND at the supply or bias paths. Second, the manufacturing cost can be decreased. Instead of expensive laminate PCB for packaging, a compact standard package can be used for this two-chip solution. Especially, a PA, which accounts for a large portion for the total bill of materials in an RFID reader system, is able to be unified into a single CMOS transceiver chip with only a small area on a die through such integration. Third, the overall power efficiency of the transmitter can be increased by using the IPD process. In particular, the RF signal amplified by a PA is critical to losses because only a small percent of loss can lead to significant power consumption by heat. Components on an IPD achieve a notably low loss with high power capability even after a PA block. Finally, the embedded parallel LC tank in the main line of the proposed directional coupler can reduce the second harmonic component from the CMOS PA to some extent. According to [8], the second harmonic of a CMOS PA is caused by the gate capacitance of CS transistors at the power stage when the differential signals swings in turn. To resonate out the effect, center-tapped inductors are required in the CMOS region where chip real-estate is costly. The usage of the directional coupler after a CMOS PA naturally filters the second harmonic from the PA by selecting the series capacitance at the forward path of the directional coupler without increasing the CMOS region. VI. MEASUREMENT RESULTS Fig. 13 shows a chip photograph of the spiral-shaped directional coupler implemented in the IPD process. The chip area is 1.4 mm 1.4 mm. The -parameters of the directional coupler are extracted from a four-port vector network analyzer with the effect of the metal lines on the test fixture de-embedded. The bond-wire effect is included in the measurement of the performance for the consideration of the actual implementation of the
2894
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 14. Measured S -parameters of the spiral-shaped directional coupler. (a) S . (b) S . (c) S . (d) S .
entire CMOS transceiver with a PA. The measured -parameters are shown in Fig. 14. The -parameter designations are stands for the return loss of the input port, as follows: is the main-line insertion loss, is the isolation, and is the coupling factor. The insertion loss in the range of interest is from 0.69 to 0.76 dB, the isolation from 32.3 to 29.1 dB, and the coupling factor from 16.4 to 16.7 dB. It is estimated that the discrepancies, including the up-shift of the null position , may be near the second harmonic around 1.7–1.9 GHz in attributed to the underestimation of the coupling coefficient of the transformer in the designed directional coupler during the EM simulation. Table II summarizes the performances of directional couplers presented in [6], [7], and this study. The directional coupler in [6] is also fabricated in the IPD process. The proposed spiralshaped directional coupler in this work achieves lower insertion loss than that in [6] and near 30 dB of isolation over the UHF RFID band. The isolation of directional couplers in [6] dramatically decreases as the frequency moves at the upper or lower end of the UHF RFID band. The directional coupler in [7], which is fabricated on a PCB, has higher isolation, but it occupies much larger area than that in this study. Fig. 15(a) shows a photograph of the switch-mode CMOS PA chip and the IPD chip including the spiral-shaped directional coupler and the power combiner of the PA. The dimensions of the CMOS PA chip and the IPD chip are 1.3 mm 1.05 mm and 2.8 mm 1.4 mm, respectively. The test module on the PCB is shown in Fig. 15(b). Both chips are attached on the ground
TABLE II COMPARISON OF PERFORMANCES OF IPD DIRECTIONAL COUPLERS
Measured at 912 MHz. Estimated values from the graphs of measurement results in [6].
paddle of an FR4 PCB using conductive epoxy. Each RF signal pad on the CMOS chip and IPD chip is wire bonded to the 50microstrip lines and then connected to an SMA connector as RF input, RF output, isolated port, or coupled port. An additional chip for low-pass filtering is also attached on the PCB to provide an analog pulse-shaped signal to the gate of the CG transistors of in Fig. 11, when we investigate the output spectrum the PA, with respect to the ASK input signal. Fig. 16 shows the measured output power and PAE of the standalone CMOS PA and the CMOS PA with the directional coupler with respect to frequency. The supply voltage of the
SHIM AND HONG: CMOS PA WITH IPD SPIRAL-SHAPED DIRECTIONAL COUPLER FOR MOBILE UHF RFID READER
2895
Fig. 16. Measured output power and PAE of the standalone CMOS PA and the CMOS PA with the directional coupler versus frequency.
Fig. 17. Measured power at coupled port and isolated port of the CMOS PA and the directional coupler versus frequency.
Fig. 15. Photographs of: (a) CMOS PA chip and a single IPD chip consisting of the spiral-shaped directional coupler and the power combiner and (b) test module on a PCB.
driver/power stage of the PA is 1.8 V/2.5 V and the input power introduced from an RF signal generator is 8 dBm. The peak output power and PAE are 27.9 dBm and 53.7% for the standalone CMOS PA, and 27.3 dBm and 44.6% for the CMOS PA with the directional coupler. Although the performance is degraded after passing through the main line of the directional coupler due to its insertion loss, the gap of the output power between them is less than 1 dB over the entire UHF RFID band. The worst case PAE of the CMOS PA including the directional coupler loss is 37.9% at 960 MHz. Fig. 17 shows the measured power level at the coupled port and the isolated port of the CMOS PA and the directional cou-
pler with respect to frequency. The maximum power at the isolated port is less than 0 dBm, which means that the blocking condition is avoidable if the receiver is designed to have 8 dBm , as we expected in Section II. of The harmonics of the CMOS PA and the directional coupler are shown in Fig. 18. Without the shift of the location of the null at the second harmonic to higher frequency, depicted in Fig. 14, we can expect that the second harmonic of the CMOS PA is properly suppressed under 48 dBc after passing through the main path of the directional coupler. Less than 54 dBc of the third harmonic is observed in the UHF RFID band. The measurement results of Figs. 15–17 were based on a one-tone CW signal input. Table III compares the performances of PAs that were utilized in UHF RFID readers of [1], [4], [13], and this study. Fig. 19 shows the measured output spectrum of the ASK signal of continuous “select” and “query” commands with 25 s of Tari for a UHF RFID centered at 910.05 MHz. The specification in [14] for an adjacent channel power ratio (ACPR) is 20/ 50/ 60 dBc for the first/second/third adjacent channels with 200 kHz of channel bandwidth. The observed output spectrum with a 20-dB attenuator indicates that the ACPR of UHF RFID is satisfied. Fig. 20 exhibits the measured RF envelope
2896
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
signal with 25 s of Tari at 910.05 MHz in accordance with the “select” command of the baseband. VII. CONCLUSION
Fig. 18. Measured harmonics of the CMOS PA and the directional coupler versus frequency. TABLE III COMPARISON OF PERFORMANCES OF PAs FOR UHF RFID READER
A CMOS PA with a spiral-shaped directional coupler has been proposed and implemented on a single IPD chip with a power combiner of a CMOS PA. This CMOS PA works as a polar transmitter for UHF RFID reader applications. Including directional coupler loss, the implemented CMOS PA demonstrates a peak output power of 27.3 dBm and a peak PAE of 44.6% in the UHF RFID band. This work suggests an eligible solution for integrating an entire UHF RFID reader transceiver CMOS IC including a PA and an additional IPD chip for a power combiner and a directional coupler in a compact standard package with high power efficiency. ACKNOWLEDGMENT The authors would like to thank K. Koo, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, for his helpful advice and discussion. REFERENCES
CMOS PAs.
Fig. 19. Measured output spectrum of ASK signal for UHF RFID at 910.05 MHz (Tari = 25 s).
Fig. 20. Measured RF envelope of select command for UHF RFID at 910.05 MHz (Tari = 25 s).
[1] I. Kwon, Y. Eo, H. Bang, K. Choi, S. Jeon, S. Jung, D. Lee, and H. Lee, “A single-chip CMOS transceiver for UHF mobile RFID reader,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 729–738, Mar. 2008. [2] P. B. Khannur, X. Chen, D. L. Yan, D. Shen, B. Zhao, M. K. Raja, Y. Wu, R. Sindunata, W. G. Yeoh, and R. Singh, “A universal UHF RFID reader IC in 0.18-m CMOS technology,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1146–1155, May 2008. [3] W. Wang, S. Lou, K. W. C. Chui, S. Rong, C. F. Lok, H. Zheng, H. T. Chan, S. W. Man, H. C. Luong, V. K. Lau, and C. Y. Tsui, “A single-chip UHF RFID reader in 0.18-m CMOS process,” IEEE J. Solid-State Circuits, vol. 43, no. 8, pp. 1741–1754, Aug. 2008. [4] L. Ye, H. Liao, F. Song, J. Chen, C. Li, J. Zhao, R. Liu, C. Wang, C. Shi, J. Liu, R. Huang, and Y. Wang, “A single-chip CMOS UHF RFID reader transceiver for Chinese mobile applications,” IEEE J. SolidState Circuits, vol. 45, no. 7, pp. 1316–1329, Jul. 2010. [5] “Higgs 4 EPC class 1 gen 2 RFID tag IC,” Alien Technol., Morgan Hill, CA, Apr. 2011. [Online]. Available: http://www.alientechnology.com/ docs/products/DS_Higgs4.pdf [6] J. W. Jung, K. K. Nae, J. Kim, and J. S. Park, “RF-IPD directional coupler for mobile RFID handset applications,” Electron. Lett., vol. 43, no. 13, pp. 719–720, Jun. 2007. [7] W. K. Kim, M. Q. Lee, J. H. Kim, H. S. Lim, J. W. Yu, B. J. Jang, and J. S. Park, “A passive circulator with high isolation using a directional coupler for RFID,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2006, pp. 1177–1180. [8] C. H. Lee, J. J. Chang, K. S. Yang, K. H. An, I. Lee, K. Kim, J. Nam, Y. Kim, and H. Kim, “A highly efficient GSM/GPRS quad-band CMOS PA module,” in IEEE RFIC Symp. Dig., Jun. 2009, pp. 229–232. [9] H. Lee, C. Park, and S. Hong, “A quasi-four-pair class-E CMOS RF power amplifier with an integrated passive device transformer,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 4, pp. 752–759, Apr. 2009. [10] K. Y. Son, C. Park, and S. Hong, “A 1.8-GHz CMOS power amplifier using stacked nMOS and pMOS structures for high-voltage operation,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 11, pp. 2652–2660, Nov. 2009. [11] T. Joo, H. Lee, S. Shim, and S. Hong, “CMOS RF power amplifier for UHF stationary RFID reader,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 2, pp. 106–108, Feb. 2010. [12] S. Shim, J. Han, and S. Hong, “A CMOS RF polar transmitter of a UHF mobile RFID reader for high power efficiency,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 9, pp. 635–637, Sep. 2008. [13] H. Yang, J. Kim, F. Bien, and J. Lee, “Fully integrated UHF RFID mobile reader with power amplifiers using system-in-package,” IEICE Electron. Exp., vol. 8, no. 2, pp. 83–88, Jan. 2011.
SHIM AND HONG: CMOS PA WITH IPD SPIRAL-SHAPED DIRECTIONAL COUPLER FOR MOBILE UHF RFID READER
[14] “EPC radio frequency identity protocols class-1 generation-2 UHF RFID protocol for communications at 860 MHz–960 MHz, version 1.2.0,” EPCglobal Inc., Jan. 2007. [15] Standard on Radio Specification for Mobile RFID Reader, MRFS-5-01-R1, mrf.or.kr, Seoul, Korea, 2006. [Online]. Available: http://www.mrf.or.kr [16] K. H. An, O. Lee, H. Kim, D. H. Lee, J. Han, K. S. Yang, Y. Kim, J. J. Chang, W. Woo, C.-H. Lee, H. Kim, and J. Laskar, “Power-combining transformer techniques for fully-integrated CMOS power amplifiers,” IEEE J. Solid-State Circuits, vol. 43, no. 5, pp. 1064–1075, May 2008. [17] B. P. Kumar, G. R. Branner, and D. G. Thomas, Jr., “A reduced size planar balun structure for wireless microwave and RF applications,” in Proc. 38th Midwest Circuits Syst. Symp., Aug. 1995, pp. 526–529. [18] K. Y. Son, B. Koo, Y. Lee, H. Lee, and S. Hong, “RF CMOS power amplifiers for mobile terminals,” J. Semicond. Technol. Sci., vol. 9, no. 4, pp. 257–265, Dec. 2009. Sunbo Shim (S’07) received the B.S. degree in electronics and electrical engineering from the Pohang University of Science and Technology (POSTECH), Pohang, Korea, in 2006, the M.S. degree in electrical engineering from the Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea, in 2008, and is currently working toward the Ph.D. degree in electrical engineering at KAIST. His research interests include transmitter architecture with a switch-mode CMOS PA and digitally intensive RF transmitters.
2897
Songcheol Hong (S’87–M’88) received the B.S. and M.S. degrees in electronics from Seoul National University, Seoul, Korea, in 1982 and 1984, respectively, and the Ph.D. degree in electrical engineering from The University of Michigan at Ann Arbor, in 1989. In May 1989, he joined the faculty of the Department of Electrical Engineering and Computer Science, Korea Advanced Institute of Science and Technology (KAIST), Daejeon, Korea. In 1997, he held short visiting professorships with Stanford University, Palo Alto, CA, and Samsung Microwave Semiconductor, Suwon, Korea. His research interests are microwave integrated circuits and systems including PAs for mobile communications, miniaturized radar, millimeter-wave frequency synthesizers, and novel semiconductor devices.
2898
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Digital Doherty Amplifier With Enhanced Efficiency and Extended Range Ramzi Darraji, Student Member, IEEE, and Fadhel. M. Ghannouchi, Fellow, IEEE
Abstract—In this paper, a digital Doherty power amplifier (PA) with improved efficiency performance over a wide power range is proposed. The efficiency enhancement is achieved by using a digitally controlled dynamic input power distribution scheme implemented to improve the active load modulation mechanism and to minimize the drive power waste into the peaking branch at backed-off power levels. Furthermore, the proposed distribution scheme causes the premature saturation of the carrier amplifier of the proposed Doherty PA and results in an extended range of high back-off efficiency. A comprehensive study of the operational principle of the proposed efficiency-extended digital Doherty PA is provided to demonstrate its merits and to enlighten its operation. In particular, the current and power profiles of the proposed digital Doherty PA are exposed and its efficiency characteristics analyzed. For experimental validation, the proposed Doherty PA is implemented within the dual-input digitally driven architecture based on a 10-W gallium–nitride transistor. Using a one-carrier Worldwide Interoperability for Microwave Access signal with a 9-dB peak-to-average power ratio and 10-MHz bandwidth, the digitally linearized efficiency-extended Doherty PA exhibited an excellent drain efficiency of 50% along with 38 dB of relative constellation error. The efficiency enhancement is 7% in comparison to a conventional fully analog Doherty PA. Index Terms—Digital adaptive power distribution, digital predistortion (DPD), Doherty amplifier, dual-input Doherty architecture, power efficiency, Worldwide Interoperability for Microwave Access (WiMAX).
I. INTRODUCTION
T
HE widespread use of spectrum-efficient modulation techniques, the growing demand for higher data rates, and the ever-increasing number of wireless communications users have resulted into rapidly rising power consumption. Within mobile radio networks, base stations have a nonnegligible share in energy utilization, with significant increase expected in the near future. Therefore, in order to reduce the power waste, the energy efficiency of base stations should be constantly improved. Significant energy savings can be Manuscript received March 12, 2011; revised July 24, 2011; accepted July 29, 2011. Date of publication September 29, 2011; date of current version November 16, 2011. This work was supported by Alberta Innovates Technology Futures (AITF), the Natural Sciences and Engineering Research Council of Canada (NSERC), the Canadian Space Agency (CSA), Focus Microwaves, Nanowave Technologies, and by the Canada Research Chairs (CRC) Program. The authors are with the Intelligent RF Radio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166122
achieved by improving the efficiency of the power amplifier (PA) of RF transmitters used in the base station. Several architectures were proposed to improve the power efficiency of RF transmitters while meeting the linearity requirements [1]–[21]. Currently, the best performance is achieved using gallium–nitride (GaN) transistor based Doherty PAs along with digital predistortion (DPD) [7]–[19]. Fundamentally, Doherty PAs are composed of two parallel amplifiers (cells), namely, the carrier cell (operating in class-AB) and the peaking cell (operating in class-C), an input analog splitter, and a nonisolated output power combiner. Starting from the input signal level corresponding to the turn-on of the peaking cell, the latter is responsible for actively modulating the output load of the carrier cell while simultaneously contributing to the output power of the system [7]–[19]. Although the Doherty architecture is widely adopted in field deployed base stations, it still suffers from limitations mainly related to inherent imperfections in the RF blocks of the Doherty PA. Indeed, the difference in class of operations of the carrier and peaking amplifiers causes an imperfect load modulation mechanism, which is repeatedly reported as the main source of performance degradation in Doherty PAs [11]–[15]. A variety of solutions, including uneven power drive [11], asymmetrical Doherty PA design [12], gate bias adaptation [13], extended-resonance Doherty PA design [14], and digital Doherty PA architecture [15] were proposed in an effort to restore the ideal load modulation behavior. The emphasis within these solutions was on improving the performance at the high power region where both carrier and peaking cells are active. While in most architectures [12]–[15] this enhancement was achieved without degrading the efficiency at backed-off power levels, in some other cases [11], the back-off performance can be greatly affected. Conversely, other variations of Doherty PAs were proposed with the main aim of raising the back-off efficiency either by using two cells with unequal saturation power [16] or through mitigation of the knee voltage effect [17], [18]. However, in some cases [16], [17], this intent was achieved at the cost of reduced performance at the high power region. In this paper, the so-called efficiency-extended digital Doherty PA is proposed. In contrast with the previously reported Doherty PA configurations [11]–[17], the proposed architecture allows improving the performance of the Doherty PA over its entire operational range. In particular, the proposed Doherty PA helps to extend the range of high back-off efficiency where the probability of occurrences of the input signal is high. This is achieved by adaptively distributing the input power between the carrier and peaking amplifiers to: 1) minimize the waste of the drive power into the peaking branch while the peaking amplifier
0018-9480/$26.00 © 2011 IEEE
DARRAJI AND GHANNOUCHI: DIGITAL DOHERTY AMPLIFIER WITH ENHANCED EFFICIENCY AND EXTENDED RANGE
2899
Fig. 1. Block diagram of the symmetrical Doherty PA.
is turned off and 2) ensure that the output amplitudes of the carrier and peaking cells are aligned at peak drive level as dictated by the ideal load-modulation mechanism. The merits of the efficiency-extended digital Doherty PA proposed in this work are highlighted from a theoretical point of view based on an in-depth study of its operational principle. In this context, new analytical models for the currents and input power profiles of the carrier and peaking sources are also proposed. Besides, by taking advantage of the high flexibility and the large potential of the dual-input digital Doherty architecture proposed in [15], the dual-input configuration is adopted in this work to enable the implementation of a digitally controlled adaptive power distribution scheme between the carrier and peaking cells. This offers a superior degree of freedom to efficiently distribute the power between the input branches of the Doherty PA without relying on the nonlinear behavior of the devices [14]. This paper is organized as follows. Section II gives a brief overview on the design of Doherty PAs and highlights the problems observed in the conventional Doherty architecture. In Section III, the operational principle of the efficiency-extended digital Doherty PA is discussed, and an extensive theoretical analysis demonstrating its benefits is derived. In Section IV, the simulation results of the proposed digital Doherty PA with adaptive input power distribution are presented, and its performance is benchmarked against that of the conventional Doherty PA. In Section V, the experimental implementation of the proposed digital Doherty transmitter is described, and its measured performance discussed. Conclusions are presented in Section VI. II. DESIGN AND PERFORMANCE EVALUATION OF THE SYMMETRICAL DOHERTY PA This section presents the design of a symmetrical Doherty PA and discusses its performance. This design will be used as a reference to benchmark the performance of the efficiency-extended digital Doherty PA proposed in this paper. The symmetrical Doherty amplifier, presented in Fig. 1, designates a Doherty PA using even input power splitting, identical transistors, and matching networks for the carrier and peaking cells, and an output Doherty power combiner with an equal to 0.5. The impedance impedance inversion factor inversion factor is the design parameter that controls the load seen by the carrier amplifier at low-input power drive, which is equal to 100 for the symmetrical Doherty PA.
Fig. 2. Measured PAE and gain of the balanced class-AB PA and symmetrical Doherty PA using a CW signal.
The Doherty PA is implemented using a 10-W packaged GaN device (CGH40010) from Cree Inc., Durham, NC. In this design, the matching networks of the carrier and peaking amplifiers were designed to maximize the efficiency at saturation. An is added at the output of the peaking amplifier offset line in order to ensure the open circuit condition at the low power of equal length is also inserted drive levels. An offset line at the output of the carrier path to balance the delay between the two branches. The carrier amplifier and peaking amplifier V, quiwere biased in class-AB (gate voltage mA) and class-C ( V, escent current, mA) conditions, respectively, with a drain voltage of 28 V. The input splitter was implemented using 3-dB quadrature branchline coupler providing equal input power to the carrier and peaking amplifiers. Fig. 2 depicts the measured gain and power-added efficiency (PAE) of the balanced class-AB and Doherty amplifiers with a continuous wave (CW) signal at a center frequency of 2.14 GHz. First, it is noticeable that the symmetrical Doherty PA has lower gain in comparison to the balanced class-AB amplifier. Indeed, the small-signal gain of the Doherty PA is nearly 3 dB less than that of the balanced class-AB amplifier. This is due to the fact that half of the input drive power is wasted into the peaking branch when the Doherty amplifier is operating below its transition point (i.e., while the peaking cell is still turned off) [19]. It is therefore crucial to minimize this waste of power in order to improve the output power and the efficiency at back-off. On the other hand, Fig. 2 shows that the Doherty PA has higher efficiency at backed-off power levels. The maximum efficiency enhancement is 17% around the Doherty transition point at 37 dBm output power. However, the peak efficiency of the Doherty PA is lower than that of the balanced class-AB amplifier. The deviation from the ideal case where the peak efficiency of a well-designed Doherty PA is higher than that of a balanced class-AB PA due to a deficient load modulation mechanism, which is mainly attributed to the output power imbalance between the carrier and peaking cells at peak power [11]–[14] and to the phase imbalance between the carrier and peaking amplifiers following the turn-on the peaking cell [15].
2900
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
III. THEORETICAL ANALYSIS OF THE OPERATION OF THE EFFICIENCY-EXTENDED DIGITAL DOHERTY PA To overcome the issues related to gain and efficiency deterioration in conventional Doherty PAs, the efficiency-extended Doherty PA employing digital adaptive input power distribution is proposed in this work. In this section, a theoretical analysis explaining the operational principle of the proposed Doherty PA and demonstrating its usefulness in boosting the efficiency over a wide operational range is detailed. A. Current and Power Profiles of the Proposed Efficiency-Extended Doherty PA The analytical study is started by briefly recalling the operational principle of the symmetrical Doherty PA to be then generalized for the efficiency-extended Doherty PA. Fig. 3(a) shows the equivalent circuit diagram that is commonly used to analyze the operation of Doherty PAs [11]–[17]. Assuming that the magnitude of each current source is linearly and that the conproportional to the input drive voltage duction angle of each cell remains unvarying and is independent , the fundamental and dc current profiles of the carfrom rier and peaking sources of the symmetrical Doherty PA can be written as
(1)
(2)
-
(3)
Fig. 3. (a) Operational diagram of the Doherty PA. (b) Fundamental currents of carrier and peaking cells of the efficiency-extended and symmetrical Doherty PAs as function of the input drive voltage (v ).
(4) where
and and denote the fundamental and dc current components of the carrier and peaking and amplifiers of the symmetrical Doherty PA, respectively. designate conduction angles of the carrier and peaking cells of the symmetrical Doherty PA, respectively. is
the maximal input drive voltage, is the maximal current is the input drive level corresponding to level, and the turn-on point of the peaking cell of the symmetrical Doherty amplifier. Fig. 3(b) shows the fundamental current profiles of the carrier and peaking amplifiers of the symmetrical Doherty PA. In this configuration, the backed-off saturation point of the carrier cell when its output occurs at the input voltage level of . It is also to be noted that because current reaches of the lower bias point of the peaking device, its maximal peak power fundamental current is lower than that of the carrier cell, which inevitably yields a limited load modulation and reduced peak efficiency [11]–[14]. To prevent the power loss and improve the load modulation mechanism, the proposed Doherty PA utilizes a dynamic input power distribution, providing more input power to the carrier cell at low drive levels; and, applying more input power to the peaking cell at peak power, as compared to the symmetrical design. To investigate the effects of the adaptive input power distribution on the overall performance of the Doherty PA, a new fundamental current profile is then modeled in Fig. 3(b). In the proposed model, the fundamental current of the carrier amplifier is increased by a multiplicative factor of prior to the turn-on
DARRAJI AND GHANNOUCHI: DIGITAL DOHERTY AMPLIFIER WITH ENHANCED EFFICIENCY AND EXTENDED RANGE
of the peaking cell while that of the peaking amplifier is increased by a multiplicative factor of after the turn-on point. As illustrated in Fig. 3(b), once the peaking cell is turned on, the operation of the proposed Doherty PA is similar to that of the uneven Doherty PA [11], and thus, the currents of the carrier and peaking sources follow the tendency exhibited by the uneven Doherty PA. Accordingly, the current profiles of the efficiency-extended Doherty PA are given by
As a result of the early saturation of the carrier cell, an extended efficiency range is achieved; and, the additional effiis expressed by ciency range
dB and the total power back-off (PBO) of the carrier PA occurs is given by dB
-
2901
(10) at which the saturation
(11)
Once
(5) (6) -
(7)
-
is known, the bias point of the peaking cell of the proposed Doherty PA is then chosen to ensure the turn-on condition at the input power level given by , where denotes the maximal total power (in dBm) at the input of the Doherty PA. Practically, the gate bias can be determined by satisfying point associated to the turn-on condition when the standalone peaking amplifier is . driven by Besides, it is noteworthy that because of the uneven drive at the high power region, the peak power current of the carrier amplifier of the efficiency-extended Doherty PA is lower than . Based on the that of the symmetrical Doherty PA mathematical demonstration exposed in the Appendix, the peak power current of the carrier amplifier is expressed by
(8)
-
(12) Accordingly, by using (7) and (12), the enhanced current factor is solution of
where
(13) and it is given by (14) -
where
and and denote the fundamental and dc current components of the carrier and peaking amplifiers of the efficiency-extended Doherty PA, respectively. is the enhanced current factor necessary to align the output currents of the carrier and peaking cells at peak power. and designate the conduction angle and the turn-on point of the peaking cell of the proposed Doherty PA, respectively. Since the output current of the carrier cell in the proposed Doherty PA is times larger than that of the conventional PA at the backed-off power levels, the output current of the carrier earlier than amplifier reaches the saturation state , precisely at . Therefore, the turn-on point of the peaking cell of the proposed Doherty PA is given by -
(9)
is expressed by
By using the theoretical formulations derived in the Appendix, the following expressions arise: (15)
(16) where and are the input power supplied to the carrier branch and to the peaking branch of the efficiencydenotes the input power of the extended Doherty PA. Doherty PA. Note that it can be easily inferred from (15) and (16) that the enhanced current factors and are both bounded by 1 (i.e.,
2902
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 4 depicts the power division profiles for the symmetrical Doherty PA and the efficiency-extended Doherty PA. At low power drive levels, the carrier amplifier of the efficiency-exdB more input tended Doherty PA gets power in comparison to the peaking amplifier. Conversely, after , the carrier amplifier gets the turn-on point dB less input power in comparison to the peaking amplifier. As for the symmetrical Doherty PA ( and ), the carrier and peaking cells get the same input power for all drive levels. B. Efficiency of the Proposed Efficiency-Extended Doherty PA The efficiency characteristic of the Doherty PA is derived based on the operational diagram presented in Fig. 3(a). For simplicity, it is assumed that each current source is terminated with perfect harmonic short circuit so that the efficiency and the output power can be assessed using only the fundamental and dc components defined in (1)–(8). The load impedances of the carrier and peaking amplifiers are determined by the current ratios as Fig. 4. Power distribution profiles of the efficiency-extended and symmetrical Doherty PAs.
(20) the input power is evenly split between the carrier and peaking (i.e., the input power is completely supplied branches) and to either branch of the Doherty PA). It is also to be noted that and , the efficiency-extended Doherty PA is when reduced to the particular case of the symmetrical Doherty PA. This claim can be easily verified in this paper throughout all the theoretical derivations that are dependent on and/or . From (15) and (16), the input power distribution profile of the efficiency-extended Doherty PA can be expressed by
(21)
where
dBm
(17)
dBm
(18)
and is the optimal load impedance of the carrier and peaking amplifiers. and are the characteristic impedance of the impedance inverter and the output common load of the Dois the drain herty network, respectively [see Fig. 3(a)]. supply voltage. , only the At the low-power region carrier cell is active. Accordingly, by using (5), (6), and (20), and dc power of the the output RF power efficiency-extended Doherty PA are given by
By using (17) and (18), the carrier-to-peaking power division is then expressed by ratio dB dBm
dBm (22)
(19)
(23)
DARRAJI AND GHANNOUCHI: DIGITAL DOHERTY AMPLIFIER WITH ENHANCED EFFICIENCY AND EXTENDED RANGE
From (22) and (23), the efficiency of the proposed Doherty can be calculated as PA
2903
Using (27) and (28), the efficiency is then given by
(24) where is recognized as the efficiency of the symmetrical , and is expressed by Doherty PA for (29) where (25) and designate the output RF power where and dc power of the symmetrical Doherty PA, respectively. The result obtained in (24) is significant in that it demonstrates that the efficiency-extended Doherty PA exhibits times higher efficiency at the back-off region in comparison to its symmetrical counterpart. Furthermore, by defining the enhanced power gain ratio as
Assuming that the carrier and peaking amplifiers consume the same dc power at peak power drive (i.e., ), then by using (29), the peak power efficiency of the proposed Doherty PA is given by
(30) is identified as the maximal peak power efficiency where of the standalone peaking PA, and is expressed by (26) it can be deduced that the gain of the efficiency-extended Doherty PA is typically times higher than that of the conventional Doherty PA in the backed-off power levels. , At the higher power region both cells contribute to the output power of the PA. As such, by using (5)–(8), (13), (20), and (21), one can derive that the output and dc power of the efficiencyRF power extended Doherty PA can be expressed by
(27)
(28)
(31) where and designate the peak power output RF power and dc power of the standalone peaking PA, respectively. The result of (30) is also significant, as it shows that the peak efficiency of the proposed Doherty PA is equal to that of the standalone peaking amplifier, which demonstrates the capability of the efficiency-extended Doherty PA of boosting the efficiency at the high power region as well. Based on the above derived analytical formulations, it can be concluded that the proposed efficiency-extended Doherty PA has a number of interesting features. Indeed, it was proven that it helps with increasing the efficiency and gain performance at the backed-off power levels. In addition, due to the dynamic input power distribution, it was analytically demonstrated that the proposed Doherty PA has an extended range of higher back-off efficiency, as well as maximal efficiency performance at peak
2904
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE I CHARACTERISTICS OF THE SYMMETRICAL DOHERTY PA AND THE PROPOSED EFFICIENCY-EXTENDED DOHERTY PA
Fig. 5. Input power distribution scheme of the efficiency-extended and symmetrical Doherty PAs.
power. The main characteristics of the efficiency-extended Doherty PA are summarized in Table I and compared to those of the symmetrical Doherty PA. In Sections IV and V, the operational analysis of the efficiency-extended Doherty PA will be validated through simulation and experimental results. IV. SIMULATION RESULTS OF THE EFFICIENCY-EXTENDED DIGITAL DOHERTY PA The efficiency-extended digital Doherty PA circuit proposed in this research was implemented in Advanced Design System (ADS) environment (Agilent Technologies, Palo Alto, CA). Besides, by taking advantage of the superior flexibility of the dualinput digitally driven Doherty architecture proposed in [15], the dual-input configuration is adopted in this work in order to implement the digital adaptive input power distribution between the carrier and peaking branches. For the comparative study, another Doherty PA circuit was also implemented in ADS based on the single-input symmetrical configuration described in Section II. In simulations, the adaptive power distribution was realized based on the dual-input configuration by using power-indexed lookup tables (LUTs) to control the power level of the input signals separately driving the carrier and peaking amplifiers. The simulations were carried out using the large signal nonlinear model of the CGH40010 transistor provided by the device manufacturer. Both Doherty PAs were implemented using identical input and output matching networks. The class-C bias point of the peaking amplifier of the symmetrical Doherty PA and that V, of the efficiency-extended Doherty PA were ( mA) ,and ( V, mA), respectively, of 28 V. The carrier cell in each Doherty PA operated with V, mA, V) in class-AB ( condition. For the efficiency-extended Doherty PA under study, the value of was selected to be equal to 1.31; and, its corresponding optimal value of necessary to align the output currents was found to be equal to 1.11.
The adaptive power division scheme used in the efficiencyextended Doherty PA is shown Fig. 5. This profile is similar to and the one that can be generated using (19) with apart from a softer transition region incorporated herein to avoid the abrupt transition that might affect the quality of the signal when the system is driven by modulated signals. Fig. 6 depicts the simulated fundamental currents and load modulation of the symmetrical and the efficiency-extended Doherty PAs. It can be seen in Fig. 6(a) that the carrier cell of the proposed Doherty PA produces more output current at the back-off. In addition, the output currents of the carrier and peaking amplifiers are aligned at peak power. Consequently, the load modulation mechanism is enhanced for the efficiency-extended Doherty PA. Indeed, as illustrated in Fig. 6(b), the load impedance of the carrier amplifier was modulated from 100 to 50 , as the input power increased. However, this is not happening for the symmetrical Doherty PA because the peaking amplifier needs more power drive at saturation. Fig. 7 shows the simulated gain performance of the symmetrical and the efficiency-extended Doherty PAs with a CW signal. As expected, by minimizing the amount of the drive power into the peaking branch for the input power levels below the Doherty transition point, the power waste was reduced; and the gain of the Doherty PA was significantly increased especially at the back-off. The improvement brought by the efficiency-extended digital Doherty PA is equal to 2.4 dB, which is similar to the . numerical result that can be obtained from (26) with Fig. 8 depicts the simulated PAE of the two Doherty PAs serving for this study. This figure clearly shows that the efficiency-extended Doherty PA has superior efficiency performance over the whole range of operation, which demonstrates the effectiveness of the proposed topology in enhancing the overall efficiency. Furthermore, it is worth noting the back-off efficiency points of the symmetrical and the proposed Doherty PAs have occurred at the input power levels of approximately 21 and 23.3 dBm, respectively. The 2.3-dB difference is similar . to the theoretical extension predicted by (10) with
DARRAJI AND GHANNOUCHI: DIGITAL DOHERTY AMPLIFIER WITH ENHANCED EFFICIENCY AND EXTENDED RANGE
2905
Fig. 8. Simulated PAE of the efficiency-extended and symmetrical Doherty PAs. TABLE II AVERAGE EFFICIENCY OF THE SYMMETRICAL DOHERTY PA AND THE PROPOSED EFFICIENCY-EXTENDED DIGITAL DOHERTY PA
erage power ratio (PAPR) was used. The probability distribution function (PDF) of the signal versus input power is shown in Fig. 8. The average efficiency can be estimated based on the PDF of the signal and the Doherty PA single-tone efficiency as (32)
Fig. 6. Simulated results of the efficiency-extended and symmetrical Doherty PAs. (a) Fundamental currents of the carrier and peaking cells. (b) Load modulation of the carrier amplifier.
where is the probability of occurrences of for the modulated input signal. The results of the calculated average PAE of each Doherty PA are presented in Table II. The average efficiency improvement demonstrated by the digital Doherty PA is equal to 8%. This average PAE enhancement clearly exemplifies the suitability of the proposed architecture for power amplification in modern wireless communications applications where the PAPR of the signals is typically ranging between 6–12 dB. V. MEASUREMENT RESULTS
Fig. 7. Simulated gain of the efficiency-extended and symmetrical Doherty PAs.
In order to evaluate the average efficiency of each Doherty PA, a one carrier down link Worldwide Interoperability for Microwave Access (DL-WiMAX) signal with 9-dB peak-to-av-
Fig. 9 shows the measurement setup for the proposed efficiency-extended digital Doherty architecture. The dual-input Doherty prototype used in this setup is obtained from the symmetrical Doherty PA by eliminating the input analog splitter. Isolators were inserted between the pre-amplification stage and the input branches of the dual-input Doherty PA to ensure that the carrier and peaking amplifiers are operating into 50- source impedance at all times. The bias conditions of the carrier and peaking amplifiers of the proposed Doherty PA are ( V, mA) and ( V, mA), of 28 V. The frequency respectively, with a drain voltage of operation is 2.14 GHz. A. CW Measurement Results For the CW test, the individual RF inputs of the carrier and peaking PAs of the dual-input Doherty PA prototype
2906
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 9. Measurement setup for the proposed efficiency-extended digital Doherty transmitter.
Fig. 10. Measured PAE and gain of the efficiency-extended and symmetrical Doherty PAs using a CW signal.
were controlled using two synchronized arbitrary waveform generators (AWGs) (ESG-4438C from Agilent Technologies, Palo Alto, CA). The input power level for each branch was adaptively adjusted based on the proposed power distribution scheme (see Fig. 5). In order to replace the 90 line of the analog splitter that is eliminated in the dual-input architecture, the phase of the input signal of the peaking PA was also maintained at a 90 lag behind that of the carrier PA for all input power levels. The measured gain and PAE results for the CW test are presented in Fig. 10. These results confirm the benefits of the proposed Doherty PA architecture. Indeed, the gain was considerably improved. The relative gain improvement is equal to 2.3 dB at back-off, which is in good agreement with theoretical and simulation results. The efficient power distribution between the carrier and peaking branches helped increasing the overall output power of the system, which ultimately translates into higher efficiency performance. In fact, the PAE was significantly improved over a wide operational range as compared to the symmetrical single-input Doherty PA prototype; and, an efficiency enhancement of no less than 5% was maintained over a large back-off range. At a high-power region, the peak efficiency was enhanced from 59% to 66%. Fig. 11 depicts the measured output power performance for the standard and the digital Doherty PAs. Measurement
Fig. 11. Measured output power of the efficiency-extended and symmetrical Doherty PAs using a CW signal.
results demonstrated that the proposed Doherty PA has 0.2-dB higher output power at peak input drive. However, the output power performance of the proposed Doherty PA is significantly higher than that of the standard Doherty PA due the higher drive level of the carrier amplifier before the turn on of the peaking cell. At this point, it is worth recalling that since the dual-input architecture does not use an analog input power divider, the output power of each pre-amplification stage of the dual-input Doherty PA is lowered by 3 dB in comparison to that of the pre-amplification stage used in conventional single-input Doherty PA. This means that the two transmitting stages used in the proposed architecture are equivalent in terms of power driving requirements to the conventional Doherty transmitter. However, the digital signal processor (DSP) of the proposed architecture will have slightly increased power consumption due to the additional signal splitting algorithm that is executed concurrently with the DPD algorithm. In this context, it is estimated that the DSP of the proposed architecture will consume an extra amount of dc power that is comparable to the one required by the DPD algorithm. Considering that the overhead of energy consumed by DPD algorithm in third generation and beyond (3G ) base-station applications is, in general, a fraction (less than 5%) of the
DARRAJI AND GHANNOUCHI: DIGITAL DOHERTY AMPLIFIER WITH ENHANCED EFFICIENCY AND EXTENDED RANGE
2907
TABLE III MEASURED PERFORMANCE OF THE SYMMETRICAL FULLY ANALOG DOHERTY PA AND THE PROPOSED EFFICIENCY-EXTENDED DIGITAL DOHERTY PA
total consumed dc power, then the net gain in the transmitter efficiency due to the proposed scheme would surpass the power consumption of the additional transmitter. B. Modulated Signal Measurement Results To assess the performance of the proposed dual-input digital Doherty amplifier for modulated signals, the DL-WiMAX signal with 9-dB PAPR and 10-MHz bandwidth was used. The baseband in-phase/quadrature (I/Q) waveform of the original signal is digitally split based on the proposed power distribution profile (see Fig. 5) to generate the two data streams and representing the input baseband waveforms for the carrier branch and peaking branch, respectively. As shown in Table III, the implemented efficiency-extended digital Doherty PA delivers 50% of average drain efficiency at the average output power of 36.8 dBm. The average efficiency and output power enhancement are 7% and 1 dB, respectively, in comparison with the symmetrical Doherty PA. However, because the power distribution profile was optimized for efficiency, the proposed Doherty PA has lower linearity performance compared to the symmetrical Doherty PA. Indeed, the measured relative constellation error (RCE) is equal to 23 dB for the symmetrical Doherty PA, whereas it is 18 dB for the proposed Doherty PA. To meet the linearity requirements of the WiMAX standard, the DPD is needed to linearize the dual-input digital Doherty PA. Although the designed PA is actually based on a dual-input single-output structure, the linearization problem can be reduced to the conventional case of a single-input single-output (SISO) system. Indeed, as shown in Fig. 9, the DPD is applied on the SISO system that consists of adaptive digital splitter dual-input Doherty PA as the device-under-test (DUT). Therefore, the initial characterization and DPD model identification of the digital Doherty PA are conducted using well-established SISO methodologies [18], [19]. Once the DPD is applied, a substantial linearity improvement is observed for the digital Doherty transmitter, as illustrated in the measured spectra and RCE at the output of the linearized amplifier presented in Fig. 12. The adjacent channel power ratio (ACPR) at the 10-MHz offset is linearized to 46 dBc, which is an improvement of approximately 17 dB. After linearization,
Fig. 12. Measured linearity performance of the efficiency-extended digital Doherty PA using WiMAX signal. (a) Output spectra. (b) Constellation diagrams before linearization (gray), after linearization (red in online version), and ideal (white).
the RCE is enhanced to 38 dB largely satisfying the standard’s specification of 28.5 dB for the 64 quadrature amplitude modulation (64-QAM)-2/3 constellation. These results clearly indicate that the proposed digital Doherty PA is well suited for high-efficiency linear transmitters. VI. CONCLUSION In this paper, a digital Doherty PA with an extended range of enhanced efficiency was proposed. The improved performance resulted from the digitally controlled dynamic input power distribution implemented to compensate for power loss and efficiency degradation due to the use of static analog power division in conventional single-input Doherty PAs. The merits of the proposed Doherty PA were investigated through an in-depth study of its operational principles. The theoretical analysis demonstrated the capability of the proposed digital Doherty PA in boosting the efficiency over a wide range of operation. This finding was confirmed by simulations as well. For experimental validation, the dual-input digital Doherty PA
2908
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
architecture was used to realize the digital adaptive power distribution between the input branches of the Doherty PA. In view of that, a practical methodology was also proposed in this work to fully linearize such a multiinput single-output (MISO) system, evolving towards a fully linear highly efficient digital Doherty transmitter. Using a one-carrier WiMAX signal with a 9-dB PAPR and 10-MHz bandwidth, the digitally linearized efficiency-extended Doherty PA exhibited an excellent drain efficiency of 50% along with 38 dB of RCE largely satisfying the linearity specifications of the standard. The efficiency enhancement is 7% in comparison to a fully analog symmetrical Doherty PA. As such, it is clearly demonstrated throughout theoretical formulations, simulation results, and experimental implementations that the proposed digital Doherty PA is an attractive topology for linear and energy-efficient power amplification in emerging wireless communications base stations.
of which is biased at
, and it is given by
and applying the procedure used through (33)–(36), it can be shown that
(38) which demonstrates (16). Now, starting from (38), one can write
(39)
APPENDIX In what follows, the expressions of (15), (16), and (12) are successively demonstrated. Starting from
By following the reverse way of the procedure used through (33)–(36), it can then be shown that
(40) (33) it can be deduced that
Afterwards, by evaluating (40) for deduced that
, it can be
(41) (34) and designate the output RF where power of the carrier amplifier of the efficiency-extended and the symmetrical Doherty PAs, respectively. Assuming that the gain of the carrier amplifier is constant, one can then write (35) In view of the fact that the carrier amplifier of the symmetrical , Doherty PA gets half of the available input power then (35) becomes (36) and thus, (15) is demonstrated. Likewise, starting from
which demonstrates (12). Last of all, it is worth mentioning that (40) also explains the expressions of the fundamental and dc current components of the carrier amplifier of the efficiency-extended Doherty PA given in (5) and (6), respectively. ACKNOWLEDGMENT The authors would like to acknowledge Dr. O. Hammi, King Fahd University of Petroleum and Minerals, Dhahran, Saudi Arabia, for his input and suggestions. The authors would also like to acknowledge Agilent Technologies, Palo Alto, CA, for the donation of the Advanced Design System (ADS) software. The authors would like to thank J. Shelley, F. Hickli, and C. Simon, all with the University of Calgary, Calgary, AB, Canada, for their technical support during circuit prototyping. REFERENCES
(37) designates the output current from the where peaking amplifier of a symmetrical Doherty PA, the peaking cell
[1] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 3, pp. 814–826, Mar. 2002. [2] F. Wang, D. Kimball, J. Popp, A. Yang, D. Y. C. Lie, P. Asbeck, and L. Larson, “Wideband envelope elimination and restoration power amplifier with efficiency wideband envelope amplifier for WLAN802.11g applications,” in IEEE MTT-S Int. Microw. Symp. Dig., 2005, pp. 645–648.
DARRAJI AND GHANNOUCHI: DIGITAL DOHERTY AMPLIFIER WITH ENHANCED EFFICIENCY AND EXTENDED RANGE
[3] I. Kim, Y.-Y. Woo, J. Kim, J. Moon, J. Kim, and B. Kim, “High-efficiency hybrid EER transmitter using optimized power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2582–2593, Nov. 2008. [4] M. Helaoui, S. Boumaiza, F. M. Ghannouchi, A. B. Kouki, and A. Ghazel, “A new mode-multiplexing LINC architecture to boost the efficiency of WiMAX up-link transmitters,” IEEE Trans. Microw. Theory Tech., vol. 55, no. 2, pp. 248–253, Feb. 2007. [5] J. H. Qureshi, M. J. Pelk, M. Marchetti, W. C. E. Neo, J. R. Gajadharsing, M. P. van der Heijden, and L. C. N. de Vreede, “A 90-W peak power GaN outphasing amplifier with optimum input signal conditioning,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1925–1935, Aug. 2009. [6] M. Iwamoto, A. Williams, P.-F. Chen, A. G. Metzger, L. E. Larson, and P. M. Asbeck, “An extended Doherty amplifier with high efficiency over a wide power range,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 12, pp. 2472–2479, Dec. 2000. [7] C. Steinbeiser, T. Landon, C. Suckling, J. Nelson, J. Delaney, J. Hitt, L. Witkowski, G. Burgin, R. Hajji, and O. Krutko, “250 W HVHBT Doherty with 57% WCDMA efficiency linearized to 55 dBc for 2c11 6.5 dB PAR,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2218–2228, Oct. 2008. [8] P. Colantonio, F. Giannini, R. Giofrè, and L. Piazzon, “Theory and experimental results of a class F AB-C Doherty power amplifier,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1936–1947, Aug. 2009. [9] A. Z. Markos, K. Bathich, and G. Boeck, “A 50 W unsymmetrical GaN Doherty amplifier for LTE applications,” in Proc. Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 994–997. [10] S. C. Jung, O. Hammi, and F. M. Ghannouchi, “Design optimization and DPD linearization of GaN-based unsymmetrical Doherty power amplifiers for 3G multicarrier applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2105–2113, Sep. 2009. [11] J. Kim, J. Cha, I. Kim, and B. Kim, “Optimum operation of asymmetrical cells-based linear Doherty power amplifier uneven power drive and power matching,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 5, pp. 1802–1809, May 2005. [12] J. Kim, B. Fehri, S. Boumaiza, and J. Wood, “Power efficiency and linearity enhancement using optimized asymmetrical Doherty power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 2, pp. 425–434, Feb. 2011. [13] J. Moon, J. Kim, I. Kim, J. Kim, and B. Kim, “A wideband envelope tracking Doherty amplifier for WiMAX systems,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 1, pp. 49–51, Jan. 2008. [14] M. Nick and A. Mortazawi, “Adaptive input-power distribution in Doherty power amplifiers for linearity and efficiency enhancement,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2764–2771, Nov. 2010. [15] R. Darraji, F. M. Ghannouchi, and O. Hammi, “A dual-input digitally driven Doherty amplifier architecture for performance enhancement of Doherty transmitters,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 5, pp. 1284–1293, May 2011. [16] Y. S. Lee, M. W. Lee, and Y. H. Jeong, “Unequal-cells-based GaN HEMT Doherty amplifier with an extended efficiency range,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 8, pp. 536–538, Aug. 2008. [17] J. Moon, J. Kim, J. Kim, I. Kim, and B. Kim, “Efficiency enhancement of Doherty amplifier through mitigation of the knee voltage effect,” IEEE Trans. Microw. Theory Tech., vol. 59, no. 1, pp. 143–152, Jan. 2011.
2909
[18] P. Colantonio, F. Giannini, R. Giofrè, and L. Piazzon, “Design of an -band GaAs MMIC Doherty amplifier accounting for device RON resistance,” in Proc. Eur. Microw. Conf., Paris, France, Sep. 2010, pp. 862–865. [19] J. R. Gajadharsing, O. Bosma, and P. van Westen, “Analysis and design of a 200W LDMOS based Doherty amplifier for 3G base stations,” in IEEE MTT-S Int. Microw. Symp. Dig., 2004, pp. 529–532. [20] O. Hammi, S. Carichner, B. Vassilakis, and F. M. Ghannouchi, “Synergetic crest factor reduction and baseband digital predistortion for adaptive 3G Doherty power amplifier linearizer design,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 11, pp. 2602–2608, Nov. 2008. [21] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009.
X
0
Ramzi Darraji (S’10) received the B.Eng. and M.Sc. degrees in communications engineering from the École Supérieure des Communications de Tunis, Ariana, Tunisia, in 2007 and 2008, respectively, and is currently working toward the Ph.D. degree at the University of Calgary, Calgary, AB, Canada. He is currently with the Intelligent RF Radio Laboratory (iRadio Lab), University of Calgary. His research interests include digital signal processing, advanced design of RF transmitters, and power-efficiency enhancement of wireless communication transmitters. He has one patent pending. Mr. Darraji was the recipient of the Alberta Innovates Technology Futures (AITF) Doctoral Scholarship in Information and Communications Technology (ICT).
Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is currently an iCORE Professor and Senior Canada Research Chair with the Electrical and Computer Engineering Department, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada, and Director of the Intelligent RF Radio Laboratory. He has held several invited positions with academic and research institutions in Europe, North America, and Japan. He has provided consulting services to a number of microwave and wireless communications companies. He has authored or coauthored over 400 publications. He holds ten U.S. patents with three pending. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless and satellite communications. Prof. Ghannouchi is a Fellow of the Institution of Engineering and Technology (IET). He is a Distinguish Microwave Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).
2910
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
A 15-dBm SiGe BiCMOS PA for 77-GHz Automotive Radar Vittorio Giammello, Egidio Ragonese, Member, IEEE, and Giuseppe Palmisano, Senior Member, IEEE
Abstract—This paper presents a 15-dBm power amplifier for 77-GHz automotive radar applications, which is fabricated in a 0.13- m SiGe:C BiCMOS process featuring bipolar transistors with max of 230/280 GHz. The circuit consists of a two-stage pseudodifferential cascode with fully integrated input/output matching networks. State-of-art performance is achieved with the proposed design algorithm and layout optimization. The amplifier demonstrates a figure-of-merit of 2500 achieving a 22.5-dB power gain and a power-added efficiency of 7.5% at 77 GHz, while drawing 130 mA from a 2.5-V voltage supply.
plication. To improve circuit performance, the detrimental effects of main layout parasitic are carefully investigated. This paper is organized as follows. Section II describes the PA design algorithm. The PA core, the inductive components, and layout parasitics are discussed in Section III. Finally, the experimental results are reported in Section IV and compared with significant state-of-the-art of 77-GHz PAs.
Index Terms—BiCMOS integrated circuits (ICs), electromagnetic (EM) simulations, IC layout, millimeter-wave circuits, power amplifier (PA), transformers.
II. PA DESIGN ALGORITHM
I. INTRODUCTION AFETY AND comfort during driving has been one of the major concerns of recent industrial and academic research, and many advances have been made toward the development of high-performance automotive sensors. The helpful adoption of these sensors implements a 360 -coverage around the vehicle, thus avoiding blind zones and reducing potentially dangerous situations. In this viewpoint, the adoption of both 24-GHz shortrange radar (SRR) and 77-GHz long-range radar (LRR) sensors is of utmost importance. Moreover, due to the high transition frequency, , (above 200 GHz), advanced silicon-based technologies can be also used at millimeter wave [1]–[3], thus allowing a mass-market production. Actually, complete 24-GHz sensors operating at short-range distances (i.e., from 1 to 30 m) have already been developed [4]–[7], whereas 77-GHz sensors for distances up to 120 m are still challenging. Indeed, the reduction in breakdown voltages and the harmful effect of layout parasitics hamper high power generation, making the power amplifier (PA) the bottleneck of a 77-GHz transceiver. This paper describes the design procedure and experimental performance of a 77-GHz two-stage SiGe HBT PA for LRR ap-
S
Manuscript received February 02, 2011; revised August 04, 2011; accepted August 17, 2011. Date of publication September 29, 2011; date of current version November 16, 2011. V. Giammello was with the Facoltà di Ingegneria, Dipartimento di Ingegneria Elettrica, Elettronica e Informatica, Università di Catania, Catania I-95125, Italy. He is now with ST-Ericsson, Catania I-95121, Italy (e-mail: [email protected]). E. Ragonese and G. Palmisano are with the Facoltà di Ingegneria, Dipartimento di Ingegneria Elettrica Elettronica e Informatica, Università di Catania, Catania I-95125, Italy (e-mail: [email protected]; [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166802
Among the several performance parameters of the PA, the output power in LRR applications is the most crucial one. The reason arises from simple considerations drawn from the radar equation [8]. Indeed, assuming a radar cross section of 30 m at 77 GHz (i.e., a typical value for a car [9]), an antenna gain and a bumper loss of 18 dBi and 3 dB, respectively, the maximum detection range is about 120 m, provided that the saturated is at least 14 dBm and the receiver sensioutput power relaxes tivity better than 105 dBm. Obviously, a higher the system specifications in terms of antenna gain and receiver noise factor. The PA was designed to optimize the overall performance, according to the following design while maximizing the procedure: 1) determine the most suitable circuit topology to maximize the collector voltage swing of the output stage according to the device breakdown limits of the adopted technology; 2) set the bias current density of the first stage at the peak of to maximize the small-signal power gain; 3) set the bias current (and therefore, the emitter area) of the first stage to trade off linearity and efficiency; 4) set the bias current density of the output stage at about 50% peak in order to maximize the efficiency with of a minimal degradation of the output power [10]; 5) set the bias current, and therefore the emitter area of the with an acceptable output stage, to reach the targeted (e.g., higher value of the optimum load impedance than 10 ); in 6) design the output matching network to achieve large-signal conditions (i.e., , dBm), according to the power-matching approach [11]; 7) design the inter-stage matching network to maximize the power transfer between the driver and the power stage; 8) design the input matching network to 50- conjugate matching. It is worth noting that the output power matching must be guaranteed with an input power level close to 0 dBm, which is the typical level provided by a voltage-controlled oscillator.
0018-9480/$26.00 © 2011 IEEE
GIAMMELLO et al.: 15-dBm SiGe BiCMOS PA FOR 77-GHz AUTOMOTIVE RADAR
2911
Fig. 1. Schematic of the 77-GHz PA with main PA core (red in online version) and GP (grey) parasitics.
Actually, at millimeter-wave frequencies the layout planning plays a fundamental role at the very beginning of the design flow. Indeed, on the basis of the adopted circuit topology, both active and passive components have to be properly placed to minimize the RLC layout parasitics. The accurate extraction of such parasitics is therefore mandatory to verify the soundness of the floor plan through the evaluation of circuit performance degradation. To this aim, electromagnetic (EM) analysis and post-layout simulations (PLSs) are extensively used, and the behavior of parasitics is included into the schematic as lumped components [12], [13], and/or -parameter-based blocks. A deep understanding of the circuit performance degradation phenomena requires that each parasitic contribution has to be included in the schematic one at time. This procedure allows the designer to find the floor-plan weak points, thus overcoming them by proper rearrangements of both the layout and circuit sizing (e.g., transistors, bias circuitry, matching networks, etc.). The success of the circuit/layout co-design and the agreement between simulated and measured performance of a millimeter-wave PA highly depends on the reliability of the EM analysis of both passive components and layout parasitics that are largely discussed in Sections III-B and III-C, respectively. III. CIRCUIT DESCRIPTION The PA was designed and integrated in a 0.13- m BiCMOS technology supplied by STMicroelectronics, Crolles, France [14]. The process features SiGe transistors with of 230/280 GHz and a collector–emitter breakdown voltage of 1.6 V. The bipolar transistor model supports three different structures: single-base transistor (CBE), double-base transistor (CBEB), and multifinger structure (n(CBEB)-C) with emitter fingers ( – ). The back-end-of-line (BEOL) consists of six copper metal layers and a top aluminum metal. A. Amplifier Core The 77-GHz PA is made up of a driver and a power stage. It widely exploits a transformer-coupled approach [15], [16]. The 3-D circuit view of the amplifier is reported in Fig. 1 together with the most significant parasitic components (depicted in red in online version) and the ground plane (GP) (depicted in
TABLE I TRANSISTOR PARAMETERS OF THE TWO-STAGE PA
grey). Transformer-based input/output networks provide singleended-to-differential conversions, electrostatic discharge (ESD) protection, and conjugate/power matching. The amplifier was designed according to the procedure described in Section II. In particular, the driver stage was designed for maximum gain, while the power stage to tradeoff output power and efficiency. To this aim, a scaling factor of 4 in the transistor size was set from the power to the driver stage. Geometrical and electrical parameters of transistors are summarized in Table I. For the sake peak in our process is at a current denof clarity, the sity of 8 mA m . Each stage is based on a differential cascode (CAS) topology. Although the straightforwardness of the common-emitter (CE) topology is largely proven in the literature, the CAS topology was preferred to achieve a higher stable gain, better reverse isolation, and improved robustness. In particular, in a CAS topology, the addition of the commonbase (CB) in series to the CE overcomes to some extent the breakdown voltage limits. A large output voltage swing is tolerated by the CB if it is driven with a low base resistance ( ) (in allows extra generboth dc and ac conditions). Indeed, a low ated majority carriers to be taken out of the base before reaching the base–emitter depletion region; hence, increasing the breakdown voltage [17], [18]. In this design, the CB bias circuitry of about 150 in dc and purely capacitive at provides an of about 3.1 V to be 77 GHz. Such impedance allows a
2912
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
achieved. This circuit approach guarantees PA operation above (i.e., 1.6 V) without reliability issues. The adopted differential structure avoids the detrimental effects of the inductive parasitics towards ground. These effects are further exacerbated at millimeter wave and produce both performance degradation and stability problems in single-ended configurations. In the recent literature, several examples of single-ended millimeter-wave PAs are reported [10], [19]–[21], which do not deal with such a drawback or exploit proper ground-plane arrangements to minimize on-chip emitter parasitic. These approaches allow the maximization of the PA on-wafer performance since it avoids losses due to the input/output single-ended-to-differential conversions. However, single-ended topologies are not suited to a reliable implementation of millimeter-wave PAs. Indeed, ground parasitics due to assembling and packaging (i.e., wire bonding or bumps) are highly dominant with respect to on-chip contributions, thus representing the actual bottleneck for the PA performance. At millimeter-wave frequencies, assembling aspects can reliably be managed by using a differential approach. However, the proposed PA is not truly differential, but it adopts a pseudodifferential configuration. This solution takes and emitters. advantage of the ac ground at Actually, the inductive paths due to the on-chip layout conand emitters (i.e., ) can nections of be highly reduced by proper transistor placement, as will be detailed in Section III-C.
Fig. 2. Comparison between measured and simulated inductances of multilayer single-turn inductors (w = 5 m) at 77 GHz.
B. Millimeter-Wave On-Chip Transformers The performance of millimeter-wave integrated circuits (ICs) strongly depends on the quality of inductive components. Advanced Si-based platforms for microwave applications [14], [22]–[24] take advantage of multilevel metal layers to implement high quality factor ( -factor) spirals. In the adopted BiCMOS process, design kit (DK) spiral inductors exploit a three-layer structure (i.e., aluminum top metal, metal 6, and metal 5), which allows decreasing the equivalent sheet resistance at the cost of a slight reduction of low-frequency inductance [25]. Moreover, a polysilicon patterned ground shield (PGS) is exploited to avoid substrate losses due to the displacement currents. Actually, single-turn spirals available in the DK cover an overall inductance range from 80 pH to 0.63 nH, which unfortunately is unsuited for applications in the -band. For this reason, a set of multilayer spirals was properly optimized for 77-GHz operation (with inductances from 50 to 80 pH) by using 2.5-D EM simulations in ADS Momentum by Agilent Technologies. The EM-based design of very-low inductance spirals requires a reliable setup of the adopted tool. Specifically, multilayer metal representation, substrate definition, and mesh arrangements have to be properly set for the highest accuracy [26]. To this aim, available measurements of multilayer single-turn spirals with different inner diameter were exploited. The comparison between measurements and EM simulations for inductances and -factors at 77 GHz are reported in Figs. 2 and 3, respectively. Maximum relative errors are less than 0.9% and 4.5%, for inductance and -factor, respectively, which demonstrates the accuracy of the adopted simulation approach.
Fig. 3. Comparison between measured and simulated Q-factors of multilayer single-turn inductors (w = 5 m) at 77 GHz.
Due to the high confidence in the EM-based design flow for pH inductors, the effects of the metal GP and the polysilicon PGS on the performance of single-turn spirals were investigated at 77 GHz. As far as the ground-plane distance (GPD) from the spiral is concerned, the parasitic magnetic couplings between the inductor and the GP are responsible for a reduction in the -factor, already at RF frequencies [13]. The effect of the GPD (normalized with respect to ) on the -factor was investigated by means of EM simulations, as shown in Fig. 4. Displayed results, related to three single-turn inductors using a minof 5 m, clearly indicates that a GPD imum metal width higher than (i.e., around 25 m) is required to avoid a considerable performance degradation due to ground-plane couto plings. Indeed, the increase of the GPD from provides a -factor improvement better than 20%. According to these considerations, for all the inductive components in the proposed PA, the distance between the spiral and GP was set to 30 m. This choice also allows metal density rules for chemical mechanical planarization (CMP) integrity to be fulfilled since a
GIAMMELLO et al.: 15-dBm SiGe BiCMOS PA FOR 77-GHz AUTOMOTIVE RADAR
2913
TABLE II ELECTRICAL PARAMETERS OF TRANSFORMERS AT 77 GHz
Fig. 4. Effect of the GPD on the turn inductors ( = 5 m).
w
Q-factor at 77 GHz of three multilayer single-
intermatching transformers, and , while a stacked configuration was preferred for the output balun , which provides a higher magnetic coupling factor . To reduce losses between primary and secondary coils, transformers were connected in resonant tuning mode with shunt capacitors [29]. In this view45 fF , point, the unfavorable large pad capacitances, together with metal–insulator–metal (MIM) capacitors were and 55 fF used for tuning operation. Specifically, provide 50- input matching, thus allowing maximum power and 30 fF were set transfer from the source, whereas for maximum delivered power at the PA output, according to together the design approach mentioned above. Transformer 95 fF provides optimum load with series MIM capacitors for the driver and maximizes the power transfer towards the second stage. To reduce series losses, both primary and secand adopt the same three-layer structure ondary coils of consist of a two-layer of DK inductors, while windings of structure (i.e., aluminum top metal/metal6 and metal5/metal4). The minimum allowed coil width of 5 m was chosen to maximize the self-resonance frequency, which is higher than , is 25 m for and 150 GHz. The internal diameter, and . The simulated electrical parameters of 30 m for transformers are summarized in Table II. C. Layout Parasitics
T ; T ) and stacked (T ) transformers with
Fig. 5. 3-D view of interleaved ( the adopted metal stack.
30- m dummy-free zone around the spiral can be tolerated in the final IC. The adoption of a PGS beneath the spiral inductors is a very common practice, especially at RF [27]. However, as the operating frequency increases and both narrow metal (e.g., 5 m) and small inner diameter (e.g., 30 m) are adopted, the spiral area and consequently the substrate capacitive effects, are highly reduced. This suggests the removal of the PGS, which has negligible effect on the substrate losses [28]. Moreover, the PGS inductive path toward on-chip ground reference (i.e., the metal GP) can produce detrimental effects on circuit behavior due to resonating phenomena of the shielding structure. Based on these considerations, the inductive components designed for the 77-GHz PA do not use the polysilicon PGS. By taking advantage of the above-described results, PA transformers ( , , and ) were designed. The 3-D view of adopted transformers along with the metal stack description is shown in Fig. 5. An interleaved structure was used for input and
A crucial issue of millimeter-wave IC design is related to the evaluation of layout parasitics since they have a considerable impact on the circuit performance, especially for PAs. Although a proper choice of both circuit topology and layout floor plan can greatly help in the minimization of parasitics, a careful EM/PLS-based estimation is still mandatory. As already pointed out in the PA schematic of Fig. 1, the most critical layout parasitics can be summarized as follows: 1) fringing capacitances between transistor terminals; ; 2) inductances of emitter connections ; 3) inductances of base connections connection ; 4) inductance of bypass capacitor ; 5) inductance of the connection between CE and CB 6) distributed GP inductance and resistance (represented in gray in Fig. 1). , , , , , and Fringing capacitors ( ) are mainly due to metal paths used to draw out the HBT terminals. Indeed, multilayer connections, which are used to reduce parasitic series resistances and comply with the electro-migration requirements, highly increase facing areas and consequently fringing capacitances. This drawback is further exacerbated in multicontact transistor structures,
2914
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 6. Transistor structure and metal ladders adopted in emitter and collector fingers.
i.e., n(CBEB)-C, commonly adopted to shrink the amplifier is core [15], [19], [20]. Among the fringing capacitances, responsible of a feedback effect that can produce instabilities, especially at millimeter-wave frequencies. In the proposed PA, a simple and effective solution was adopted to minimize fringing capacitances, still using multilayer metal paths and multicontact transistors. It consists of a ladder structure for the stacked metals, as shown in Fig. 6. This arrangement allows a considerable reduction of the facing areas, especially for the highest and thickest metal layers. Due to the adopted solution, fringing parasitic capacitances were kept below 15 fF, thus avoiding instability issues. Optimized layout floor plan and routing is mandatory for the drawing of the PA core in order to reduce the inductive parasitics (points 2–5 of the reported list). Different layout configurations for the elementary CAS stage were investigated by using an EM/circuital co-simulation approach. The layout configuration previously adopted in [15] was the starting point for this work. For the sake of completeness, it is depicted in Fig. 7. This soluinput path tion has the advantage to optimize both output path, thus reducing their impact on the and input, output, and inter-stage matching networks. On the other hand, this initial choice presents a weak point due to the inducand ), tances of emitter and base connections (i.e., which cannot be reduced below 7 pH. It is worth noting that at 77 GHz these inductive parasitics are high enough to produce gain losses and increase the risk of instability. On the basis of these considerations, the modified layout configuration shown in Fig. 8 was investigated and finally adopted for both PA CAS stages. In this solution, special care was given to the arrangements of both CE emitter and CB base connections. Indeed, as Fig. 8 clearly highlights, the layout routing of the above-cited connections was greatly advantaged, at the cost of an increment of the parasitic inductance due to input and output paths. Actually, this drawback was completely neutralized since the added inductive parasitics are absorbed in the resonant LC matching networks by means of a proper inductive component sizing. Moreover, the adopted layout arrangement causes a longer connection path between transistors. The resulting parasitic inis profitably used to improve the power transfer ductance
Fig. 7. First layout configuration of the PA CAS stage with parasitic components.
Fig. 8. Final layout configuration of the PA CAS stage with parasitic components.
between CE and CB transistors and thus the overall PA gain [30]. The parasitic fringing capacitances, which are clearly the same for both layout configurations, were evaluated by means of PLS (Calibre RCc) and 2-D EM simulations (Momentum MW) as well. Both parasitic extraction methods returned very similar results. For the sake of completeness, the parasitic capacitance values are summarized in Table III. As far as the parasitic inductances are concerned, the evaluation was carried out by means of 2-D EM simulations (Agilent Momentum MW). The obtained values for the layout configurations of Figs. 7 and 8 are reported in Table IV. The numeric
GIAMMELLO et al.: 15-dBm SiGe BiCMOS PA FOR 77-GHz AUTOMOTIVE RADAR
2915
TABLE III VALUES OF PARASITIC CAPACITANCES AT 77 GHz
TABLE IV VALUES OF PARASITIC INDUCTANCES AT 77 GHz
Fig. 10. Die photograph of the 77-GHz PA.
highest Cu metals (metal 6, metal 5, and metal 4) were considered. Thanks to the high thickness of both metal 6 (i.e., 3 m) and the inter-metal oxides, the simple single-layer structure resulted the best tradeoff solution for the proposed PA. and resisEM simulations returned GP inductance values in the range of 20–45 pH and 1–1.5 , retance spectively, depending on the distance to the ground pads. Due to the adopted pseudodifferential topology, such values for and do not affect the PA performance. Fig. 9. Examples of different metal ground-plane structures.
IV. EXPERIMENTAL RESULTS comparison clearly highlights differences and advantages of the adopted layout solution, as previously discussed. An important role was also played by the PA ground reference. The choice of the most appropriate structure for the GP is highly related to the adopted technology BEOL. In general, different and conflicting requirements have to be balanced. Multilayer stacked structures allows obtaining a low-resistance and low-inductance GP by means of an increased equivalent thickness. However, the use of lower metals could produce a detrimental increment of the parasitic capacitance toward the substrate. Moreover, due to the density rules for CMP, proper holed planes have to be used for each metal layer. Fig. 9 depicts three possible arrangements for the metal GP, which differs for the number of the adopted layers. It is worth noting that only the
The photograph of the fabricated 77-GHz PA is shown 300 m, in Fig. 10. The amplifier core size is 140 m including input/output baluns, whereas the total die area is 450 m 600 m to comply with on-wafer testing. All measurements were performed using ground–signal–ground probes with 100- m pitch. The power supply was set to 2.5 V. To demonstrate the validity of the proposed design flow, comparisons between measured and simulated performance are reported. Fig. 11 shows the small-signal -parameters. At 77 GHz, the gain ( ) and the input return loss ( ) are 22.5 and 18 dB, respectively. Since the power-matching approach ) is not was adopted at the output, the output return loss ( ) is below 50- matched at 77 GHz. The reverse isolation ( 40 dB in the overall measurement range.
2916
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 12. Measured and simulated large-signal parameters of the 77-GHz PA. Fig. 11. Measured and simulated small-signal parameters of the 77-GHz PA. TABLE V PERFORMANCE COMPARISON WITH STATE-OF-THE-ART 77-GHz PAs
Large-signal parameters measured at 77 GHz are shown in Fig. 12. The PA saturated output power is 15 dBm with a peak power-added efficiency (PAE) of 7.5%. The quiescent current is 130 mA. The output-referred 1-dB compression point (OP1 dB) is 12 dBm. The achieved performance demonstrates that the PA is suitable for 77-GHz LRR applications. The good agreement between measurements and simulations of both small- and largesignal parameters confirms the accuracy of the adopted design flow. It is worth mentioning that the proposed circuit topology and layout strategies considerably improved the robustness of the amplifier with respect to parasitics. Indeed, the impact of parasitics on the performance of the proposed PA is actually of about low. It is mainly related to a reduction of the 0.5 dB that would have been around 2 dB using the first layout configuration. Table V summarizes the measured performance, while comparing with the state-of-the-art of both SiGe and CMOS 77-GHz PAs. The proposed amplifier exhibits the highest gain, while is higher than [10], [15], [20], [31]–[34], and compathe rable with [19] and [21]. The PA also demonstrates state-of-art performance in terms of PAE. It is worth noting that the excellent trade off between output power, efficiency, and gain performance allows the highest figure-of-merit (FoM) to be achieved, according to the FoM defined in [34].
GIAMMELLO et al.: 15-dBm SiGe BiCMOS PA FOR 77-GHz AUTOMOTIVE RADAR
V. CONCLUSION In this paper, the design key points of an SiGe PA for 77-GHz automotive radar have been deeply discussed with particular emphasis on the circuit topology, passive components, and layout parasitics. A circuit/layout co-design approach together with a proper design algorithm have been exploited to opti, and PAE and mize circuit performance trading off gain, achieving the highest state-of-art FoM.
ACKNOWLEDGMENT The authors would like to thank STMicroelectronics, Crolles, France, for chip fabrication, and C. Arnaud, STMicroelectronics, for the valuable support in carrying out measurements.
REFERENCES [1] H. Hashemi, X. Guan, A. Komijani, and A. Hajimiri, “A 24-GHz SiGe phased-array receiver-LO phase shifting approach,” IEEE Trans. Microw. Theory Tech, vol. 53, no. 2, pp. 614–626, Feb. 2005. [2] S. K. Reynolds, B. Floyd, U. Pfeiffer, T. Beukema, J. Grzyb, C. Haymes, B. Gaucher, and M. Soyuer, “A silicon 60 GHz receiver and transmitter chipset for broadband communications,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2820–2831, Dec. 2006. [3] E. Laskin, M. Khanpour, R. Aroca, K. W. Tang, P. Garcia, and S. P. Voinigescu, “A 95 GHz receiver with fundamental-frequency VCO and static frequency divider in 65 nm digital CMOS,” in IEEE Int. SolidState Circuits Conf. Tech. Dig., Feb. 2008, pp. 180–181. [4] I. Gresham, A. Jenkins, R. Egri, C. Eswarappa, N. Kinayman, N. Jain, R. Anderson, F. Kolak, R. Wohlert, S. P. Bawell, J. Bennet, and J.-P. Lanteri, “Ultra-wideband radar sensors for short-range vehicular applications,” IEEE Trans. Microw. Theory Tech, vol. 52, no. 9, pp. 2105–2122, Sep. 2004. [5] E. Ragonese, A. Scuderi, V. Giammello, E. Messina, and G. Palmisano, “A fully integrated 24GHz UWB radar sensor for automotive applications,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2009, pp. 306–307. [6] V. Jain, F. Tzeng, L. Zhou, and P. Heydari, “A single-chip dual-band 22-29-GHz/77-81-GHz BiCMOS transceiver for automotive radars,” IEEE J. Solid-State Circuits, vol. 44, no. 12, pp. 3469–3485, Dec. 2009. [7] D. Saunders et al., “A single-chip 24 GHz BiCMOS transceiver for FMCW automotive radars,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2009, pp. 459–462. [8] D. M. Pozar, Microwave Engineering, 2nd ed. New York: Wiley, 1998. [9] L. L. Nagy and J. A. M. Lyon, “An ultrashort pulse radar sensor for vehicular precollision obstacle detection,” IEEE Trans. Veh. Technol., vol. VT-24, no. 4, pp. 41–45, Nov. 1975. [10] S. T. Nicolson, K. Yau, S. Pruvost, V. Danelon, P. Chevalier, P. Garcia, A. Chantre, B. Sautreil, and S. Voinigescu, “A low-voltage SiGe BiCMOS 77-GHz automotive radar chipset,” IEEE Trans. Microw. Theory Tech, vol. 56, no. 5, pp. 1092–1103, May 2008. [11] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 1999. [12] J. R. Long and M. Danesh, “A uniform compact model for planar RF/MMIC interconnects, inductors and transformers,” in Proc. IEEE Bipolar/BiCMOS Circuits Tech. Meeting, Sep. 2001, pp. 167–170. [13] A. Scuderi, T. Biondi, E. Ragonese, and G. Palmisano, “A lumped scalable model for silicon integrated spiral inductors,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 51, pp. 1203–1209, Jun. 2004. [14] G. A. Avenier et al., “0.13 m SiGe BiCMOS technology fully dedicated to mm-wave applications,” IEEE J. Solid-State Circuits, vol. 44, no. 9, pp. 2312–2321, Sep. 2009. [15] V. Giammello, E. Ragonese, and G. Palmisano, “Transmitter chipset for 24/77-GHz automotive radar sensors,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., May 2010, pp. 75–78.
2917
[16] V. Giammello, E. Ragonese, and G. Palmisano, “Transformer coupled cascode stage for mm-wave power amplifiers in sub-m CMOS technology,” Springer Analog Integr. Circuits Signal Process., vol. 66, pp. 449–453, Mar. 2011. [17] S. Mandegaran and A. Hajimiri, “A breakdown voltage multiplier for high voltage swing drivers,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 302–312, Feb. 2007. [18] H. Veenstra, G. A. M. Hurkx, D. van Goor, H. Brekelmans, and J. R. Long, “Analyses and design of bias circuits tolerating output voltages above BVCEO,” IEEE J. Solid-State Circuits, vol. 40, no. 10, pp. 2008–2018, Oct. 2005. [19] A. Komijani and A. Hajimiri, “A wideband 77-GHz, 17.5-dBm fully integrated power amplifier in silicon,” IEEE J. Solid-State Circuits, vol. 41, no. 8, pp. 1749–1756, Aug. 2006. [20] N. Demirel, E. Kerherve, D. Pache, and R. Plana, “Design techniques and considerations for mm-wave SiGe power amplifiers,” in IEEE Microw. Opt. Conf. Dig., Nov. 2009, pp. 37–41. [21] R. B. Yishay, R. Carmon, O. Katz, and D. Elad, “A high gain wideband 77 GHz SiGe power amplifier,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2010, pp. 529–532. [22] B. A. Orner, M. Dahlstrom, A. Pothiawala, R. M. Rassel, Q. Liu, H. Ding, M. Khater, D. Ahlgren, A. Joseph, and J. Dunn, “A BiCMOS technology featuring a 300/330 GHz (f =f max) SiGe HBT for millimeter wave applications,” in Proc. IEEE Bipolar/BiCMOS Circuits Tech. Meeting, Oct. 2006, pp. 49–52. [23] P. Chevalier, D. Gloria, P. Scheer, S. Pruvost, F. Gianesello, F. Pourchon, P. Garcia, J.-C. Vildeuil, A. Chantre, C. Garnier, O. Noblanc, S. P. Voinigescu, T. O. Dickson, E. Laskin, S. T. Nicolson, T. Chalvatzis, and K. H. K. Yau, “Advanced SiGe BiCMOS and CMOS platforms for optical and millimeter-wave integrated circuits,” in Proc. IEEE CSICS, Nov. 2006, pp. 12–15. [24] W. M. Huang, J. P. John, S. Braithwaite, J. Kirchgessner, I. S. Lim, D. Morgan, Y. B. Park, S. Shams, I. To, P. Welch, R. Reuter, H. Li, A. Ghazinour, P. Wennekers, and Y. Yin, “SiGe 77 GHz automotive radar technology,” in Proc. IEEE Int. Circuits Syst. Symp., 2007, pp. 1967–1970. [25] A. Scuderi, T. Biondi, E. Ragonese, and G. Palmisano, “Analysis and modeling of thick metal spiral inductors on silicon,” in Proc. IEEE Eur. Microw. Conf., Oct. 2005, pp. 81–84. [26] E. Ragonese and G. Palmisano, “Design of a SiGe BiCMOS low-noise amplifier for 24-GHz UWB automotive radar,” in Eur. Agilent Technol. ADS Users’ Group Meeting, Rome, May 2009. [Online]. Available: http://www.home.agilent.com/upload/cmc_upload/All/Ragonese EuropeanADSMeetingweb.pdf [27] C. P. Yue and S. S. Wong, “On-chip spiral inductors with patterned ground shields for Si-based RF ICs,” IEEE J. Solid-State Circuits, vol. 33, no. 5, pp. 743–752, May 1998. [28] T. O. Dickson, M. LaCroix, S. Boret, D. Gloria, R. Beerkens, and S. P. Voinigescu, “30–100 GHz inductors and transformers for millimeter-wave (Bi)CMOS integrated circuits,” IEEE Trans. Microw. Theory Tech, vol. 53, no. 1, pp. 123–133, Jan. 2005. [29] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000. [30] W. S. Kim, X. Li, and M. Ismail, “A 2.4 GHz CMOS low noise amplifier using an inter-stage matching inductor,” in Proc. Midwest Symp. Circuits Syst., Aug. 1999, vol. 2, pp. 1040–1043. [31] U. R. Pfeiffer, S. K. Reynolds, and B. A. Floyd, “A 77 GHz SiGe power amplifier for potential applications in automotive radar systems,” in IEEE Radio Freq. Integr. Circuits Symp. Dig., Jun. 2004, pp. 91–94. [32] Y. Hamada, M. Tanomura, M. Ito, and K. Maruhashi, “A high gain 77 GHz power amplifier operating at 0.7 V based on 90 nm CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 329–331, May 2009. [33] Y. Li, M. Hung, S. Huang, and J. Lee, “A fully integrated 77 GHz FMCW radar system in 65 nm CMOS,” in IEEE Int. Solid-State Circuits Conf. Tech. Dig., Feb. 2010, pp. 216–217. [34] J. Lin, K. To, D. Hammock, B. Knappenberger, M. Majerus, and W. Huang, “Power amplifier for 77-GHz automotive radar in 90-nm LP CMOS technology,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 5, pp. 292–294, May 2010. [35] International Technology Roadmap for Semiconductors (ITRS), 2009 Update System Drivers, 2009. [Online]. Available: http://www.itrs.net/ Links/2009ITRS /2009Chapters_2009Tables/2009_SysDrivers.pdf
2918
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Vittorio Giammello was born in Catania, Italy, in 1981. He received the Laurea degree in electronics engineering and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 2006 and 2010, respectively. From 2005 to May 2011, he was with the Radio Frequency Advanced Design Center, a joint research group supported by the University of Catania (DIEEI) and STMicroelectronics, Catania, Italy, where he was involved in the design and development of ICs for 24/77-GHz automotive radar applications and 60-GHz wireless local area networks (WLANs). He is currently with ST-Ericsson, Catania, Italy, where he is involved in the design of dc/dc converters for mobile communications.
Egidio Ragonese (M’03) received the Laurea degree in electrical engineering (magna cum laude) and Ph.D. degree in electronics and automation engineering from the University of Catania, Catania, Italy, in 1999 and 2003, respectively. Since 1999, he has been with the Radio Frequency Advanced Design Center (RF-ADC), a joint research center supported by the University of Catania (DIEEI) and STMicroelectronics, where he is Research Assistant Professor. He was involved in the design and development of silicon-integrated transceivers for wireless communications (e.g., cellular, WLAN, ultra-wideband, DVB-S applications, etc.). He has coauthored several papers in international journals and conference proceedings and a book on integrated inductors and transformers for RF and millimeter-wave applications. His research interests
also included the optimization and modeling of silicon-integrated passive devices and monolithic filtering techniques for wireless systems. Over the last years, he has been active in the field of millimeter-wave ICs in SiGe HBT BiCMOS and sub-m CMOS processes for communication, radar and medical applications.
Giuseppe Palmisano (M’08–SM’09) received the Laurea degree in electronics engineering from the University of Pavia, Pavia, Italy, in 1982. From 1983 to 1991, he was a Researcher with the Department of Electronics, University of Pavia, where he was involved in CMOS and BiCMOS analog IC design. In 1992, he was a Visiting Professor with the Universidad Autonoma Metropolitana (UAM), Mexico City, Mexico, where he held a course on microelectronics for Ph.D students. In 1993 and 2000, he joined the Faculty of Engineering, University of Catania, Catania, Italy, as an Associate Professor and a Full Professor, respectively, where he taught microelectronics. Since 1999, he has been leading the Radio Frequency Advanced Design Center (RF-ADC), a joint research center supported by the University of Catania and STMicroelectronics, Catania, Italy. He has designed several innovative analog ICs and systems within the framework of national and European research projects and in collaboration with electronics industries. He has coauthored over 200 papers in international journals and conference proceedings and a book on current operational amplifiers. He holds several international patents. His current research interest lies in the design of RF and microwave ICs for wireless communications.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2919
DC/RF Hysteresis in Microwave pHEMT Amplifier Induced by Gate Current—Diagnosis and Elimination Nai-Chung Kuo, Student Member, IEEE, Pin-Sung Chi, Almudena Suárez, Senior Member, IEEE, Jing-Lin Kuo, Student Member, IEEE, Pin-Cheng Huang, Student Member, IEEE, Zuo-Min Tsai, Member, IEEE, and Huei Wang, Fellow, IEEE
Abstract—In this paper, an -band pseudomorphic HEMT power amplifier (PA) is reported with two kinds of hysteresis phenomena; the first occurs in the dc–IV measurement, and the second is observed in the power measurement. The unusual phenomena can be attributed to the gate current resulting from the impact ionization coupling with the gate bias resistor, which is usually observed in the design of RF circuits to provide the gate bias. After the gate current is considered, two methods are proposed to analyze the hysteresis with the same conclusion. The cause of the encountered hysteresis is for the first time identified, and criteria for the selection of the gate bias resistor in order to avoid the hysteresis are proposed. Finally, a PA complying with these criteria is presented with good performances and without hysteresis. Index Terms—Gate current, hysteresis, impact ionization, power amplifier (PA).
I. INTRODUCTION
G
ATE CURRENT of the HEMT has been intensively researched over the past two decades; its physical basis has been well recognized and its negative influences to the device have been widely reported, concerning either dc or RF characteristics, reversible or not. Generally, the gate current can indicate the impact ionization or the hot electrons in the device channel when the device is turned on. This defines the on-state of the device, which constitutes a breakdown voltage well-accepted constraint for the device operation [1], [2]. Burn out of devices have been reported when the limitation of is infringed, accompanied by excessive gate current, which indicates the destructive current multiplication in the channel [1], [2]. Moreover, the hot-electron stress can temporarily or permanently decrease the threshold voltage of the device [3], [4], and it also accounts for the irrecoverable increase in the breakdown voltage (breakdown walkout), which is followed by an increase Manuscript received March 31, 2011; revised May 30, 2011; accepted June 13, 2011. Date of publication August 08, 2011; date of current version November 16, 2011. This work was supported by the National Science Council of Taiwan under Contract NSC 98-2219-E-002-005, Contract NSC 98-2219-E-002-010, and Contract NSC 98-2221- E-002-059, and by the National Taiwan University under Excellent Research Project 98R0062-01 and Project 98R0062-03. N.-C. Kuo, P.-S. Chi, J.-L. Kuo, P.-C. Huang, Z.-M. Tsai, and H. Wang are with the Graduate Institute of Communication Engineering, National Taiwan University, Taipei 10617, Taiwan (e-mail: [email protected]). A. Suárez is with the Communication Engineering Department, University of Cantabria, Santander 39005, Spain. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2160966
in the drain resistance and the gate–drain capacitance [5]. Modification in the layout of the device by increasing the distance between the gate and the drain can alleviate the impact ionization, and it is capable of reducing the gate current and extending the breakdown voltage [6]. On the other hand, when RF power is applied to the device, the gate current is observed to increase correspondingly, and thus the limitation on the gate current will limit the maximum output poweratthesametime[7]–[9].Theimpactionization,determined by the intensity of the drain–gate electric field, also varies with the output impedances of the device in the RF operation. As for the long-term reliability, a pseudomorphic HEMT (pHEMT) power amplifier (PA) was reported to degrade the maximum current and the output power (power slump), with the level of degradation highly correlated to the gate current of the device by the trapping of the electrons in the nitride passivation layer [10]. Overall, the existence of the gate current should be taken into account in the design of PAs since it affects both the dc and the RF performance; the biases should be selected in order to avoid the on-state breakdown, but the output impedance should not be compromised since it determines the output capability. It is more sensible for a PA to be designed in the conventional way with the gate–current monitored during the operation [7]–[9]. Inthispaper,asingle-stage -bandPAisdesignedwiththegate voltage provided through a bias resistor, which is a conventional method for the gate voltage to be fed. However, even if the gate current is far below the typical breakdown criterion of 1mA/mm, the PA does not function normally. When the dc–IV curves are measured by sweeping the gate supply voltage, the drain and gate currents exhibit hysteresis loops, with an interval of gate supply voltages giving two possible stable currents. The physical observation of one or another depends on the direction of the sweep. On the other hand, when the output power of the PA is measured at a fixed bias point, the currents and the output power also exhibit hysteresis phenomena versus the input power. Hysteresis has been widely reported in the operation of PAs [11]–[14], most of them resulting from nonlinear capacitances and associated with parasitic oscillations. In fact, the hysteresis can give rise to jumps between two different periodic regimes at the drive frequency (jumps in the power-transfer curve) [13] or give rise to jumps between the desired periodic regime and an undesired mixer-like regime, involving a self-oscillation [14]. Different methods, based on the use of auxiliary generators (AGs), have been applied in [13] and [14] to analyze these undesired behaviors. Here, we reveal that hysteresis phenomena can be due to the existence of multiple dc solutions in some parameter intervals, which would give rise to discontinuities.
0018-9480/$26.00 © 2011 IEEE
2920
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Since the gate current resulting from the impact ionization is not included in the conventional EEsoft scalable nonlinear HEMT (EEHEMT) model [15], [16], the established analysis method would be useful only after the gate current is modeled. Here, for the detection and understanding of the multiple solutions, this model is combined with the straight line of the bias resistor, which provides a full explanation of the hysteresis phenomenon. After unveiling the causes of the reported hysteresis, two criteria are provided to select the gate bias resistor in order to avoid the dc and RF hysteresis. Complying with the proposed criteria, a redesigned PA is measured with 8-dB gain, 25.6-dBm output power, and 49% power-added efficiency (PAE), and most importantly, it is without any hysteresis. This study contributes on firstly identifying that the coupling between the gate current and the bias resistor can result in hysteresis phenomena, revealing another issue concerning the effects of the impact ionization in the level of circuit design. The maximum resistance in the gate bias resistor, which is usually seen in RF circuits and has never been imperatively limited, is also upper bounded.
Fig. 1. Circuit schematic.
II. OBSERVATION OF THE HYSTERESIS A. PA Design The circuit is fabricated in a 0.15- m low-noise pHEMT process. This process provides field-effect transistors (FETs) of 95 GHz and maximum with unit-gain frequency over 150 GHz. The measured oscillation frequency breakdown voltage is 6.5 V. An eight-finger 1200- m device is selected for the PA design. The drain voltage is set at 3 V to avoid the possible gate–drain breakdown during the RF operation, and the gate is set at 0.63 V for the maximum output power voltage and PAE. The output power can be estimated from the load–pull simulation with the impedances of higher harmonics considered for better PAE [17], [18], and the design targets a 26-dBm output power and 50% peak PAE, achieved by short circuiting the load impedance of the second and third harmonic, and open circuiting the source impedance of the second harmonic. The circuit schematic is shown in Fig. 1; a resonator is connected in series at the gate of the FET to provide a high impedance at the frequency of the second harmonic, and the output matching network targets the optimum fundamental load impedance for the power delivery and a low impedance at the frequency of the second and third harmonic. A chip photograph of the fabricated PA is shown in Fig. 2; the chip size is 2 mm and a 12.3-k gate bias resistor is used to prevent the interference of dc supply to the RF signal. B. DC Hysteresis The dc–IV curves of the fabricated PA are on-wafer measured with unexpected and undesired hysteresis. As shown in is biased at 4 V with the Fig. 3(a), when the drain voltage gate supply voltage swept upward from a low value, of the gate and drain current change discontinuously at 0.8 V. When is swept downward from a high value, of 2.4 the discontinuous change of currents occurs at V. Fig. 3(b) shows that if is biased lower at 3.5 V, the two
Fig. 2. Chip photograph (2 mm
2 1 mm).
discontinuous points become closer, 0.7 and 0.95 V, correis fursponding, respectively, to the two sweep directions. If ther lowered to 3 V, as shown in Fig. 3(c), no hysteresis curve or discontinuity can be observed. C. RF Hysteresis Output power of the PA is also on-wafer measured. A 10-GHz input power is provided by a signal generator and the output power is measured by a spectrum analyzer. When the input power increases from a low value, i.e., 20 dBm, the drain current rises abruptly from 70 to 330 mA and the gate current from 15 to 60 A at input power of 6 dBm, as shown in Fig. 4. When the input power is swept downward from a high value, i.e., 10 dBm, a discontinuity occurs at input power of 4 dBm where the drain current drops abruptly from 270 to 50 mA and the gate current from 49 to 9 A. It is straightforward that the discontinuities in the drain current can result in discontinuities in the output power. The measured output power versus input power is shown in Fig. 5. It can be observed that the discontinuities occur at input power of 5.75 and 4.15 dBm, respectively, in correspondence with the discontinuities of drain current in Fig. 4. Since the PA is designed with a gate bias resistor, any change in the gate current causes a voltage drop across the bias resistor and shifts the gate voltage, which can further affect the drain current and the output power. For example, the change in gate current from 15 to 60 A at the discontinuous points in Fig. 4, together with the 12.3-k gate resistor, shifts the gate voltage
KUO et al.: DC/RF HYSTERESIS IN MICROWAVE pHEMT AMPLIFIER
2921
Fig. 3. Hysteresis curve of the measured gate and drain current with the gate supply voltage swept, drain voltage fixed at: (a) 4 V, (b) 3.5 V, and (c) 3 V.
Fig. 4. Hysteresis curve of the gate and drain current versus the input power.
Fig. 5. Output power versus input power of the PA with hysteresis.
from 0.45 to 0.11 V and successively the drain current from 80 to 330 mA. Thus, the hysteresis in the gate current is the most important and leads to the hysteresis in other variables. III. DIAGNOSIS OF THE HYSTERESIS A. Gate Current of pHEMT Device The gate current of a pHEMT device is composed of the current contributed by the tunneling, the thermionic field emission, and the impact ionization [19], [20]. The current typically exhibits a bell shape versus the gate voltage and can be accurately modeled as a function of the gate and drain voltage [20], [21].
The commonly used drain–gate diode model cannot describe the gate current properly, and is only capable of indicating the off-state breakdown [5]. The frequency response of the gate current caused by the impact ionization was reported as a first-order low-pass characteristic [22], [23], whereas at high frequencies, impact ionization effects are not able to follow the signal and are ), where corsuppressed by a low-pass factor responds to an effective rate of impact ionization in the device. However, the impact ionization in 0.15- m pHEMT processes can persist at higher frequencies despite the low-pass characteristic, where a 20-GHz and a 33-GHz PA were reported with gate current increasing significantly with the input power [7]–[10]. By applying the small-signal model extraction [22] to the device measured results, of the device in this study can be estimated to be 2.7 ps, and the corresponding low-pass factor is close to unity at 10 GHz. The PA experiences a hysteresis phenomenon in the measured dc–IV curves, and thus the gate current cannot be properly measured as a function of the gate and drain voltage due to the discontinuities and the interaction between the gate current and gate voltage introduced by the gate bias resistor. Therefore, in order to separate the effect on the gate voltage from the gate current, a redesigned PA was fabricated with the gate bias resistor reduced from 12.3 k to 200 . In this new design, no hysteresis can be observed either in dc–IV measurement or RF power measurement. The gate current exhibits the typical bell shape and is a function of the gate voltage and the drain voltage, which is plotted in Fig. 6 after the de-embedding of the 200- resistor. The characteristic of the gate currents in Fig. 6 can be divided into three regions with different physical mechanisms, which are: 1) the region with gate voltage lower than 0.8 V and with gate current of positive slope, dominated by the tunneling and thermionic field emission; 2) the region with gate voltage between 0.8–0.1 V, where the gate current increases in magnitude with the gate voltage due to the impact ionization determined by the current in the channel; and 3) the region with gate voltage higher than 0.2 V where the magnitude of the channel current no long increases while the peak electric field and successively the impact ionization and the magnitude of gate current decrease. Although the gate current can be modeled with the physical parameters corresponding to each region [19], [20], in this study, it is simply fitted by a fifth-order polynomial, given by (1)
2922
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 6. Measured and computed gate current as a function of gate voltage and drain voltage. TABLE I COEFFICIENTS OF THE SERIES OF THE GATE CURRENT
where represents the gate voltage and represents the drain voltage. The coefficients of (1) are listed in Table I, and the modeled gate currents are plotted in Fig. 6. B. Plot of Gate Current and Straight Line of Gate Resistor The dc hysteresis can be explained with the help of the measured gate currents. The gate current is a function of and , denoted by . The voltage drop between the gate and the gate supply voltage is due to the voltage , exgate current flowing through the gate bias resistor pressed as (2)
-
is 12.3 k in this case. The second dc equation is where at the drain node. Since the drain is biased through a metal line, it is simply given by -
Fig. 7. Plot of the dc gate current (solid line) and the resistor line (dashed line); the intersection points are the dc solutions.
there are three intersection points, and thus three solutions of . However, for V (line B), the straight line V so for becomes tangent to the current curve at V, there is only one intersection point, and thus a single solution. From this critical point, any increase in will leave the system with a single solution with high , which will result in a discontinuous change in and . A V (line C) since, at this similar thing occurs for value, the straight line becomes tangent to the current curve at V. From this value, any decrease in will about compel the system to settle at the only existing solution, with and . The above-mentioned discussions explain the low two discontinuous points in Fig. 3(a), and the hysteresis curves in Fig. 3(b) and (c) can also be understood by considering curves V and V. in Fig. 7 with We can expect the tangential points in Fig. 7 to be associated with turning points in the solution curve traced versus the . This is investigated here by taking gate supply voltage as the parameter of the 2-D system composed by (2) . Assuming and (3). This system will be solved for that the th solution point has been determined, and considering , the th solution point can a very small step in be estimated by linearizing the 2-D system about the previous th point
(3) -
and , the gate voltage and drain For a given voltage can be obtained by solving the system composed by (2) and (3). However, it is possible to have multiple solutions in due to the special bell-shape characteristic of the gate current. The measured gate currents are plotted in Fig. 7, together through the gate resistor that with (2), which relates and provides a straight line in Fig. 7. The intersection point of the straight line with the gate–voltage axis agrees with and the slope of the line is . For the next study, the V. For values of from drain voltage is fixed to 2 to 0.8 V (line A, for example, with V),
-
(4) Clearly, if at the th solution point the Jacobian matrix in the above expression becomes singular, the slope of the curves and by (2) the curves will tend to infinity. This is generally associated with a turning point of the solution curve, giving rise to a multivalued section, which agrees with the observations in Fig. 3. From bifurcation theory [24]–[26], it is known that at a turning point of a solution curve
KUO et al.: DC/RF HYSTERESIS IN MICROWAVE pHEMT AMPLIFIER
2923
traced versus one analysis parameter, a real pole crosses the imaginary axis through zero. This is because for perturbation frequency equal to zero, the system characteristic matrix agrees with the Jacobian matrix of the steady-state system. Provided there is a change of sign in the determinant of this Jacobian matrix, a qualitative stability change will take place. The and can turning points of the curve be detected calculating the zeroes of the following determinant:
(10) (11) Equations (9)–(11), which are derived, respectively, from (6)–(8), can be expressed in matrix form
(5)
also applies The condition for turning point in a more complex 3-D system, where the parasitic components of the FET are considered. The net current that flows into the gate of the FET is zero according to KCL, given by (12)
(6) is the gate–source capacitor and is the gate–drain where capacitor. On the other hand, the net current that flows outward from the drain of the FET is also zero according to Kirchhoff’s Current Law (KCL)
(7) where is the channel current of the FET, and is the is fed by the drain–source capacitor. Since the drain voltage through a microstrip line, which drain supply voltage at lower frequencies, the dycan be modeled as an inductor namic current through the inductor can be expressed as
To obtain the characteristic determinant, the time derivation in (12) should be replaced by multiplication by the complex frequency . This provides (13), shown at the bottom of this page. In (13), is the drain–source conductance indicating , the channel length modulation, which is usually denoted by is the transconductance, usually denoted by . and Poles of the system are the roots of the above determinant. It can , the determinant will be easily demonstrated that if have a root of zero. Therefore, the condition for turning point persists in this 3-D system. With the introduced model, we can verify that a solution is is negative, and it is stable if is unstable if positive. Equation (13) is a cubic equation with real-number co, efficients, which can be written by with the coefficients derived using (13) (14)
-
(8)
For the stability analysis, small perturbations in the gate and the and , are condrain voltage, denoted, respectively, as sidered about the original dc solution, which, after subtracting the dc terms, provide the equations
(15)
(16) (9)
(17)
(13)
2924
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
According to the relation between roots and coefficients, the sign of the multiplication of the three roots donated, respec, is determined by , where tively, as (18) If , the multiplication is positive and the equation must have a positive root whether the other two roots are real or complex conjugate roots, and thus the system is unstable. On the , other hand, the multiplication will be negative if and the equation must have a negative root . The equation can by factoring, where be expressed as (19) , all the coefficients and thus the denominator Since of (19) are positive, and it is obvious that the numerator of (19) is is revealed. Therefore, if and also positive, from which are complex conjugate roots, they have real parts of , and the system is stable. If and are real numbers, since , both and are negative or exactly one of them is positive; the latter is impossible since the multiplication of the three roots must be negative. Thus, the system is stable . In agreement with previous discussions, at for , there will be a qualitative stability points fulfilling change, with a root crossing the imaginary axis through zero. On the other hand, the RF hysteresis mentioned in Section II-C can also be explained based on the gate current. When the PA is operated with input power, the dc gate current will be generated as a function of the input power and the dc biases, written by (20) is fixed at 3 V and leaves (20) with only two In this design, independent variables. For a given and , the voltage waveforms at the drain and gate of the FET denoted, respectively, by and , can be simulated with the original FET model even if the gate current is not included, and the generated gate current can be estimated by (1) under the quasi-static assumption [27] as V (21) The gate current is plotted in Fig. 8 versus for different input power levels. The gate current increases with the input power in the impact-ionization region since with higher input power, the drain voltage can swing upward to a region with higher gate curof 0.63 V rent. The straight line of gate resistor, with of 12.3 k , is also included in Fig. 8. The intersection and points of the gate current and the straight line also represent the dc solutions, and turning points can be detected through calculation of the zeroes of the determinant (22)
Fig. 8. Plot of the dc gate current (solid line) and the resistor line (dashed line); the intersection points are the dc solutions.
In Fig. 8, for input power below 4 dBm, there is a single solution (single intersection point), having small dc current magnitude and low . At about 4 dBm, the straight line becomes dBm, tangent to the gate–current curve and for 4 dBm there are three solutions. Since the circuit is initially operating at the upper intersection point, it will remain at the upper intersecV) when increasing the input tion points (at about dBm. At dBm, the straight line power up to becomes tangent to the upper part of the gate current curve, and dBm, there are no intersection points between the for near 0.6 V. Therefore, the system line and the curve with necessarily jumps to the only remaining intersection point, with V. On the other hand, when reducing the input power from a high value, the system operates at low intersection points dBm, where the straight line becomes tangent to up to the lower section of the gate–current curve and a jump takes place to a point in the upper section (with low ). This explains the hysteresis observed when increasing and decreasing the input power. C. AG and Full-Solution Detection A commercial computer-aided design (CAD) tool (ADS) with the harmonic balance (HB) method is used to model with the hysteresis phenomena after the FET model is updated by adding a gate current modeled by (1) to the original model. As has been shown, the hysteresis in this study is not caused by parasitic oscillations [12]–[14]. Instead, it is due to the discontinuities in the dc solutions, and no other frequency components can be observed. When performing a dc–IV simulation in ADS of the complete at fixed V circuit with standard sweeps in [the same considered in Fig. 3(b)], two discontinuous points are obtained and are shown in Figs. 9 and 10 for the gate and is swept upward drain current, respectively. When from a low value, the discontinuity occurs at 0.7 V, and when is swept downward from a high value, discontinuity occurs at 0.8 V. Figs. 9 and 10 match the measured results in Fig. 3(b) with a good prediction of the discontinuities. On the
KUO et al.: DC/RF HYSTERESIS IN MICROWAVE pHEMT AMPLIFIER
Fig. 9. Simulated gate current versus gate supply voltage. V
= 3 V.
Fig. 10. Simulated drain current versus gate supply voltage. V
= 3 V.
other hand, when the input power of the circuit is swept under the designed bias conditions, the simulated hysteresis curves of the gate and the drain current are shown, respectively, in Figs. 11 and 12. The two discontinuities occur at the input power values of 4.2 and 5.7 dBm, which also correspond well to the measured results in Fig. 5. However, standard HB sweeps cannot pass through the turning points (solutions fulfilling or ) of the solution curves due to the singularity of the HB system at these points and the need to change the sense of the sweep. A technique to circumvent this problem was proposed in [11]. It is a parameter-switching technique based on the use of an AG, introduced in the commercial harmonic-balance software. The , in AG is an independent voltage source at the frequency series with an ideal bandpass filter at the same frequency. When introduced in parallel at a particular node [see Fig. 13(a)], the AG must have no influence over the steady-state solution, which is ensured by the fulfillment of the so-called nonperturbation , condition. This condition is given and are the current through the AG and AG where
2925
Fig. 11. Simulated gate current to input power. V.
V
= 3 V, V -
=
Fig. 12. Simulated drain current to input power.
V
= 3 V, V -
=
00:63
00:63 V.
voltage. When this condition (solved by optimization) is fulagrees with the node voltage at the filled, the AG voltage . Taking this property into account, it will frequency be possible to use the AG to perform the parameter switching required to trace the multivalued solution curves. In [11], the turning points were due to phenomena occurring so the AG frequency was . at the input frequency Here there is an essential difference in the origin of the hysteresis, which is due to the coexisting dc solutions. Therefore, unlike [11], the AG can be a dc voltage source with the associated series filter reduced to an ideal RF choke. The simplification is based on the revelation of the mechanism of the hysteresis, which is caused by discontinuous dc solutions and does not involve other frequencies. The complete gate and drain current curves (including unstable sections) can be obtained in the dc–IV simulation using the setup shown in Fig. 13(b). To pass through the turning points, the dc voltage (parameter) is swept, calculating the , in order to fulfill . actual circuit parameter -
2926
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 13. (a) AG for the simulation of parasitic oscillations. (b) Auxiliary dc source for the simulation of dc hysteresis. (c) Auxiliary dc source for the simulation of RF hysteresis.
This parameter change allows passing through turning points and obtaining the middle sections of the multivalued curves. In other words, to pass through the turning points, the sweep to , solving the parameter is switched from nonperturbation equation given by -
(23)
Note that (23), involving a conductance function, is a single scalar equation, solved in terms of a single optimization variable. This reduces the analysis complexity, in comparison with , a same the complex equation used in [11]. When sweeping can fulfill (23) for several values, which reveals all the corresponding solutions to the gate supply voltage. The solutions are also plotted in Figs. 9 and 10 as the S-shape curves between the two discontinuous points. Likewise, the fullsolution curves in Figs. 11 and 12 can be also obtained by the setup shown in Fig. 13(c) and switching the sweep parameter to and solving the equation given by from (24) It is worthwhile to notice that the full-solution curves of dc–IV operation in Fig. 9 can also be obtained using Fig. 7 by tracing the intersection points, and the full-solution curves of RF operation in Fig. 11 can be traced using Fig. 8. For example, the first turning point in Fig. 11 occurs at the input power 4.2 dBm, where the straight line in Fig. 8 is tangent to the upper part of the current curve and intersects the lower part. When the input power ranges from 4.2 to 5.7 dBm, there are three intersection points in Fig. 8, which are in agreement with the three solutions in Fig. 11, and the unstable solutions are those intersection . On the other hand, Fig. 8 points in Fig. 8 with negative indicates that when the input power increases from 4.2 dBm, the distance between the two intersection points with lower gate current decreases, until the straight line becomes tangent to the curve at about 5.7 dBm. The same thing can be observed in Fig. 11 by the decreasing distance between the two solutions with lower gate current when the input power increases from 4.2 to 5.7 dBm. Finally, the second turning point occurs with input power of 5.7 dBm, where the two upper intersection points in Fig. 8 contact and disappear, and so do the two solutions with lower gate current in Fig. 11, leading to a single solution. Fig. 14
Fig. 14. Simulated output power versus input power of the PA with hysteresis.
shows the simulated hysteresis and the full-solution curve of the output power, which are parasitic effects of the hysteresis in the gate current. The simulated discontinuity at 5.7 dBm is not as obvious as that in the measurement, even if the simulated drain current increases from 80 to 360 mA. The deviation between the simulation and measurement is caused by the extrapolation of the RF characteristics at the gate voltage of 0.2 V. D. Maximum Gate Resistance in the Design of pHEMT PAs The reported hysteresis is caused by the coupling between the gate current and gate bias resistor, while the gate current is fixed for a given device, the use of the gate bias resistor in the design of an HEMT PA should be reviewed. Gate bias resistors are widely used in RF circuits to provide a dc path to the circuit with little interaction between the bias circuit and the RF matching circuit, and the resistor can cooperate with two diodes in order to protect the gate from electrostatic discharge (ESD) [28]. Another advantage was reported that the gate bias resistor can act as an auto-compensation circuit and limits the gate current [8], [9]. However, this is only true when the device is biased with low drain current. As shown in Fig. 6, when the device is turned on with gate current increasing with the gate voltage, the adoption of a gate resistor will contrarily increase the gate current. At the present time, the maximum resistance in the gate bias resistor for HEMT has not been rigorously specified. The risk of thermal runaway might be a concern [8], [9] when a gate resistor is adopted, where an increase in the gate current will increase gate voltage, drain current, and finally the junction temperature. The increase in junction temperature will further increases the gate current, which results in a positive feedback in temperature. The positive feedback, if unstable, results in thermal runaway and might destruct the device. However, in this case and most of the RF circuits, the maximum power dissipation of the chip is tolerable and the heat conduction is fair for a thermal balance to exist. Besides, the risk of thermal runaway can be effectively reduced by improving the heat conduction [29], and thus the
KUO et al.: DC/RF HYSTERESIS IN MICROWAVE pHEMT AMPLIFIER
Fig. 15. Contour of the maximum gate resistance of the device without RF hysteresis in the 2-D plane of V and V .
Fig. 16. Chip photograph of the redesigned PA (1.6 mm
2 1 mm).
avoidance of which cannot serve as a rigorous criterion for the bias resistor to be selected. The analysis to avoid the reported dc and RF hysteresis can provide an upper bound for the gate resistor. The first criterion and in the is to avoid the dc hysteresis. For all the must be positive for the present solutions. In operation, from to 0.4 V, this case, for from 0 to 4.25 V and the maximum resistance to avoid the dc hysteresis is 2.1 k . The second criterion is to avoid the RF hysteresis, which can be confor all the present dc components during firmed if the RF operation. The maximum gate resistor derived from the second criterion are shown in Fig. 15 for a number of given bias conditions for the device of this study. The maximum gate resistor is the union of the two criteria. It is important to notice that when Fig. 15 is constructed, the input and output matching network are optimized corresponding to the bias conditions, for the performance of the PA is highly dependent on the source and load impedances. It is shown that in some bias conditions, for of 3.5 V and of 0.5 V, the gate resistor example, with must be lower than 200 in order to avoid the hysteresis, and in these cases, it is more sensible to provide the gate bias through an RF choke or an RF ground.
2927
Fig. 17. Measured small-signal gain and return loss of the two versions.
Fig. 18. Measured gain and output power of the two versions at 10 GHz.
Fig. 19. Measured PAE of the two versions at 10 GHz.
The contours in Fig. 15 show that the maximum usable gate resistor is lower under higher drain biases. On the other hand, there is no obvious trend for the effect of the gate-bias voltage since the increase in the gate voltage does not give rise to a
2928
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE II PERFORMANCE TABLE AND REPORTED -BAND PAs IN pHEMT PROCESS
X
monotonic increase of the gate current, unlike the case of the drain voltage. The gate voltage and current are related through irregular bell-shape curves, as shown in Figs. 7 and 8, respectively, representing the dc and RF operations. According to Fig. 15, if the designed circuit exhibits RF hysteresis, the drain bias voltage should be reduced in order to eliminate this undesired phenomenon, trading inevitably the power performance. In this case, with of 0.63 V and of 3 V, the maximum gate resistor allowed is about 500 . If a gate resistor of 3 k , for example, is misused under this bias condition, the drain bias should be lowered to 2.6 V, losing at least 0.6 dB of the expected output power. However, if the drain voltage is incautiously increased, the dc hysteresis might still happen since the first criterion to avoid the dc hysteresis is not observed. Thus, it is better to consider this issue before the fabrication of the chip. The redesigned PA with a 200- gate resistor definitely complies with the criteria and is free of any hysteresis.
V. CONCLUSION In this study, a high-efficiency -band PA has been designed. Hysteresis phenomena are observed in both dc–IV and RF measurements of the circuit, and are attributed to the coupling between the gate bias resistor and the bell-shape gate current resulting from the impact ionization. Two methods are proposed to analyze this problem with good agreement. The encountered hysteresis phenomena are reported and diagnosed for the first time, and in order to avoid the hysteresis, specific criteria for the maximum gate resistor in HEMT PAs is also provided. A redesigned PA complying with the criteria is fabricated and functions properly without any hysteresis. This study reveals the potential devastating result when the gate resistor is misused, which was once thought only to have negative, but tolerable, effects. It provides designers new information when the tradeoff between the circuit performance and the layout simplicity by using a bias resistor is explored. ACKNOWLEDGMENT
IV. MEASURED RESULTS The photograph of the redesigned PA is shown in Fig. 16. The redesigned PA with a 200- gate bias resistor is expected to perform similarly to the original design, but without hysteresis. The input line of the second design is bent by 90 to reduce the chip size from 2 to 1.6 mm . The input matching networks of the two circuits are topologically and electrically identical at 10 GHz, achieved by slightly adjusting the length of the input line of the second design. The measured small-signal gain and return losses are almost the same for the two PAs, as shown in Fig. 17, and the small signal gain is 8–9.5 dB from 8.5 to 10 GHz. The gain and power performances at 10 GHz are shown in Fig. 18 of the two PAs, and the PAEs at 10 GHz are shown in Fig. 19. The redesigned PA demonstrates a saturation power of 25.6 dBm and maximum PAE of 49%. Most importantly, the hysteresis in the output power and PAE curves vanishes in the redesigned PA, and the gate current can be measured as a function of the gate supply voltage and the drain voltage, according to which the gate current can be modeled for the hysteresis phenomena to be unveiled, as explored in Section III. The measured results are summarized in Table II, along with a few reported -band PAs in other pHEMT processes. In this work, the output power and the output power per unit gate width are limited by the much lower breakdown voltage (6.5 V) of the process; however, the power generated per unit area is comparable to that using higher supply voltage, and the efficiency is also good due to the harmonic load tuning.
The authors would like to thank the National Chip Implementation Center (CIC), Hsin-Chu, Taiwan, for the CAD tool support. REFERENCES [1] M. H. Somerville, R. Blanchard, J. A. del Alamo, G. Duh, and P. C. Chao, “A new gate current extraction technique for measurement of on-state breakdown voltage in HEMT’s,” IEEE Electron Device Lett., vol. 19, no. 11, pp. 405–407, Nov. 1998. [2] M. H. Somerville, R. Blanchard, J. A. del Alamo, K. G. Duh, and P. C. Chao, “On-state breakdown in power HEMT’s: Measurements and modeling,” IEEE Trans. Electron Devices, vol. 46, no. 6, pp. 1087–1093, Jun. 1999. [3] G. Meneghesso, C. Canali, P. Cova, E. De Bortoli, and E. Zanoli, “Trapped charge modulation: A new cause of instability in AlGaAs/InGaAs pseudomorphic HEMT’s,” IEEE Electron Device Lett., vol. 17, no. 7, pp. 232–234, Mar. 1996. [4] M. Borgarino, R. Menozzi, Y. Baeyens, P. Cova, and F. Fantini, “Hot electron degradation of the DC and RF characteristic of AlGaAs/InGaAs/GaAs PHEMT’s,” IEEE Trans. Electron Devices, vol. 45, no. 2, pp. 366–372, Feb. 1998. [5] R. Menozzi, P. Cova, C. Canali, and F. Fantini, “Breakdown walkout in psedomorphic HEMT’s,” IEEE Trans. Electron Devices, vol. 43, no. 4, pp. 543–546, Apr. 1996. [6] H.-C. Chiu and C.-S. Cheng, “On-state and off-state breakdown voltages in GaAs PHEMTs with various field-plate and gate-recess extension structures,” IEEE Electron Device Lett., vol. 31, no. 3, pp. 186–188, Mar. 2010. [7] C. Gaquiere, B. Bonte, E. Bourcier, and Y. Crosnier, “Correlation between gate current and RF power performances of millimeter HEMT’s,” in Proc. Eur. Microw. Integr. Circuit Conf., 1996, pp. 33–36. [8] N. Constantin and F. M. Ghannouchi, “Comprehensive experimental investigation of gate current limitation effects on power GaAs FETs RF performances,” in IEEE MTT-S Int. Microw. Symp. Dig., 1995, pp. 717–720.
KUO et al.: DC/RF HYSTERESIS IN MICROWAVE pHEMT AMPLIFIER
[9] N. Constantin and F. M. Ghannouchi, “GaAs FET’s gate current behavior and its effects on RF performance and realiability in SSPA’s,” IEEE Trans. Microw. Theory Tech., vol. 42, no. 12, pp. 2918–2925, Dec. 1999. [10] Y.-C. Chou, R. Lai, T. R. Block, A. Sharma, Q. Kan, D. L. Leung, D. Eng, and A. Oki, “The effect of RF-driven gate current on DC/RF performance in GaAs pHEMT MMIC power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 11, pp. 3398–3405, Nov. 2005. [11] A. Suárez, S. Jeon, and D. Rutledge, “Stability analysis and stabilization of power amplifiers,” IEEE Microw. Mag., vol. 7, pp. 51–65, Oct. 2006. [12] D. Teeter, A. Platzker, and R. Bourque, “A compact network for eliminating parametric oscillations in high power MMIC amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 1999, pp. 967–970. [13] S. Jeon, A. Suárez, and D. Rutledge, “Global stability analysis and stabilization of a class-E/F amplifier with a distributed active transformer,” IEEE Trans. Microw. Theory Tech., vol. 53, no. 12, pp. 3712–3722, Dec. 2005. [14] S. Jeon, A. Suárez, and D. Rutledge, “Analysis and elimination of hysteresis and noisy precursors in power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 3, pp. 1096–1106, Mar. 2006. [15] J. Dhar, S. K. Garg, R. K. Arora, and S. S. Rana, “Nonlinear design of a C band power amplifier using EEHEMT nonlinear model,” in Proc. Int. Signals, Circuit, Syst. Symp., 2007, pp. 1–4. [16] “WIN Semiconductors 0.15 m InGaAs pHEMT Low Noise Device Model Handbook,” WIN Semiconductors, Taipei, Taiwan, 2007. [17] S. C. Cripps, Advanced Techniques in RF Power Amplifier Design. Boston, MA: Artech House, 2002. [18] E. Cipriani, P. Colantonio, F. Giannini, and R. Giofre, “Theoretical and PAs,” in Proc. Eur. experimental comparison of class F vs. Class F Microw. Integr. Circuit Conf., 2010, pp. 1670–1673. [19] T. Baksht, S. Solodky, M. Leibovitch, G. Bunin, and Y. Shapira, “Impact ionization measurements and modeling for power PHEMT,” IEEE Trans. Electron Devices, vol. 50, no. 2, pp. 479–485, Feb. 2003. [20] D. Smely, M. Mayer, and G. Magerl, “A measurement based gate current model for GaAs MESFET’s and HEMT’s including self-heating and impact ionization,” in Proc. Int. High Perform. Electron Devices for Microw. Optoelectron. Appl. Symp., 2001, pp. 223–228. [21] K. Fujii, Y. Hara, T. Yakabe, and H. Yabe, “Accurate modeling for drain breakdown current of GaAs MESFET’s,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 4, pp. 516–518, Apr. 1999. [22] M. Isler and K. Schunemann, “Impact-ionization effects on the highfrequency behavior of HFETs,” IEEE Trans. Microw. Theory Tech., vol. 52, no. 3, pp. 858–863, Mar. 2004. [23] C. Teyssandier, F. De Groote, R. Sommet, and J.-P. Teyssier, “Characteristic and modeling of impact ionization effects on small and large signal characteristics of AlGaAs/GaInAs/GaAs PHEMTs,” in Proc. Eur. Microw. Integr. Circuit Conf., 2008, pp. 119–122. [24] H. Kawakami, “Bifurcation of periodic responses in forced dynamic nonlinear circuits: Computation of bifurcation values of the system parameters,” IEEE Trans. Circuits Syst., vol. CAS-31, pp. 248–260, Mar. 1984. [25] V. Rizzoli and A. Neri, “State of the art and present trends in nonlinear microwave CAD techniques,” IEEE Trans. Microw. Theory Tech., vol. 36, no. 2, pp. 343–365, Feb. 1988. [26] A. Suárez and R. Quéré, Stability Analysis of Nonlinear Microwave Circuits. Norwood, MA: Artech House, 2003. [27] S. A. Mass, Nonlinear Microwave Circuits. Boston: Artech House, 1988. [28] M.-D. Ker, “Whole-chip ESD protection design with efficient VDD-to-VSS ESD clamp circuit for submicron CMOS VLSI,” IEEE Trans. Electron Devices, vol. 46, no. 1, pp. 173–183, Jan. 1999. [29] A. Vassighi and M. Sachdev, “Thermal runaway in integrated circuits,” IEEE Trans. Device Mater. Rel., vol. 6, no. 2, pp. 300–305, Jun. 2006. [30] A. Bessemoulin, R. Quay, S. Ramberger, H. Massler, and M. Schlechtweg, “A 4-W X -band compact coplanar high-power amplifier MMIC with 18-dB gain and 25% PAE,” IEEE J. Solid-State Circuits, vol. 38, no. 9, pp. 1433–1436, Sep. 2003. [31] C.-K. Chu, H.-K. Huang, H.-Z. Liu, C.-H. Lin, C.-H. Chang, C.-L. Wu, C.-S. Chang, and Y.-H. Wang, “An X -band high-power and high-PAE PHEMT MMIC power amplifier for pulse and CW operation,” IEEE Microw. Wireless Compon. Lett., vol. 18, no. 10, pp. 707–709, Oct. 2008.
2929
Nai-Chung Kuo (S’09) was born in Taipei, Taiwan, in 1987. He received the B.S. degree in electrical engineering (with a minor in philosophy) from National Taiwan University, Taipei, Taiwan, in 2009, and is currently working toward the M.S. degree at National Taiwan University. His research interests are the design and theory of microwave/millimeter-wave circuits and antennas. Mr. Kuo was the recipient of the Second Prize of the 2004 Taiwan International Science Fair, the Gold Medal of the 2004 Canada Wide Science Fair, the Silver Medal of the 2005 Asia–Pacific Mathematics Olympiad, and the Presidential Award of National Taiwan University (2005–2007).
Pin-Sung Chi was born in Taipei, Taiwan, in 1984. He received the B.S. degree in electrical engineering and M.S. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2007 and 2010, respectively. He is currently a Second Lieutenant with the Communications, Electronics and Information Section, Republic of China Army.
Almudena Suárez (M’96–SM’01) was born in Santander, Spain. She received the Electronic Physics degree and Ph.D. degree from the University of Cantabria, Santander, Spain, in 1987 and 1992, respectively, and the Ph.D. degree in electronics from the University of Limoges, Limoges, France, in 1993. She is currently a Full Professor with the Communications Engineering Department, University of Cantabria. She authored Analysis and Design of Autonomous Microwave Circuits (IEEE–Wiley, 2009) and coauthored Stability Analysis of Microwave Circuits (Artech House, 2003). Dr. Suárez is a member of the Technical Committee of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS) and the European Microwave Conference. She was an IEEE Distinguished Microwave Lecturer (2006–2008) with the talk “Global Stability Analysis and Stabilization of Power Amplifiers.” She is the coordinator of the research area “Electronic and Communications Technology” of the Spanish national evaluation agency ANEP.
Jing-Lin Kuo (S’06) was born in Taipei, Taiwan, in 1983. He received the B.S. degree in electric engineering from National Sun Yat-Sen University, Kaohsiung, Taiwan, in 2006, the M.S. degree from the Graduate Institute of Communication Engineering, National Taiwan University, Taipei, Taiwan, in 2008, and is currently working toward the Ph.D. degree in communication engineering at National Taiwan University. His research interests include monolithic microwave/millimeter-wave circuit designs and phased array system designs.
Pin-Cheng Huang (S’07) was born in Kaohsiung, Taiwan, in 1982. He received the B.S. degree in electrical engineering from National Cheng Kung University, Tainan, Taiwan, in 2004, and is currently working toward the Ph.D. degree at National Taiwan University, Taipei, Taiwan. His research interests include the design and analysis of microwave and millimeter-wave (MMW) amplifiers, mixers, and oscillators.
2930
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Zuo-Min Tsai (S’03–M’06) was born in Mailo, Taiwan, in 1979. He received the B.S. degree in electrical engineering and Ph.D. degree in communication engineering from National Taiwan University, Taipei, Taiwan, in 2001 and 2006, respectively. He is currently a Post-Doctoral Research Fellow with the Graduate Institute of Communication Engineering, National Taiwan University. His research interests are the theory of microwave and millimeterwave circuits.
Huei Wang (S’83–M’87–SM’95-F’06) was born in Tainan, Taiwan, on March 9, 1958. He received the B.S. degree in electrical engineering from National Taiwan University, Taipei, Taiwan, in 1980, and the M.S. and Ph.D. degrees in electrical engineering from Michigan State University, East Lansing, in 1984 and 1987, respectively. During his graduate study, he was engaged in research on theoretical and numerical analysis of electromagnetic radiation and scattering problems. He was also involved in the development of microwave remote detecting/sensing systems.
In 1987, he joined the Electronic Systems and Technology Division, TRW Inc. He has been an Member of Technical Staff and Staff Engineer responsible for monolithic microwave integrated circuit (MMIC) modeling of CAD tools, MMIC testing evaluation, and design and became the Senior Section Manager of the Millimeter-Wave (MMW) Sensor Product Section, RF Product Center. In 1993, he visited the Institute of Electronics, National Chiao-Tung University, Hsin-Chu, Taiwan, to teach MMIC-related topics. In 1994, he returned to TRW. In February 1998, he joined the faculty of the Department of Electrical Engineering, National Taiwan University, as a Professor. From 2005 to 2007, he was the Richard M. Hong Endowed Chair Professor of National Taiwan University. Dr. Wang is a member of Phi Kappa Phi and Tau Beta Pi. He was appointed an IEEE Distinguished Microwave Lecturer for the 2007–2009 term. He was the recipient of the 2003 Distinguished Research Award presented by the National Science Council, the 2007 Academic Achievement Award presented by the Republic of China Ministry of Education, the 2008 Distinguished Research Award presented by the Pan Wen-Yuan Foundation, and the 2010 National Professorship presented by the Republic of China Ministry of Education.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2931
High-Efficiency RF Pulsewidth Modulation of Class-E Power Amplifiers Mustafa Özen, Student Member, IEEE, Rik Jos, Member, IEEE, Christer M. Andersson, Mustafa Acar, Member, IEEE, and Christian Fager, Member, IEEE
Abstract—A new switch-mode power-amplifier (SMPA) topology particularly suitable for energy efficient amplification of RF pulsewidth modulation (RF-PWM) signals is derived. It is analytically shown that high efficiency can be maintained over a wide power dynamic range if the imaginary part of the Class-E load impedance is varied along with the duty cycle (pulsewidth). Using the theory developed, an explicit design procedure is presented that allows practical realization of the proposed topology from the circuit and component specifications. Following the design procedure, and using in-house (Chalmers University, Göteborg, Sweden) SiC varactor diodes to implement the tunable imaginary load impedance, a 2-GHz 10-W peak output power GaN HEMT circuit demonstrator is realized. RF-PWM input signals for characterization of the prototype power amplifier (PA) is generated with a dedicated 65-nm CMOS modulator. The measurements show that a drain efficiency 70% can be obtained over an 6.5-dB dynamic range, which verifies the theory presented and demonstrates the feasibility of the proposed PA topology. Index Terms—Class-E, high efficiency, power amplifiers (PAs), pulsewidth modulation (PWM), RF pulsewidth modulation (RFPWM).
I. INTRODUCTION HE RF pulsewidth modulation (RF-PWM) concept was first proposed by Besslich in [1] for linear amplification with highly efficient switch-mode power amplifiers (SMPAs). The main principle of RF-PWM is to vary the duty cycle (pulsewidth) of a square wave at each RF period according to the envelope of the signal. The phase information is, in this case, represented by the timing of the pulses. The resulting pulse train is amplified with an SMPA and a reconstruction filter is used after the PA to remove the spurious products. The spurious products caused by the switched operation occur in the vicinity of the harmonics of the carrier and can therefore
T
Manuscript received May 05, 2011; accepted June 28, 2011. Date of publication August 30, 2011; date of current version November 16, 2011. This work was carried out at the GigaHertz Centre, Chalmers University of Technology, in a joint project supported by the Swedish Governmental Agency for Innovation Systems (VINNOVA), Chalmers University of Technology, Ericsson AB, Infineon Technologies Austria AG, and NXP Semiconductors BV. M. Özen, C. M. Andersson, and C. Fager are with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Göteborg, Sweden. R. Jos is with the Department of Microtechnology and Nanoscience, Chalmers University of Technology, SE-412 96 Göteborg, Sweden, and also with NXP Semiconductors BV, 5600 KA Eindhoven, The Netherlands. M. Acar is with NXP Semiconductors BV, 5600 KA Eindhoven, The Netherlands. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2163729
Fig. 1. Block diagram of RF-PWM-based transmitter architecture.
easily be removed with a low-loss filter [2]–[4]. The transmitter architecture is shown in Fig. 1. A challenge associated with RF-PWM architecture is that conventional SMPAs (e.g., Class-E, Class-D) suffer from severe switching losses when they are used to amplify RF-PWM signals. Thus, in spite of its high potential, no competitive efficiency results have been presented with that architecture thus far. Tom et al. [5] studied the efficiency performance of RF-PWM driven Class-E power amplifiers (PAs). Although the simulated peak efficiency of the (almost) ideal Class-E is 80%, the average efficiency is 45% with an 8-dB peak to average power ratio (PAPR) Rayleigh distributed envelope signal. It is concluded that the main loss source is caused by nonzero voltage switching, in other words, by capacitive losses at the switching instances due to dynamic duty cycles. In [6], an RF-PWM transmitter is implemented in 65-nm CMOS technology and measurement results are presented. The drain efficiency of the SMPA (Class-E) is 38% at the peak output power, while it decreases to 12% at 10-dB back-off with a nearly constant slope. Wagh and Midya [7] constructed a prototype 100-MHz pseudomorphic HEMT (pHEMT) Class-D PA and studied the performance with RF-PWM signals as the input. The Class-D PA provides 60% of peak efficiency at 50% duty cycle. The efficiency, however, decreases to 48% at 25% duty cycle. The measured output power dynamic range with the mentioned duty cycle range is 3 dB. Clearly, novel SMPA topologies must be studied in order to successfully utilize the potential of the RF-PWM architecture for high-efficiency linear amplification of modulated signals. In this paper, we derive a new PA topology that is suitable for efficient amplification of RF-PWM signals. It will be analytically derived that a Class-E PA with tunable imaginary load impedance can provide sub-optimal Class-E operation versus the duty cycle. RF-PWM of such a PA will therefore not suffer from any severe switching losses and consequently provide high average efficiency with realistic modulated signals.
0018-9480/$26.00 © 2011 IEEE
2932
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 2. Class-E PA with tunable
(1)
and (2) can actually be preserved if two of the reactive comor , are re-optimized ponents of the Class-E, as the duty cycle is varied. This property can easily be seen by studying the design equations of Class-E PAs, e.g., the ones given in [10]. However, in a practical implementation, it is highly desirable to have only one tunable component in the load network for minimized complexity. The options are therefore to vary either , , or . Tunable inductors are not practical, which immediately excludes . Tuning of the capacitance is not practical either since the tuning range will be limited by the output capacitance of the transistor. is therefore selected as the tunable element. Moreover, having a tunable component in series with the tuned filter seen in Fig. 2 may also help for frequency reconfigurability since it allows the filter center frequency to be tuned. As will be proven in Section IV, it is only possible to preserve one of the Class-E switching conditions (1) and (2) when there is one variable component in the load network. Earlier publications clearly prove the importance of the ZVS condition (1) over the ZVDS condition (2) for low switching losses [11], [12]. Therefore, we prefer to preserve the ZVS condition. This mode of operation is called sub-optimum Class-E2 and the corresponding design equations for this mode are required in order to calculate the values versus duty cycle. Raab derived the design equations for sub-optimum Class-E PAs with an RF choke at the power supply at arbitrary duty cycle in [11]. However, the Class-E with RF-choke results in a lower maximum operating frequency and has a much lower design flexibility compared to the Class-E with finite feed inductance [10]. Later, Acar et al. derived the design equations for suboptimum Class-E PAs with finite feed only at 50% duty cycle in [12]. In this work, however, we derive the design equations for sub-optimum Class-E PAs with finite feed at arbitrary duty cycle. The results will be used as a basis for the circuit design procedure in Section IV.
(2)
III. THEORY
X for high-efficiency RF-PWM.
A systematic design procedure is a very convenient tool for hardware development. Using the theory developed, we therefore outline an explicit noniterative design procedure that allows realization of the proposed PA from the circuit and component specifications. Following the design procedure, a circuit demonstrator is implemented to verify the theory and the feasibility of the PA topology. This paper is organized as follows. In Section II, the suggested PA topology and its operation principles are introduced. Section III treats the theory behind the operation. In Section IV, the design procedure is outlined. In Section V, design of the circuit demonstrator is treated and the measurement results are presented in Section VI. Finally, the most important findings are summarized in Section VII. II. EFFICIENT RF PULSEWIDTH MODULATED CLASS-E PA A Class-E PA is a single-ended SMPA, see Fig. 2, where the load network is designed to satisfy two switching conditions
is the voltage across the capacitor shown where in Fig. 2. The condition given in (1) is called zero voltage switching (ZVS) and the condition given in (2) is called zero voltage derivative switching (ZVDS). The first condition is required to prevent losses due to discharge of the capacitor at off-to-on switching instances, while the latter is meant to avoid high current through an unsaturated transistor during the off-to-on transitions [8]. The components of the Class-E are optimized at a fixed duty cycle. If the duty cycle is varied from the nominal value, the switching conditions are violated and the losses increase. A possible way to preserve the switching conditions is therefore to have electronically tunable components in the load network. Singhal et al. [9] analytically proved that ZVS and ZVDS composwitching conditions can be preserved if the nents of a parallel circuit Class-E1 PA are modulated along with the duty cycle. For Class-E PAs, both switching conditions (1) 1The schematic of parallel circuit Class-E is the same as the schematic given is replaced with a short circuit. in Fig. 2, except that
X
In this section, the design equations for sub-optimum Class-E PAs at arbitrary duty cycle will be derived. First, the definitions and assumptions made in the circuit analysis are given. A. Definitions and Assumptions The switching conditions of the sub-optimum Class-E can be defined as [12] (3) (4) where is equal to the slope of at off-to-on corresponds to convenswitching instances. Notice that tional Class-E operation. However, for sub-optimum Class-E PAs, is a free design variable and can be any real number. A number of assumptions is made in the circuit analysis. 2Term variable-slope Class-E PA is also used in the literature for this mode, e.g., in [12].
ÖZEN et al.: HIGH-EFFICIENCY RF-PWM OF CLASS-E PAs
2933
• The switch is lossless with zero on resistance and infinite off resistance. of the tuned • The loaded quality factor filter is high enough such that the current through the load is a pure sinusoid at the carrier frequency. • The only power dissipation in the circuit occurs in the load resistance , the dc power is therefore converted to RF power with 100% efficiency. We define the switch function as
TABLE I ELEMENTS OF THE DESIGN SET
K
Solution of (11) follows as:
(12) on off
where (13)
e.g.,
corresponds to 100% duty cycle.
(14)
B. Circuit Analysis 1) On State of the Switch: In the time interval the switch is on, therefore and switch current will then be given by
. The
Two boundary conditions are required to solve for the coeffiand . These can be found by examining and cients at the on-to-off switching instances, which yields
(5) where, according to the sinusoidal load current assumption, we as define (6) The current
in (5) is given by
The resulting expressions for and are given in the Appendix. must also satisfy the switching conThe expression for ditions given in (3) and (4) for proper operation of the circuit. Substitution of the switching conditions in (12) yields two relations for , , , , and , which can be arranged as
(7)
and
(15) (16)
is known from the boundary condition given in (4) as where
(8) Substituting (7) into (5) yields
(9) 2) Off State of the Switch: In the time interval , the switch is off and the currents through will combine into as
and
(10)
By taking the time derivative of (10), the following second-order linear nonhomogenous differential equation is obtained:
(11)
the
expressions for are given in the Appendix. It is important to . note that these functions are only dependent on Next, the circuit design equations will be derived using the relations in (15) and (16). C. Derivation of the Design Equations The derivation of the design equations will be made using a , which was first defined design set, in [12]. The elements of are related to the circuit element values using the expressions in Table I. The elements of will ; hence, depending on the selected be derived in terms of , infinitely many sub-optimum Class-E combination of circuit realizations can be found using . By definition, can , can be any real positive take any value in the range number, ,and can be any real number. is straightforward as The derivation of follows. : This element can be derived from the following relation, which is based on the fact that the dc power is converted into RF power with 100% efficiency: (17)
2934
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
where is the average current through the dc voltage source. This can be written as
IV. SYSTEMATIC DESIGN PROCEDURE In this section, we present an explicit noniterative design procedure for the design of a variable duty-cycle sub-optimum Class-E PA based on the design set derived in Section III. is a tunable component As discussed in Section II, only in the topology. If and are constant, the design variable has a relation to the duty cycle , i.e., . The design equations then follow as: (25)
(18)
(26)
Substitution of (18) into (17) yields
(27) (28) (19) If and are solved in terms of from (15) and (16), is completed, where the solutions the derivation of follow as: (20) (21) where
is in the same quadrant as the point
: Derivation of the definition of as:
and
is straightforward and follows from
(22) : The expression for can be derived using two as quadrature Fourier components of (23)
Once the free design variables and the load resistance are determined, all the remaining circuit element values can be calculated. A. Circuit Optimization The variables and will be optimized to meet the following design considerations. • The resulting maximum value of the switch current must be equal to the maximum current capability of the in order to utilize the device fully. transistor • The capacitance will be set equal to the transistor output . This will result in a low-loss load netcapacitance work and minimum circuit complexity. Furthermore, is limiting the maximum operating frequency of the ampliabove the fier. We, therefore, do not want to increase value of the output capacitance of the transistor • The -variation should be limited to the tuning factor of the varactors used. These design considerations can mathematically be expressed as (29)
where (30) (31) and represent the lower and upper limits of and the unknown is related to via the following equality based on (25): where
Expressions for and are given in the Appendix, where both of them are dependent only on . : This element can easily be derived by substituting into (14) as (24) All the elements of now have been derived. Next, a circuit design procedure is outlined based on the derived design set.
(32) For convenience, the first terms in (29)–(31) will be noted as , , and , respectively. The free design variables and can numerically be solved from (29)–(31) if the transistor and varactor specifications are known. All circuit elements are then known through (25)–(27). In order to have an easy to use design procedure, we have , , generated contour plots of
ÖZEN et al.: HIGH-EFFICIENCY RF-PWM OF CLASS-E PAs
2935
Fig. 4. Performance figure of merits: transistor utilization factor U (q; k (d )) and power dynamic range 1P (q; k (d )) versus f(q; k (d )); (q; k (d ))g.
C. Performance Figure of Merits achieved from a Clearly, the peak output power certain transistor is a performance indicator for a PA design. is plotted in The transistor utilization factor at , Fig. 4, which is enough to determine (33) Fig.
3. Plots
the circuit optimization, all versus f(q; k(d )); (q; k(d ))g. (a) Normalized maximum switch current, i (q; k (d )) in (29). (b) K (d ; q; k (d )) and K (d; q; k (d)).
and
for
over and (see Fig. 3). These plots contain all information required for practical circuit design for a . The plots presented here given duty cycle range , corresponding to have therefore been generated with maximum Class-E transistor utilization [11]. The lower limit is set to 0.3 and set by the minimum pulsewidth of the available RF-PWM generator used in the prototype transmitter in Section V. Next, the calculation of the circuit element values are described step by step.
Another performance indicator is the achieved power dynamic , which follows as range with (34)
versus
B. Design Steps 1) Drain Bias: The maximum value of the drain voltage is bounded to for the ranges can consequently be formulated as used here. The with a very slight error, where is the drain-tosource breakdown voltage of the transistor. , , and 2) Load Resistance: By using given specifications, and may be calculated using (30) and (31), respectively. Fig. 3(a) then gives the corresponding value of . The load resistance may then be calculated using (29). 3) Reactive Circuit Elements: and are obtained from Fig. 3(b). and may then be calculated using the expressions in Table I. Finally, is given by . Implementation of the steps above quantifies all circuit elewill only be known ments of the circuit in Fig. 2. Although at and , it will have a rather linear dependence within the range.
is plotted in Fig. 4 using and . increases with , which is equal to Observe that the large-signal tuning factor of the varactor diode. This proves that the achievable power dynamic range is not only dependent on the range with this topology, but also dependent on the characteristics of the varactor diode used. An explicit noniterative design procedure has been developed thus far. In Section V, the procedure will be applied in a practical design. V. PROTOTYPE TRANSMITTER DESIGN In this section, a prototype 2-GHz RF-PWM transmitter is designed and manufactured. RF-PWM signals are generated with a modulator implemented in a commercial 65-nm CMOS technology. The RF-PWM signals are amplified with a tunable imaginary load impedance GaN HEMT (Cree CGH60015DE) Class-E PA. The high-power tunable load network (TLN) is facilitated using in-house high breakdown-voltage SiC varactors [13]. A. RF Pulsewidth Modulator A single-stage inverter circuit implemented in a commercial 65-nm CMOS process is used as modulator. The schematic of the modulator is shown in Fig. 5. The inverter generates variable duty cycle signals by varying the pMOS and nMOS and , respectively [14], transistor gate bias voltages, [15]. The modulator use extended drain MOS (EDMOS) transistors, which have a breakdown voltage of 10 V [16]. The
2936
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 5. Schematic of the CMOS RF pulsewidth modulator.
Fig. 8. Intrinsic series resistance (R ) and junction capacitance (C ) of the SiC varactor die versus the bias voltage extracted using the measured smallsignal S -parameters. TABLE II SUMMARY OF THE CIRCUIT DESIGN
Fig. 6. Measured time-domain output waveforms of the RF pulsewidth modulator.
Fig. 7. CMOS RF pulsewidth modulator and GaN HEMT line up. Drain terminal of the GaN HEMT is connected to a TLN.
modulator can therefore provide the required voltage swing to switch the GaN transistor between on and off states. Typically 5 V of peak-to-peak voltage swing is sufficient to drive the GaN between on and off. An active load–pull setup is used to test the performance of the modulator under realistic loading conditions at 2 GHz [17]. pF is The input capacitance of the GaN HEMT emulated as the load to the modulator for the fundamental freand the second harmonic frequency . Higher quency order harmonics are not controlled and terminated with approximately 50 . The measured time-domain waveforms are shown
Fig. 9. Simulated switch waveforms. (a) Duty cycle is 50% and X = 34 . (b) Duty cycle is 30% and X = 101 .
in Fig. 6. The measurement results show that the duty cycle can be varied approximately from 50% to 30% by an appropriate and CMOS voltages. The voltage swing control of the is above 5 V and slightly below 5 V for 50% and 30% duty cycles, respectively. in In the transmitter, the RF input port of the modulator, Fig. 5, is directly connected to an RF synthesizer with no input matching in between. The output of the modulator is connected to the gate of the GaN HEMT using very short bond wires (see Fig. 7). This ensures that square shaped signals can be generated across the gate of the GaN at RF frequencies. The output of the modulator is dc decoupled, which allows the gate of the GaN
ÖZEN et al.: HIGH-EFFICIENCY RF-PWM OF CLASS-E PAs
2937
Fig. 10. Class-E PA with electronically TLN. Z denotes the impedance seen from the indicated reference plane at n! , where n is the harmonic index. The electrical lengths of the transmission lines (E ) are specified at 2 GHz.
HEMT to be biased near its pinch-off voltage at 3 V. The biasing is implemented with an – network connected to the gate of the GaN HEMT with a relatively long bond wire. The GaN HEMT device functions as the active switch in the tunable imaginary load impedance Class-E stage, which is the subject of Section V-B. B. Tunable Imaginary Load Impedance Class-E PA The design of the PA shown in Fig. 2 requires the ideal component values and the drain bias to be calculated. The component values are easily calculated via the design procedure outlined in Section IV using the specifications of the transistor and the varactor diode. The junction capaciand , tance and the series resistance of the SiC varactor, respectively, are plotted versus bias in Fig. 8. Considering the possible high RF voltage swing across the varactors, the us. The tranable impedance tuning factor is taken as sistor specifications are A, V, and pF. The final design values, which are found by implementing the design procedure outlined in Section IV-B, are summarized in Table II. The designed circuit is simulated via the harmonic balance method using a switch with finite on/off resistances and an ideal tunable capacitor. The resulting switch waveforms , is are shown in Fig. 9. Observe that the switch voltage, always zero at off-to-on switching instances. Thus, ideal efficiency of the sub-optimum Class-E (100%) is preserved for a duty cycle range of 30%–50%. This range yields a power dydB. namic range of The next step is the implementation of the designed load network with realistic components. The load network of the Class-E is realized by providing the required drain impedances at the carrier frequency and at harmonics of the carrier with a transmission-line based network [8]. Simulations show that the and the second harmonic impedances fundamental have the strongest influence on the performance in terms of effiharmonic ciency and output power. Higher order impedances do not affect the performance considerably, which is also known theoretically [18]. The required drain impedances are provided only for the fundamental and second harmonic with the load network, which is shown in Fig. 10.
The load network is implemented on a 20-mil-thick Duroid 5870 (Rogers Corporation) substrate. The required value is equal to the output capacitance of the GaN HEMT, thus provided by the device itself. The high tuned filter in the load network of Class-E PA implies that the load impedance at ( in Fig. 10) must be equal to . is equivalent to a short and transforms the short circuit to . circuit at Two antiseries connected SiC varactors are used for impedance tuning [13]. The electrical lengths and the characteristic impedare engineered to ances of the transmission lines ( in Fig. 10) is equal to ensure that the load impedance at and , as a parallel connection of impedances desired (see Fig. 2). The GaN HEMT drain bias network is implemented with a radial stub and a quarter-wavelength transmission line. The same topology is also used to bias the varactors. The dc grounds for the varactors are provided via the short-cirand . cuited stubs C. Cut-Ready Simulation Results In this section, cut-ready simulation results of the transmitter are presented. All simulations use an in-house model for the GaN HEMT die. The model is optimized for switch mode operation and has been verified to give high accuracy for SMPA simulations [19]. A large-signal model of the SiC varactor die was developed using multibias -parameter measurements calibrated to the die reference plane. The measured time-domain output waveforms of the modulator circuit (see Fig. 6) are directly used as the input drive signal for the Class-E PA during the simulations. and , The simulated large-signal load impedances at and , respectively, are plotted versus varactor control (see Fig. 11). Note that is equivalent to a parvoltage and . The real allel connection of impedances are therefore expected to vary acand imaginary parts of cording to the design equations, i.e., and at 2 GHz (see Table II). After and the design optimization, . The discrepancy between the theoretical and implemented load impedance values is mainly due to nonideal switching behavior of the transistor, i.e., the nonzero on resistance value and nonzero switching durations, and the nonlinear behavior of the device parasitic capacitances.
2938
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 11. Simulated large-signal load impedance for variable varactor bias voltage at the fundamental frequency (! ) and the second harmonic frequency (2! ), Z , and Z , respectively. The varactor control voltage is varied from 60 to 5 V.
0
0
The simulated second harmonic impedance, , is constant versus the duty cycle as assumed in the theoretical derivation. after the design optimization is equal to at The . 2 GHz, while the calculated value from Table II is The simulated drain waveforms are shown in Fig. 12 for the peak output power level and at 7-dB back off. Observe that voltage and current overlap is fairly low for both cases. The simulated drain efficiency is shown in Fig. 13. The efficiency results agree well with the theory, showing that the drain efficiency remains fairly constant at its peak value when the duty cycle and are modulated simultaneously to control the output power. The simulated drain efficiency is higher than 74% over a 7 dB of dynamic range at 2-GHz carrier frequency. The overall transmitter efficiency simulation results are not included here since no model was available for the modulator circuit. The measured overall transmitter efficiency results are, however, presented in the following section. Finally, the manufactured modulator board, the GaN HEMT die and the TLN, are mounted on an aluminium fixture, which is also used for overall grounding. A photograph of the prototype transmitter is shown in Fig. 14 (see Fig. 7 for a close up of the CMOS and GaN interconnect).
Fig. 12. Simulated drain waveforms from the cut-ready Class-E PA, V = V = 3 V, and f = 2 GHz. (a) Peak power: 13 W. (b) 7-dB output power back-off.
30 V,
0
Fig. 13. Simulated drain efficiency, , of the GaN HEMT Class-E stage versus the output power, P , V = 30 V, V = 2:5 V.
0
VI. EXPERIMENTAL RESULT In this section, static measurement results of the manufactured transmitter are presented. The drain efficiency of the and the overall transmitter efficiency Class-E stage are both measured. The efficiency definitions follow as [20]:
2 9 cm.
(35)
Fig. 14. Photograph of the fabricated prototype transmitter. Size: 13.5
(36)
, is set to 5 V. The breakdown The CMOS drain bias, voltage of the varactors were slightly lower than the expected , is therefore value. The drain bias of the GaN HEMT, decreased from 30 to 25 V for safe operation of the varactors during the measurements. The gate bias of the GaN HEMT, , is set to 3 V. The duty cycle is controlled by varying the gate
where and are the dc powers drawn by the Class-E is the availstage and the modulator stages, respectively. able RF power from the RF synthesizer applied to the modulator input.
ÖZEN et al.: HIGH-EFFICIENCY RF-PWM OF CLASS-E PAs
2939
, respec-
Fig. 17. Measured drain efficiency of the Class-E stage and the overall transmitter efficiency versus the output power P at 2-GHz carrier frequency.
Fig. 16. Available input power from the RF synthesizer, P , versus the output power, P . The carrier frequency is 2 GHz.
Fig. 18. Measured drain efficiency and the overall transmitter efficiency ( ) with a constant varactor voltage, V = 60 V, corresponding to RF-PWM of conventional Class-E. The carrier frequency is 2 GHz.
bias of the nMOS and pMOS transistors in the modulator cirand , respectively (see Fig. 5). A lookup table is cuit, constructed by recording the efficiency from the static measureand the at each varactor conments by sweeping the trol voltage, . This way the optimum combination of the duty cycle and the load impedance corresponding to the best can be identified at each output power level. It is observed that can be kept constant at 1.0 V for the best . The optimal comand versus the are shown in Fig. 15, bination of which is divided into two regions: RF-PWM and linear operation regions. In the RF-PWM region, the output power is controlled by varying the duty cycle and the load impedance simultaneously with a constant RF input power to the CMOS modudBm. In the linear operation region, the control lator, is swept below 24.5 dBm to signals are kept constant and control the output power. The corresponding input/ouput power relationship is shown in Fig. 16. For the final application, the baseband control signals will can be generated by amplifying the be varied dynamically. output signal from a digital-to-analog converter (DAC) with a high-speed operational amplifier [21]. Note that negligible power is needed to control the varactor and gate bias voltages. The efficiency measurement results versus output power using the optimum varactor and driver bias combination are is shown in Fig. 17. The measured is above 70% and above 55% over the RF-PWM operation region corresponding to a dynamic range of 6.5 dB. The measured and the dynamic-range results well agree with the simulation results presented in Fig. 13. The good agreement is attributed to accuracy of the GaN HEMT and the varactor models, as well as the careful mounting methods used.
The efficiency is also measured with constant to observe the performance when the load impedance tuning disabled, representing traditional RF-PWM of the Class E PA (see Fig. 18). For that case, drops to 41% at less than 5-dB back-off, thus proving that very significant efficiency improvement is achieved with the proposed PA topology. results can be improved It is important to mention that the substantially by implementing a matching network between the modulator input and the RF synthesizer. The load–pull measurements of the modulator have shown that the delivered RF power to the CMOS chip is approximately 6.5 dB lower than the available power used for the measurements, i.e., 19 dBm. The frequency response of the transmitter is measured at two different power levels, at approximately 2 and 6 dB below the combination optimized at 2 GHz. peak power using the Frequency sweep at the peak power is avoided to prevent any breakdown in the active components due to possible increase in the output power versus the frequency. The results are shown in Fig. 19 indicating that high-efficiency operation is maintained over 200-MHz bandwidth, specifically at 2-dB back-off and 6-dB back-off across the bandwidth. It is important to mention that the frequency response is related to the back-off level, meaning that the bandwidth performance at the peak output power will probably be even better than the presented results. The static characterization results show that the proposed method can provide high efficiency over a wide range of output power levels. The linearity of the transmitter remains to be studied. Earlier works [21]–[23], however, show that digital pre-distortion (DPD) of the input signal can be a robust solution for linearization of the transmitter presented. In [22],
Fig. 15. Optimal varactor and duty cycle control voltages, V and V tively, versus the output power, P , at 2-GHz carrier frequency.
0
2940
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
stage is higher than 70% and the overall transmitter efficiency is higher than 55% over 6.5 dB of output power dynamic range proving the feasibility of the proposed PA topology. APPENDIX In this section, various expressions used in the circuit analysis in Section III are presented. A. Switch Voltage The switch voltage for the time interval was derived as
where the coefficient and follow from the boundary and conditions at on-to-off transition, , as Fig. 19. Measured drain efficiency , the overall transmitter efficiency versus the frequency. (a) V V and , and output power P : V corresponding to 2-dB back-off level at 2-GHz carrier freV : V corresponding to 6-dB back-off V and V quency. (b) V level at 2 GHz.
= 4 05
= 040
= 010
= 42
a mixed-mode out-phasing/Class-B transmitter with a similar input/output power relationship to the one shown in Fig. 16 is linearized with a constellation-mapping-based DPD algorithm. In [21], [23], it has been proven that DPD can also remove the residual nonlinearities caused by the varactor diodes in the load network for dynamic load modulation architecture. Although the required techniques are already in the literature, a linearity study still requires extensive experiments, analyses, and discussions. Dynamic characterization with modulated signals is, therefore, not included in the scope of this paper and is the subject of future work. VII. CONCLUSION In this paper, a novel SMPA topology, a Class-E PA with electronically tunable imaginary load impedance, is derived particularly to be used in RF-PWM transmitters. It is theoretically proven that such PA topology can provide sub-optimal Class-E operation for variable duty cycles. Thus, in contrast to the existing SMPAs, it does not suffer from switching losses, as the duty cycle is varied from the nominal value. Consequently, RF-PWM of the proposed PA can provide an average efficiency that is very close to the peak efficiency for realistic modulated signals. In this respect, the presented work is pioneering and opening the way for realization of highly efficient RF-PWM transmitters. The theory is accompanied by a straightforward systematic design procedure, which allows easy design of high-performance circuits from given transistor and varactor specifications. A prototype 2-GHz RF-PWM transmitter is manufactured for experimental verification of the theory and the design procedure. A very good agreement is achieved between the theory and measurement results. The measured drain efficiency of the Class-E
B. Expressions for
and
Variables and were solved in terms of design variables . The solutions were given in terms of coefficients , which follow as:
ÖZEN et al.: HIGH-EFFICIENCY RF-PWM OF CLASS-E PAs
2941
C. Expression for An analytical expression for Section III in the form of
where
and
was derived in
follow as:
ACKNOWLEDGMENT The authors would like to thank M. Thorsell and Dr. K. Andersson, both with the Chalmers University of Technology, Göteborg, Sweden, for their assistance with load–pull measurements. The authors also acknowledge Dr. M. P. van der Heijden, NXP Semiconductors, Eindhoven, The Netherlands, for his suggestions on hardware realization and fruitful discussions on SMPAs. Modhelitics Inc., Tampa, FL, is acknowledged for providing their CLR library. REFERENCES [1] P. Besslich, “Device for amplitude-modulating a high-frequency carrier wave,” U.S. Patent 3 363 199, Jan. 9, 1968. [2] F. H. Raab, “Radio frequency pulsewidth modulation,” IEEE Trans. Commun., vol. 21, no. 8, pp. 958–966, Aug. 1973. [3] F. H. Raab, “Class-D power amplifier with RF pulse-width modulation,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2010, pp. 924–927. [4] M. Nielsen and T. Larsen, “A 2-GHz GaAs HBT RF pulsewidth modulator,” IEEE Trans. Microw. Theory Tech., vol. 56, no. 2, pp. 1668–1678, Feb. 2008.
[5] K. Tom, M. Faulkner, and T. Lejon, “Performance analysis of pulsewidth modulated RF class-E power amplifier,” in IEEE 63rd Veh. Technol. Conf., May 2006, vol. 4, pp. 1807–1811. [6] J. S. Walling, H. Lakdawala, Y. Palaskas, A. Ravi, O. Degani, K. Soumyanath, and A. Allstot, “A class-E PA with pulse-width and pulse-position modulation in 65 nm CMOS,” IEEE J. Solid-State Circuits, vol. 44, no. 6, pp. 1668–1678, Jun. 2009. [7] P. Wagh and P. Midya, “High-efficiency switched mode RF power amplifier,” in 42nd Midwest Circuit Syst. Symp., Aug. 1999, vol. 2, pp. 1044–1047. [8] A. Grebenikov and N. O. Sokal, Switch Mode RF Power Amplifiers. Oxford, U.K.: Newnes, 2007. [9] N. Singhal, N. Nidhi, and S. Pamarti, “A power amplifier with minimal efficiency degradation under back-off,” in IEEE Int. Circuits Syst. Symp., May 2010, pp. 1851–1854. [10] M. Acar, A. J. Annema, and B. Nauta, “Analytical design equations for class-E power amplifiers,” IEEE Trans. Circuits Syst. I, Reg. Papers, vol. 54, no. 12, pp. 2818–2821, Dec. 2007. [11] F. Raab, “Idealized operation of the class E tuned power amplifier,” IEEE Trans. Circuits Syst., vol. CAS-33, no. 12, pp. 725–735, Dec. 1977. [12] M. Acar, A. J. Annema, and B. Nauta, “Generalized analytical design equations for variable slope class-E power amplifiers,” in 13th IEEE Int. Electron., Circuits, Syst. Conf., Dec. 2006, pp. 431–434. [13] C. M. Andersson, N. Ejebjörk, A. Henry, S. Andersson, E. Janzén, H. Zirath, and N. Rorsman, “A SiC varactor with large effective tuning range for microwave power applications,” IEEE Electron Device Lett., accepted for publication. [14] E. Cijvat and H. Sjoland, “Two 130 nm CMOS class-D RF power amplifiers suitable for polar transmitter architectures,” in 9th Int. SolidState IC Technol. Conf., Oct. 2008, pp. 1380–1383. [15] D. Calvillo-Cortes, M. Acar, M. van der Heijden, M. Apostolidou, L. de Vreede, D. Leenaerts, and J. Sonsky, “A 65 nm CMOS pulse-widthcontrolled driver with 8 V output voltage for switch-mode RF PAs up to 3.6 GHz,” in Int. Solid-State Circuits Conf., Feb. 2010, pp. 58–60. [16] J. Sonsky, A. Heringa, J. Perez-Gonzalez, J. Benson, P. Y. Chiang, S. Bardy, and I. Volokhine, “Innovative high voltage transistors for complex HV/RF SoCs in baseline CMOS,” in Int. VLSI Technol., Syst., Appl. Symp., Apr. 2008, pp. 115–116. [17] M. Thorsell, K. Andersson, and C. Fager, “Characterization setup for device level dynamic load modulation measurements,” in IEEE MTT-S Int. Microw. Symp. Dig., Jul. 2009, pp. 1197–1200. [18] F. H. Raab, “Class-E, class-C and class-F power amplifiers based upon a finite number of harmonics,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 8, pp. 1462–1468, Aug. 2001. [19] P. Saad, H. Nemati, M. Thorsell, K. Andersson, and C. Fager, “An inverse class-F GaN HEMT power amplifier with 78% PAE at 3.5 GHz,” in 39th Eur. Microw. Conf., Sep. 2009, pp. 496–499. [20] F. H. Raab, P. Asbeck, S. Cripps, P. B. Kenington, Z. B. Popovic’, N. Pothecary, J. F. Sevic, and N. O. Sokal, “Power amplifiers and transmitters for RF and microwave,” IEEE Trans. Microw. Theory Tech., vol. 50, no. 3, pp. 814–826, Mar. 2002. [21] H. Cao, H. M. Nemati, A. S. Tehrani, T. Eriksson, J. Grahn, and C. Fager, “Linearization of efficiency-optimized dynamic load modulation transmitter architectures,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 4, pp. 873–881, Apr. 2010. [22] J. H. Qureshi, M. J. Pelk, M. Marchetti, W. C. E. Neo, M. P. v. d. H. John, R. Gajadharsing, and L. C. N. de Vreede, “A 90-w peak power GaN outphasing amplifier with optimum input signal conditioning,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 8, pp. 1925–1935, Aug. 2009. [23] H. M. Nemati, H. Cao, B. Almgren, T. Eriksson, and C. Fager, “Design of highly efficient load modulation transmitter for wideband cellular applications,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 11, pp. 2820–2828, Nov. 2010. Mustafa Özen (S’10) received the B.Sc. degree in electrical engineering from Ankara University, Ankara, Turkey, in 2006, the M.Sc. degree in microwave engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2010, and is currently working towards the Ph.D. degree at the Chalmers University of Technology. He is currently with the GigaHertz Center, Chalmers University of Technology. His research interests are switch-mode PAs and digital transmitter architectures. Mr. Özen was the recipient of the 2011 Best Paper Award of the IEEE Wireless and Microwave Technology Conference.
2942
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Rik Jos (M’00) was born in 1954. He received the M.Sc. and Ph.D. degrees in experimental physics from the University of Utrecht, Utrecht, The Netherlands, in 1982 and 1986, respectively. His doctoral study concerned noise phenomena in quasi-1-D organic crystals. In 1986, he joined Philips Semiconductors, Nijmegen, The Netherlands, where he was involved with the development of RF transistors for CATV-PA, handset-PA, broadcast-PA, and basestation-PA applications. In 2002, he became a Philips Semiconductor Fellow, responsible for the technology development of both active and passive RF devices. He is currently wiith NXP Semiconductors, Eindhoven, The Netherlands (spun-out of Philips in 2006), where he heads the innovation of RF power. In 2004, he became a Part-Time Adjunct Professor with the Chalmers University of Technology, Göteborg, Sweden. His field of research concerns high-efficiency RF amplifier architectures and wide-bandgap devices.
Mustafa Acar (M’09) was born in Gaziantep, Turkey, in 1979. He received the B.S. degree (with honors) from Middle East Technical University, Ankara, Turkey, in 2001, and the M.S degree (high honor, cum laude) in microelectronics from the University of Twente, Enschede, The Netherlands, in 2003. During 2003, he visited the Integrated Transceivers Group, Natlab, Philips Research Laboratories, Eindhoven, The Netherlands, to carry out his master thesis assignment on high-speed low-power frequency dividers in submicrometer CMOS technologies. From 2003 to 2007, he worked on his doctoral research project on CMOS PAs with the Integrated Circuit (IC) Design Group, University of Twente. Since 2007, he has been with the RF Advanced Design Group of NXP Semiconductors, Eindhoven, The Netherlands. His current research interests are on CMOS drivers and PA for RF applications. Mr. Acar was the recipient of the Philips Funding for Microelectronics Master Program at the University of Twente.
Christer M. Andersson received the M.Sc. degree in engineering nanoscience from Lund University, Lund, Sweden in 2009, and is currently working toward the Ph.D. degree at the Chalmers University of Technology, Göteborg, Sweden. His main research topic is processing, characterization, and modeling of wide-bandgap varactors.
Christian Fager (S’98–M’03) received the M.Sc. and Ph.D. degrees in electrical engineering and microwave electronics from the Chalmers University of Technology, Göteborg, Sweden, in 1998 and 2003, respectively. He is currently an Associate Professor and Project Leader with the GigaHertz Centre, Microwave Electronics Laboratory, Chalmers University of Technology. His research interests are in the areas of large-signal transistor modeling and high-efficiency PA architectures. Dr. Fager was the recipient of the 2002 Best Student Paper Award of the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2943
Digitally Assisted Dual-Switch High-Efficiency Envelope Amplifier for Envelope-Tracking Base-Station Power Amplifiers Chin Hsia, Anding Zhu, Member, IEEE, Jonmei J. Yan, Student Member, IEEE, Paul Draxler, Member, IEEE, Donald F. Kimball, Member, IEEE, Sandro Lanfranco, Member, IEEE, and Peter M. Asbeck, Fellow, IEEE
Abstract—This paper presents a novel digitally assisted dualswitch envelope amplifier used for wideband high-efficiency envelope-tracking (ET) base-station power amplifiers (PAs). The proposed envelope amplifier comprises two switching buck converters to provide the high-power ET signal to the RF stage and a wideband linear stage to maintain the envelope signal accuracy. The control technique utilizes digital signal processing in conjunction with analog hysteretic feedback to separately control two high-efficiency switchers and thus successfully reduces power consumption of the linear stage, especially for applications requiring high peak-to-average ratio (PAPR) signals. The overall ET system was demonstrated using GaAs high-voltage HBT PAs. For a variety of signals ranging from 6.6- to 9.6-dB PAPR and up to 10-MHz RF bandwidth, the overall system power-added efficiency reached 50%–60%, with a normalized root-mean-square error below 1% and the first adjacent channel leakage power ratio of 55 dBc after digital predistortion with memory mitigation, at an average output power above 20 W and 10-dB gain. Index Terms—Digital predistortion, dynamic supply modulator, envelope tracking (ET), memory effects, peak-to-average power ratio (PAPR), power amplifiers (PAs).
I. INTRODUCTION N ORDER to deliver high-speed data, complex modulation schemes are normally employed in modern wireless communication systems. Such modulation techniques lead to nonconstant envelopes in RF transmission signals, which often have a high peak-to-average power ratio (PAPR). Conventional linear
I
Manuscript received March 15, 2011; revised July 28, 2011; accepted August 12, 2011. Date of publication September 26, 2011; date of current version November 16, 2011. This work was supported in part by the University of California at San Diego (UCSD) under the University of California Discovery Grant Program and by Nokia Siemens Networks. C. Hsia, J. J. Yan, D. F. Kimball, and P. M. Asbeck are with the Department of Electrical and Computer Engineering, University of California at San Diego (UCSD), La Jolla, CA 92093 USA (e-mail: [email protected]; [email protected]; [email protected]; [email protected]). A. Zhu is with the School of Electrical, Electronic and Mechanical Engineering, University College Dublin, Dublin 4, Ireland (e-mail: anding.zhu@ucd. ie). P. Draxler is with the Department of Electrical and Computer Engineering, University of California at San Diego UCSD), La Jolla, CA 92093 USA, and also with Qualcomm Inc., San Diego, CA 92121 USA (e-mail: [email protected]). S. Lanfranco is with Nokia Siemens Networks, Mountain View, CA 94043 USA (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166084
Fig. 1. ET PA architecture.
power amplifiers (PAs), such as Class A/AB, are good candidates to keep high signal fidelity; however, the average efficiency of these amplifiers becomes very low when transmitting signals with a high PAPR. A number of efficiency enhancement techniques have been proposed in wireless transmitters [1], [2]. Among them, envelope tracking (ET) is one of the techniques that has demonstrated excellent power-efficiency improvement for base-stations [3]–[5]. As shown in Fig. 1, ET utilizes an envelope amplifier to dynamically control the supply voltage of the RF PA on the drain/collector, according to the envelope variations of the input signal. This technique enables the RFPA to be operated close to its saturation region over a wide range of power levels, which significantly improves power efficiency of the transmitter, especially for transmitting modulation signals with a high PAPR. The overall efficiency of ET systems depends not only on the performance of the RF PA, but also on that of the envelope amplifier that provides an amplitude-varying supply voltage. The RF PA performance may be optimized for ET operation by choosing different device technologies and load impedances [6]. However, increasing demands of wide modulation bandwidths and high data rates pose severe challenges on designing highly efficient and highly linear envelope amplifiers [7]. In [8], we demonstrated high-efficiency performance of an ET system using a digitally assisted dual-switch envelope amplifier that utilizes two high-efficiency switch buck converters, coordinated with a linear stage, to efficiently provide the wideband envelope signal to the RF PA. Experimental results showed that, by employing this new architecture, the efficiency of the
0018-9480/$26.00 © 2011 IEEE
2944
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 2. Analog single-switch envelope amplifier architecture [3].
envelope amplifier was significantly increased and thus the efficiency of the overall ET system was increased. And this high performance was maintained for different PAPR signals. In [8], due to limited page space, only the basic concept of the approach was presented. In this paper, we give an in-depth description of the new envelope amplifier design. In particular, we will present the operation principles and the procedures of the digital control signal generation, the envelope amplifier system architecture, and detailed design analysis and synthesis. Extensive measurement results and performance comparisons are also given. This paper is organized as follows. Section II describes the operation methodology of the conventional envelope amplifier architecture. Section III presents the proposed dual-switch envelope amplifier, including digital switch control signal generation mechanism, system architecture, and detailed design synthesis. The experimental results are presented in Section IV with a conclusion in Section V.
Fig. 3. Simulated envelope amplifier results with an EDGE signal as input.
II. ANALOG SINGLE-SWITCH ENVELOPE AMPLIFIER In much of the past work, envelope amplifiers are normally implemented with a linear stage in conjunction with a switching buck regulator (switcher), as shown in Fig. 2 [3], [9], [10]. This architecture includes two stages: a linear stage and a switcher stage. The linear stage is normally designed by using an oper, that is an ational amplifier. It provides a voltage output, , to ensure high tracking amplified envelope of the RF input, accuracy obtained at the output. The switcher provides a current , and it is controlled by a hysteretic controller that output, , through senses the current flowing out of the linear stage, , a current-sense feedback resistor. The current to the load, is a combination of the current from the linear stage, , and . Since the switcher can be opthe current from the switcher, erated with higher efficiency than that of the linear stage, ideally and with a proper design, the hysteretic controller should enable to provide the majority of the output current to the PA. Fig. 3 shows the simulated output current while the amplifier is excited with a 200-kHz EDGE signal with 8-PSK modulation and 3.2-dB PAPR. In this example, the peak envelope voltage is scaled to 30 V, the load impedance is pure resistive and is set to 5 , and the inductance value is set as 24 H. We can , is provided by the see that almost all of the output current, switcher. The linear stage is only responsible for correcting the switcher output noise and providing a small amount of current. The peak current, which the linear stage must provide, is less than 2 A, and this happens only when the instantaneous slew rate of the switcher is lower than that of the output current. In this case, the hysteretic controller successfully controls the switcher
Fig. 4. Simulated envelope amplifier results with a W-CDMA signal as input.
, to track . The average switching to provide the current, frequency of the switcher is around 1 2 MHz. Experimental results showed that the efficiency was higher than 80% [11]. However, the limited slew rate of the switcher could lead to a different result for the same envelope amplifier excited by signals with a wider bandwidth and a higher PAPR. Fig. 4 shows simulation results using the same envelope amplifier, but excited with a 3.84-MHz W-CDMA signal with 7.7-dB PAPR. In this cannot track the envelope correctly, and only provides case, due to the situation approximately the average current of that the required average slew rate of envelope current is much higher than that of the switcher current [12]. This causes that must source and sink a large amount of the current. In this example, the peak current of the linear stage is around 3.5 A. This leads to high power dissipation in the linear stage, and the overall efficiency is degraded to around 70% [3]. Table I summarizes the overall loss over the dc input power of the envelope amplifier excited by two different signals. In the case of the W-CDMA signal, the efficiency degradation is mainly due to the low slew rate of the switcher, causing mistracking that forces the linear stage to provide the majority of the output current. To resolve this problem, one possible solution is to use a smaller value of inductor for the switcher to boost the slew rate to match the required slew rate of the envelope signal. of
HSIA et al.: DIGITALLY ASSISTED DUAL-SWITCH HIGH-EFFICIENCY ENVELOPE AMPLIFIER FOR ET BASE-STATION PAs
2945
TABLE I SIMULTED SWITCHER LOSS AND LINEAR STAGE LOSS PERCENTAGE OVER THE ENTIRE DC INPUT POWER FOR AN EDGE AND A W-CDMA SIGNAL, RESPECTIVELY
Fig. 6. Simulated envelope amplifier behaviors with hysteresis set as 0.5 V; other test conditions are the same as those used in Fig. 5.
thus reduces the overall efficiency. It is therefore very difficult to maintain high power efficiency when transmitting wideband signals using ET, which severely limits the adoption of ET techniques in future wideband wireless systems. Fig. 5. Simulated envelope amplifier behaviors with 1.3-H inductor and hysteresis: 0.025; other test conditions are the same as those used in Fig. 4.
Fig. 5 shows the results when the inductance is reduced to a 20 times smaller value than that used in Fig. 4. In this case, the slew rate of the switcher increases so that the switcher can provide the majority of the output current now, which is similar to the case in which the envelope amplifier is excited with an EDGE signal, shown in Fig. 3. However, the average switching frequency of the switcher is also significantly increased, e.g., to over 10 MHz for a WCDMA signal. It leads that the power dissipation of the switcher increases due to higher switching loss, even though the current required from the linear stage is reduced. To avoid increasing the switching frequency, we can choose a larger hysteresis value in the comparator. As shown in Fig. 6, by increasing the hysteresis value from 0.025 to 0.5 V, the slew rate of the switcher can be improved without increasing the switching frequency. However, a larger hysteresis value causes , and the current a long delay between the expected current, , and this delay cannot be easily provided by the switcher, compensated in the analog circuits since the linear stage must to compensate for the difference between provide and in order to maintain high tracking accuracy. therefore becomes very large, as shown in Fig. 6, which then results in high power dissipation in the linear stage, and thus reduces overall efficiency. In summary, from the results shown above, we can see that in order to boost the slew rate of the switcher to accommodate wideband signals, a smaller inductor is required. However, this means that the hysteresis value must be set to a bigger value; otherwise the switching frequency of the switcher becomes very high, resulting in high switching loss. A large hysteresis value causes further delays between the expected current and the current provided by the switcher. That requires the linear stage to provide a large amount of current to compensate for the errors, which causes high power dissipation in the linear stage and
III. DIGITALLY ASSISTED DUAL-SWITCH ENVELOPE AMPLIFIER To cope with the difficulties in the analog hysteretic-controller-based envelope amplifier described above, in this paper, we propose a digitally assisted dual-switch envelope amplifier that is composed of one linear stage and two high-efficiency switchers. A. Digital Control Signal Generation Before introducing the dual-switch envelope amplifier architecture, we first present the operation principles of the digitally assisted switch control signal generation. Instead of using the analog hysteretic controller, in this paper, we propose to generate the switch control signal for the switcher using external digital circuits. The principle of the digital signal generation is similar to the operation mechanism of the analog hysteretic controller. From Fig. 2, we can find that (1) and (2) (3) where and is the equivalent voltage gain of the linear stage and the switcher, respectively. In the discrete time domain, (1) can be written as
where is the sampling interval. In the mean time, can be expressed in the discrete time domain as
(4) also (5)
2946
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 8. Transient simulation of envelope signal, corresponding switching control waveform without delay correction (dot) and the switcher current from the main switcher (dash).
Fig. 7. Envelope amplifier with digital control.
where represents the total output current provided by the envelope amplifier. Assuming the load impedance of the envecan be expressed as (6) lope amplifier is constant,
load
(6)
Using (2), (6) can be rewritten as (7) where is the scaling factor. It indicates that the ideal can be directly obtained from the input envelope . In the analog controller, the current sensor compares with the hysteresis value to generate the switch control signal, , to turn on/off the switcher. In the same way, we can use (4), (5), and (7), and the following conditions in the digital do: main to generate the switch control pulse
envelope waveform). We can see that there are substantial delays between the switcher current and the tracking signal, similar to what occurs in the analog controller shown in Fig. 6. This misalignment between the required tracking signal and the actual current supplied by the switcher leads to large errors in the output of the switch. This will require the linear stage to provide a large amount of current to correct the errors, which leads to very low efficiency of the linear stage, and thus reduces the overall efficiency. Fortunately, unlike the analog controller where the delay is very difficult to compensate, with the digital control, the delay can be easily removed by changing the conditions of the switch signal generation. To compensate for the delay in the hysteretic controller, instead of using the present envelope waveform, we can use the future envelope samples as the reference to generate the switching pulse. If we assume the number of delay samples is , the pulse generation conditions become
If turn on
If turn on
else if turn off
else if turn off
else else This means that the envelope amplifier in Fig. 2 can be replaced by the circuit architecture illustrated in Fig. 7, where the switch control signal is directly generated in the discrete time domain by using a digital circuit rather than an analog hysteretic controller. should force the switcher to provide the curIdeally, rent to follow , the required ideal output envelope signal. However, the approach above suffers the same difficulties as in the analog controller because delay unavoidably occurs in producing the output of the switcher since the control is generated based upon the present envelope signal signal . For example, Fig. 8 shows a simulated switching control signal generated using the approach above, and the output current from the switcher and the targeted tracking signal (the
By adjusting the delay , the dynamic response of the current generated from the main switcher can be aligned with the targeted waveform. Fig. 9 shows the simulated switcher current and the load current after properly adjusting delay of the control signal. With the digital delay compensation, the slew rate and efficiency problems occurred in the conventional analog envelope amplifier can be resolved because it allows us to use small inductors and large hysteresis values to accommodate high dynamic wideband signals without decreasing power efficiency. For example, by using the same inductor and hysteresis values for a WCDMA signal as those used in Fig. 6, the linear stage output current is significantly reduced, as shown in Fig. 9.
HSIA et al.: DIGITALLY ASSISTED DUAL-SWITCH HIGH-EFFICIENCY ENVELOPE AMPLIFIER FOR ET BASE-STATION PAs
Fig. 9. Simulated envelope amplifier behaviors with 1.3-H inductor and switcher control delay adjustment.
2947
Fig. 10. Simulated dc current imbalance at the output of envelope amplifier.
Unlike other digital signal generation mechanisms using linear modulations, such as pulsewidth modulation (PWM) [10] where the frequency of the digital signal generated is often much higher than the envelope rate, which leads to high switching loss in the switchers and thus reduces the overall efficiency, in this paper, the switch control signal is generated by using the same principle of the analog hysteretic control approach that involves nonlinear process and thus dramatically reduces the switching frequency of the switcher. For example, for a 3.84-MHz WCDMA signal, the average switching frequency is only around 2 3 MHz. B. Dual-Switch Envelope Amplifier Architecture Ideally, the digitally assisted envelope amplifier in Fig. 7 can achieve high efficiency for wideband signals by properly designing the switch control signal to force the switcher to provide the majority of the required output current. However, because the digital switch control is an open loop structure, in practice, it cannot be guaranteed that the dc level provided by the switcher always matches the one required by the output. For example, Fig. 10 shows a simulation result for the scenario that the switcher generates dc energy imbalanced with the required output. In this case, the linear stage must provide more dc current to compensate for the errors. High dc current in the linear stage can cause overheating and possibly damage the circuit. One way to compensate for the dc errors between the open loop switcher and the linear stage is to employ another switcher, called the auxiliary switcher, to provide dc current. The structure of the auxiliary switcher can be made the same as the one employed in the conventional single-switch envelope amplifier, but using different transistor/inductor components. This auxiliary switcher can also be controlled by a current-sense analog feedback, where the feedback signal is the magnitude difference between the load current and the total current provided by two switchers. Once the magnitude of feedback signal exceeds a certain level, which is in the case that the linear stage has to provide more power to compensate for the offset, the current-sense stage will trigger the auxiliary switcher to turn on to support the dc imbalance current. Due to the fact that the dc imbalance signal is
Fig. 11. Digitally assisted dual-switch envelope amplifier.
in low frequency, the auxiliary switcher is not required to have a high speed, or to have a high slew rate, which eases the switcher driver design. This finally leads that the proposed complete envelope amplifier comprises one linear stage and two switcher stages in parallel, called the digitally assisted dual-switch envelope amplifier, as shown in Fig. 11. The current supplied to the collector/ drain of the RF PA results from the combined outputs of the linear stage and the two switchers. The main switcher is digitally controlled by a DSP unit while the auxiliary switcher employs an analog current-sense hysteretic controller. The main switcher provides the majority of the current, including a large portion of high-frequency parts, to the RF PA, while the auxiliary switcher acts as an assistant switcher to provide part of low-frequency current and to compensate dc current imbalances between the linear stage and the main switcher. The linear stage is still functioned as a voltage source to correct any disturbances between the expected output and the one provided by two switcher stages with an internal loop filter so that the tracking error is minimized. Fig. 12 shows simulation results of the dual-switch envelope amplifier, where we can see that the majority current is provided by the main switcher, while the auxiliary switcher only occasionally turns on to produce low current to compensate for the dc imbalance.
2948
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 12. Simulated dual-switch envelope amplifier behavior.
Fig. 14. Measured switcher power loss and efficiency performance versus switching frequency.
Fig. 13. Dual-switch envelope amplifier hybrid integration on PCB.
IV. EXPERIMENTAL RESULTS A. Envelope Amplifier Tests To evaluate the performance of the proposed design, a dualswitch envelope amplifier was assembled for ET with the peak voltage at 30 V. The load impedance of the envelope amplifier was 4 . The hysteresis control voltage is chosen as 0.5 V, and a 1.6- and 25- H inductor was used in the main and the auxiliary switcher, respectively. A fast switch field-effect transistor (FET) IRLR120N from International Rectifier was selected for the main switcher and IRFR3518 was used for the auxiliary switcher. A photograph of the assembled envelope amplifier is shown in Fig. 13. The two switchers were first tested with periodic pulse signals with roughly 50% duty ratio as input for the main switcher and 20% duty ratio for the auxiliary switcher. The efficiency and power loss results are shown in Fig. 14. The main switcher can reach over 80% efficiency at around 2–3-MHz switching frequency with 50–60-W average output power, while the efficiency of the auxiliary switcher can reach over 90% with the switching frequency below 1 MHz and with 10-W output power. The full envelope amplifier was then tested with a single carrier
Fig. 15. Measured waveforms of dual-switch envelope amplifier: (a) before and (b) after delay adjustment on V .
WCDMA signal. Fig. 15(a) and (b) shows the measured waveforms before and after delay adjustment in the main switcher control, respectively, where we can see that, with a proper adjustment, the current from the main switcher can be aligned with the expected current, i.e., the load current.
HSIA et al.: DIGITALLY ASSISTED DUAL-SWITCH HIGH-EFFICIENCY ENVELOPE AMPLIFIER FOR ET BASE-STATION PAs
2949
Fig. 18. ET system diagram.
Fig. 16. Measured switching frequency distributions between different switcher stages.
Fig. 19. ET TriQuint Gen 2 GaAs HVHBT RF device collector efficiency versus collector voltage measurement.
B. ET System Measurements
Fig. 17. Efficiency measurement and comparisons between two types of envelope amplifiers using single-carrier W-CDMA signals.
Fig. 16 shows the switching frequency distributions for the main and auxiliary switchers recorded from the experiment. For comparison, the switching frequency distribution of the single switcher in the conventional envelope amplifier, excited with the same W-CDMA input signal, is also shown in Fig. 16. All the distributions are scaled to their peak values. We can see that the switching frequencies are split among the two switchers in the new design that allows us to maximize the efficiency of the switchers separately to effectively handle different frequency components in the tracking signal so that the optimum efficiency can be obtained for the overall system. However, in the singleswitch system, only one switching frequency can be optimized. Fig. 17 shows efficiency measurements of two envelope amplifiers over different PAPRs for single-carrier W-CDMA signals. Each amplifier was configured for its optimal efficiency with a fixed load impedance during the experiments. The results show that the efficiency of the digitally assisted dual-switch envelope amplifier is much higher than that of the single-switch envelope amplifier, especially when excited with higher PAPR signals.
To demonstrate the overall performance of the ET system, the proposed envelope amplifier was tested with two RF PAs, which were both designed by using high-voltage InGaP/GaAs HBTs from TriQuint Semiconductor. Two different sizes of devices were used: the first PA employs a Gen 1 device that can produce 130-W peak continuous wave (CW) output power, while the second PA uses a Gen 2 device that can achieve more than 250 W at the output. Detailed information about the device technology can be found in [5], [13], and [14]. The block diagram of the overall measurement system is shown in Fig. 18. The original in-phase/quadrature (I/Q) baseband signal, the envelope, and the digital switch control signal were generated in the digital domain with a clock frequency at 107.52 MHz. The RF up-conversion path used a digital IF frequency of 26.88 MHz. The PA was operated at 2.14-GHz frequency band, excited with 3.84-MHz WCDMA and 10-MHz WiMAX signals. Decresting was performed digitally in baseband [3], which brought the PAPR of the WCDMA signal to a range of 6.6–9.6 dB and that of the WiMAX signal to 8.8 dB, respectively. The probe calibration and efficiency measurement setup was the same as that described in [3]. A memoryless digital predistortion and memory mitigation techniques [17] were used for improving linearity of the system. Fig. 19 shows the instantaneous collector efficiency measurement of the Gen 2 RF PA using ET with W-CDMA signals. The collector efficiency is more than 80% over a broad range of
2950
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE II PERFORMANCE WITH W-CDMA AND WiMAX SIGNALS BEFORE AND AFTER DIGITAL PREDISTORTION
Fig. 20. Measured normalized output spectrum of ET PA before and after DPD and after memory mitigation using a W-CDMA 7.7-dB PAPR signal.
output power 10 dB and peak power is up to 54.5 dBm. The average efficiency after considerations of signal probability density distribution is up to 82% (see Table II). To verify this result, a separate measurement was conducted by using CW signals with constant voltage sweeping from 3 to 28 V on the collector bias. The result is also plotted in Fig. 19. The efficiency measurement matches with the result using ET at average output power (back off from the peak about 6 10 dB). Some differences at peak output power level is due to thermal effect. Table II summarizes the ET system performance before and after digital predistortion. For the HVHBT Gen 1 device [5], [13], the average collector efficiency, including dissipation in the envelope amplifier, is as high as 64% with an average output power of 43.5 W for a 6.6-dB PAPR WCDMA signal, while the average collector efficiency of the Gen 2 RF PA [14] is as high as 65.6% with an average output power of 66.9 W with the same W-CDMA test signal and the corresponding power-added efficiency (PAE) is as high as 61.7%. This is the highest efficiency among the reported W-CDMA base-station PAs up to date [5], [8], [15]. For signals with higher PAPRs, such as up to 9.6 dB, the overall PAE is still maintained over 53%. Table II also gives
Fig. 21. Measured normalized output spectrum of ET PA before and after DPD and after memory mitigation using a Wi-MAX 8.8-dB PAPR signal.
the measured performance of the ET amplifier with a WiMAX signal with 8.8-dB PAPR and 10-MHz bandwidth. Even though the switching loss of the envelope amplifier is increased [5] when excited with wider bandwidth signals, the overall PAE of the ET PA remains higher than 52%, which is about 8% efficiency improvement from previously presented results [5]. The high efficiency can be maintained for higher PAPR signals is due to the fact that the slew rate of the DSP-controlled switcher can be made to match the slew rate of the envelope signals at higher PAPRs, through proper adjustments during the digital control signal generation. Fig. 20 shows the adjacent channel power ratio (ACPR) performance before and after DPD and memory mitigation for a WCDMA signal. The ACPR after memory mitigation [17] is down to below 55 dBc with the similar efficiency performance [15]. For a higher PAPR (9.6 dB) W-CDMA signal, the overall ET system PAE maintains above 53% for the HVHBT Gen 1 device. Fig. 21 shows the ACPR performance for a WiMAX signal. The ACPR after memory mitigation is down to below 48.3 dBc with the similar efficiency performance.
HSIA et al.: DIGITALLY ASSISTED DUAL-SWITCH HIGH-EFFICIENCY ENVELOPE AMPLIFIER FOR ET BASE-STATION PAs
V. CONCLUSION A novel digitally assisted dual-switch envelope amplifier architecture has been presented to improve the efficiency of ET base-station PAs excited with wideband signals. The technique utilizes DSP circuits to generate a switch control signal to force the main switcher providing the majority of current required by the RF PA, and use an auxiliary switcher to compensate for dc imbalance and provide low-frequency current using analog hysteretic feedback. A wideband linear stage is used at low power to maintain the envelope signal accuracy. The technique significantly improves the efficiency of the envelope amplifier, especially for applications requiring high PAPR signals. The overall system was demonstrated by using GaAs high-voltage HBT PAs. For a variety of signals ranging from 6.6- to 9.6-dB PAPR and up to 10-MHz RF bandwidth, the overall system PAE exceeds 50%, with normalized root-mean-square errors below 0.8% and the first adjacent channel leakage power ratio (ACLR1) of 55 dBc after digital predistortion with memory mitigation, at an average output power above 20 W and over 10-dB gain.
ACKNOWLEDGMENT The authors would like to thank C. Steinbeiser and T. Landon, both with TriQuint Semiconductor, Richardson, TX, for HVHBT PA module support and valuable discussions, and also thank C. Cuong Vu, CaIT2, La Jolla, CA, for his support in experimental measurement.
2951
[9] J. Choi, D. Kim, D. Kang, and B. Kim, “A polar transmitter with CMOS programmable hysteretic-controlled hybrid switching supply modulator for multistandard applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 7, pp. 1675–1686, Jul. 2009. [10] T.-W. Kwak, M.-C. Lee, and G.-H. Cho, “A 2W CMOS hybrid switching amplitude modulator for a 2 W CMOS hybrid switching amplitude modulator for EDGE polar transmitters,” IEEE J. Solid-State Circuits, vol. 42, no. 12, pp. 2666–2676, Dec. 2007. [11] D. Kimball, C. Hsia, P. Draxler, J. Yan, and P. Asbeck, “66% PAE envelope tracking GaN power amplifier for EDGE base stations applications,” in IEEE Power Amplifiers for Wireless Commun. Top. Symp., Sec. 4.4, Orlando, FL, Jan. 2008, pp. 48–49. [12] F. Wang, D. F. Kimball, J. D. Popp, A. H. Yang, D. Y. Lie, P. M. Asbeck, and L. E. Larson, “An improved power-added efficiency 19-dBm hybrid envelope elimination and restoration power amplifier for 802.11g WLAN applications,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4086–4099, Dec. 2006. [13] C. Steinbeiser, T. Landon, C. Suckling, J. Nelson, J. Delaney, J. Hitt, L. Witkowski, G. Burgin, R. Hajji, and O. Krutko, “250 W HVHBT doherty with 57% WCDMA efficiency linearized to 55 dBc for 2c11 6.5 dB PAR,” IEEE J. Solid-State Circuits, vol. 43, no. 10, pp. 2218–2228, Oct. 2008. [14] T. Landon, J. Delaney, C. Steinbeiser, O. Krutko, R. Branson, R. Hajji, P. Page, S. Wey, C. Hall, and L. Witkowski, “Generation 2 high voltage heterojunction bipolar transistor technology for high efficiency base station power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2010, pp. 1508–1511. [15] C. Hsia, D. Kimball, P. Draxler, J. J. Yan, and P. M. Asbeck, “Wideband high efficiency envelope tracking power amplifiers using high voltage GaAs HBTs,” in IEEE PA Symp. Dig., 2010, pp. 18–19. [16] D. Kimball, M. Kwak, P. Draxler, J. Jeong, C. Hsia, C. Steinbeiser, T. Landon, O. Krutko, L. Larson, and P. Asbeck, “High efficiency WCDMA envelope tracking base-station amplifier implemented with GaAs HVHBTs,” in IEEE Compound Semicond. Integr. Circuits Symp., 2008, pp. 1–4. [17] P. Draxler, J. Deng, D. Kimball, I. Langmore, and P. M. Asbeck, “Memory effect evaluation and predistortion of power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., May 2005, pp. 1549–1552.
0
REFERENCES [1] P. Asbeck, L. Larson, D. Kimball, Y. Zhao, F. Wang, and D. Qiao, “High dynamic range, high efficiency power amplifiers for wireless communications,” in Proc. IEEE Bipolar/BiCMOS Circuits Technol. Meeting, Santa Barbara, CA, Oct. 2005, pp. 103–107. [2] S. C. Cripps, RF Power Amplifiers for Wireless Communications. Norwood, MA: Artech House, 2006. [3] D. Kimball, J. Jeong, C. Hsia, P. Draxler, S. Lanfranco, W. Nagy, K. Linthicum, L. E. Larson, and P. M. Asbeck, “High-efficiency envelope tracking W-CDMA base-station amplifier using GaN HFETS,” IEEE Trans. Microw. Theory Tech., vol. 54, pp. 3848–3856, Nov. 2006. [4] C. Hsia, D. Kimball, P. Draxler, J. J. Yan, J. Kinney, E. Toulouse, J. Wood, and P. M. Asbeck, “High efficiency envelope tracking overdriven class—A LDMOS power amplifier for base station applications,” in IEEE Power Amp. Wireless Commun. Top. Symp., Orlando, FL, Jan. 2008. [5] J. Jeong, D. Kimball, M. Kwak, P. Draxler, C. Hsia, C. Steinbeiser, T. Landon, O. Krutko, L. E. Larson, and P. M. Asbeck, “High-efficiency WCDMA envelope tracking base-station amplifier implemented with GaAs HVHBTs,” IEEE J. Solid-State Circuits, vol. 44, no. 10, pp. 2629–2639, Oct. 2009. [6] J. Jeong, D. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Modeling and design of RF amplifiers for envelope tracking WCDMA base-station applications,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 9, pp. 2148–2159, Sep. 2009. [7] J. Jeong, D. Kimball, M. Kwak, C. Hsia, P. Draxler, and P. M. Asbeck, “Wideband envelope tracking power amplifier with reduced bandwidth power supply waveform,” in IEEE MTT-S Int. Microw. Symp. Dig., Boston, MA, Jun. 2009, pp. 1381–1384. [8] C. Hsia, D. Kimball, S. Lanfranco, and P. Asbeck, “Wideband high efficiency digitally-assisted envelope amplifier with dual switching stages for radio base-station envelope tracking power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., Anaheim, CA, May 2010, pp. 672–675.
Chin Hsia received the Ph.D. degree in electrical and computer engineering from the University of California at San Diego (UCSD), La Jolla, in 2010. In 2001, he joined the MBT Corporation, where he was involved in the field of optical microelectromechanical systems (MEMS). From 2002 to 2004, he was with the Industrial Technology Research Institute, Hsinchu, Taiwan, where he was involved with their nanosystem metrology project. From 2004 to 2010, he was a Research Assistant with UCSD. Since 2011, he has been a Post-Doc with UCSD. His research interests include RF and analog circuit design for diverse fields such as MEMS, power supply systems, and high-efficiency transmitters for wireless communications.
Anding Zhu (S’00–M’04) received the B.E. degree in telecommunication engineering from North China Electric Power University, Baoding, China, in 1997, the M.E. degree in computer applications from the Beijing University of Posts and Telecommunications, Beijing, China, in 2000, and the Ph.D. degree in electronic engineering from University College Dublin (UCD), Dublin, Ireland, in 2004. He is currently a Lecturer with the School of Electrical, Electronic and Mechanical Engineering, UCD. His research interests include high-frequency nonlinear system modeling and device characterization techniques with a particular emphasis on Volterra-series-based behavioral modeling and digital predistortion for RF PAs. He is also interested in wireless and RF system design, digital signal processing, and nonlinear system identification algorithms.
2952
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Jonmei J. Yan (S’03) received the Bachelor’s and Master’s degrees in electrical engineering at the University of California at San Diego (UCSD), La Jolla, and is currently working toward the Ph.D. degree at UCSD. She was previously with ARM Inc., as an Analog Designer, where she was involved in the design and layout of custom high-speed I/Os. She also guided the automation of the quality control process. Since 2006, she has been a Design Engineer with MaXentric Technologies, leading multiple PA projects ranging from UHF to -band as the Principal Investigator. Her research interests include high-efficiency/high-power RF amplifiers for wireless communications and adaptive digital pre-distortion. She is currently engaged in research on ET PAs and advanced digital pre-distortion techniques.
From 1999 to 2002, he was a Research and Technology Engineer/Manager with Ericsson Inc. Since 2003, he has been a Principal Development Engineer with Calit2, University of California at San Diego (UCSD), La Jolla. He holds seven U.S. patents associated with high-power RF amplifiers (HPAs). He has authored or coauthored numerous technical papers concerning high-efficiency high-linearity HPAs. His research interests include HPA envelope elimination and restoration techniques, switching HPAs, adaptive digital pre-distortion, memory effect inversion, mobile and portable wireless device battery management, and small electric-powered radio-controlled autonomous aircraft.
X
Paul Draxler (S’81–M’84) received the B.S.E.E. and M.S.E.E. degrees (with a special focus on electromagnetics, RF andmicrowave circuits, antennas, and plasma physics) from the University of Wisconsin–Madison, in 1984 and 1986, respectively, and is currently working toward the Ph.D. degree (with a focus on PA behavioral modeling and digital predistortion of transmitters) at the University of California at San Diego (UCSD), La Jolla. Following graduation, he designed hybrid and GaAs monolithic microwave integrated circuit (MMIC) PA circuits with Hughes Aircraft Company and Avantek. From 1988 to 1995, he held various positions with EEsof and HP-EEsof, where he focused on RF and microwave computer-aided engineering: custom design environments, nonlinear modeling, and electromagnetic simulation. In 1995, he joined Qualcomm Inc., San Diego, CA, to lead a team focused on RF computer-aided engineering. In this role, he has provided consulting to many design teams on system and circuit simulation, electromagnetic modeling, and board- and chip-level design methodologies. He is currently at a Principal Engineer in Corporate Research and Development with Qualcomm Inc. He has authored or coauthored over 25 symposium and trade journal papers on electromagnetic simulation, circuit simulation, system simulation, PA modeling, and digital predistortion. He holds two patents with a number of patent applications under review.
Donald F. Kimball (S’82–M’83) was born in Cleveland, OH, in 1959. He received the B.S.E.E. (suma cum laude, with distinction) and the M.S.E.E. degree from The Ohio State University, Columbus, in 1982 and 1983, respectively. From 1983 to 1986, he was a TEMPEST Engineer with the Data General Corporation. From 1986 to 1994, he was an Electromagnetic Compatibility Engineer/Manager with Data Products New England. From 1994 to 1999, he was a Regulatory Product Approval Engineer/Manager with Qualcomm, Inc.
Sandro Lanfranco (M’10) received the M.Sc. degree in electrical engineering (with special focus on microwave theory and optoelectronics) from the Politecnico di Torino, Turin, Italy, in 1999. In 1998, he joined Nokia Networks, where he started to work on various aspects of radio hardware, focusing on PA design and architectures for base stations (BTSs). During his career with Nokia and Nokia Siemens Networks, Mountain View, CA, he has been involved with both product programs and research/innovation concepts. He belongs to the CTO/Research Organization, where he focuses on technologies enabling radio enhancements for macro/micro/pico/femto BTSs.
Peter M. Asbeck (M’75–SM’97–F’00) received the B.S. and Ph.D. degrees from the Massachusetts Institute of Technology (MIT), Cambridge, in 1969 and 1975, respectively. He has been with the Sarnoff Research Center, Princeton, NJ, and Philips Laboratory, Briarcliff Manor, NY, where he has been involved in the areas of quantum electronics and GaAlAs/GaAs laser physics. In 1978, he joined the Rockwell International Science Center, where he was involved in the development of high-speed devices and circuits using III–V compounds and heterojunctions. He pioneered efforts to develop heterojunction bipolar transistors based on GaAlAs/GaAs and InAlAs/InGaAs materials. In 1991, he joined the University of California at San Diego (UCSD), La Jolla, where he is the Skyworks Chair Professor with the Department of Electrical and Computer Engineering. He has authored or coauthored over 350 publications. His research interests are in development of high-performance transistor technologies and their circuit applications. Dr. Asbeck is a member of the National Academy of Engineering. He has been a Distinguished Lecturer of the IEEE Electron Device Society and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He was the recipient of the 2003 IEEE David Sarnoff Award for his work on heterojunction bipolar transistors.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2953
Broadband Gm-Boosted Differential HBT Doublers With Transformer Balun Jian Zhang, Mingquan Bao, Dan Kuylenstierna, Student Member, IEEE, Szhau Lai, and Herbert Zirath, Fellow, IEEE
Abstract—Broadband monolithic InGaP HBT frequency doublers for -band application have been developed. The designs -boosted differential common-base configuration employ a using either capacitive or transformer-based coupling between base and emitters. To the authors’ best knowledge, these are the first frequency doublers utilizing the -boosted configuration and the result demonstrate larger bandwidth and higher output power than any previously reported frequency doublers. The design with cross-coupled capacitors presents a fundamental rejection better than 20 dB over a 100% 3-dB bandwidth, extending from 6 to 18 GHz. The transformer-coupled design has about 15-dB fundamental rejection over a slightly narrower bandwidth extending from 7 to 16 GHz. Both doublers have conversion gain peaking at more than 0.8 dB and output power sat 13 dBm. The designs are also very compact with chip sizes less than 0.5 mm2 . Index Terms—Capacitor-crossed coupling, frequency doubler, InGaP HBT, transformer balun, transformer coupling.
I. INTRODUCTION
F
REQUENCY multipliers are generally mandatory in microwave and millimeter-wave communication systems because it is difficult to design low phase-noise voltage-controlled oscillators (VCOs) with high tuning range and flat output power at high frequencies. Instead, a low-frequency VCO followed by frequency multipliers is the general approach for design of cost-effective stable local oscillator (LO) sources. However, the Manuscript received March 07, 2011; revised July 15, 2011; accepted July 28, 2011. Date of publication September 26, 2011; date of current version November 16, 2011. This work was carried out by the GigaHertzCenter, a joint research project supported by the Swedish Governmental Agency of Innovation Systems (VINNOVA), Chalmers University of Technology, Sivers IMA AB, and Ericsson AB. The work of J. Zhang was supported by the National Space Research Program (NRFP) under the “Advanced MMIC Functions” project, carried out by RUAG Space AB and Chalmers University of Technology. J. Zhang was with the Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, SE-412 96 Göteborg, Sweden. He is now with the Institute of Electronics, Communications and Information Technology (ECIT), Queens University of Belfast, Belfast, U.K. (e-mail: [email protected]). M. Bao is with the Microwave and High Speed Electronics Research Center (MHSERC), Ericsson AB, SE-431 84 Mölndal, Sweden. D. Kuylenstierna and S. Lai are with the GigaHertz Centre, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, SE-412 96 Göteborg, Sweden. H. Zirath is with the GigaHertz Centre, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, SE-412 96 Göteborg, Sweden, and also with the Microwave and High Speed Electronics Research Center (MHSERC), Ericsson AB, SE-431 84 Mölndal, Sweden. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166121
multiplier must not degrade the VCOs performance in terms of phase noise, bandwidth, and output power. Further, for monolithic microwave integrated circuit (MMIC) designs it should be compact in order to be cost effective. Most published multipliers are realized in GaAs and InP HEMT technologies [1]–[3]. Due to the square law relation [4], field-effect transistors (FETs) have an attractive feature to generate sufficient second harmonic signal. However, HBTs are more advantageous in terms of low flicker noise, high breakdown voltage, and output power, thus making the technology suitable for VCOs [5]. Consequently, HBT multipliers facilitate integration of VCO and multipliers. Frequency multipliers in InGaP HBT, SiGe HBT, BiCMOS, and CMOS have been recently reported [6]–[14]. A high-frequency SiGe HBT doubler reported in [6] demonstrates that SiGe HBT can reach close to the performance of HEMT multipliers. In [7], a doubler using SiGe HBT exhibits high efficiency and high conversion gain with the help of an additional off-chip balun. An SiGe BiCMOS balanced doubler has a conversion gain between 3 and 8 dB from 4- to 18-GHz output frequency; however, it consumes extra dc power on the active balun and needs a number of inductors to realize the distribution topology [8]. InGaP HBT doublers are reported in [9] and [10], they exhibit reasonable fundamental rejection and bandwidth with extra active balun and cascode stage. The CMOS counterparts show very good fundamental rejection; however, they suffer from poor power output and low conversion gain [12]–[14]. In this paper, InGaP HBT frequency doublers with increased bandwidth, reduced power consumption, and improved output -boosted power are presented. The designs are based on the common-base topology, which is normally used in differential low-noise amplifier (LNA) and VCO designs [15]–[18]. Here, it is used for multiplier designs for the first time; as a result, ultra-broadband frequency response and excellent fundamental suppression is obtained. Moreover, in this design, a transformer balun is employed at the input port to realize the conversion from single- to differential-end. Compared to the multipliers with Marchand balun and lumped rat-race configuration, the proposed doublers are very area effective with chip size less than 0.5 mm . The designs are based on an in-house-developed compact large-signal HBT model that is an extension of the Chalmers–Mitsubishi HBT model [19] and fabricated on a commercial InGaP HBT technology. The measurements show 3-dB relative bandwidth as high as 100% with second harmonic output higher better than 13 dBm. This paper is organized as follows. Section II describes the device technology. Section III details the principle of -boost scheme applied to a common-base doubler, the
0018-9480/$26.00 © 2011 IEEE
2954
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 1. Basic common gate stage with
Gm-boosted feedback.
including crossed-capacitor and transformer connections. Implementations of the on-chip magnetic coupling components (e.g., balun, transformer) are discussed in Section IV. The doublers testing and experimental results are presents in Section V. Finally, Section VI concludes this paper.
Fig. 2. (a) Capacitor cross-coupled common gate configuration. (b) Transformer-coupled common gate configuration.
ratio (1)
, then . For the transformer coupled one, the inverting amplification value, , can be given by turn ratio and coupling factor [15]
If II. DEVICE TECHNOLOGY The prototype -boosted common-base frequency doublers presented in this paper are designed and implemented in a WIN Semiconductors VCO-customized 6-in InGaP-GaAs HBT process H01U-10 (WIN foundry). The emitter finger width is GHz. The prototype circuits 1 m and fT utilize a two-finger HBT with finger length 20 m. The designs are based on an in-house-developed compact large signal HBT model, which is an extension of the Chalmers–Mitsubishi HBT model. The parameters are extracted using dc measurements, bias-dependent small-signal -parameters, and low-frequency noise measurements. Most parameters can be extracted directly from measurements, e.g., the maximum current gain BETA, and peak base voltage respective to peak collect current . The ideality factors Nc and Nb are extracted from Gummel plots. The depletion and diffusion capacitances, as well as the parasitic inductances and resistances, are extracted from the bias-dependent -parameters. For further discussion about the model parameters, we refer to [19].
(2) where is the coupling factor and is the turns ratio. In practice, employing multilayer broadside coupling, coupling factor could be up to 0.9; meanwhile, considering the restrictions on the self-resonance and losses of the large inductor, a turn ratio of 2 is practical. Finally, the inverting amplification value, , is around 2. When the inverting amplification, , is introduced, the ef, is boosted to fective small-signal trans-conductance, [16]. Stated another way, the input signal voltage swing , is also boosted to . across the base–emitter, Assuming that the collect current waveform is modeled as a train of half-cosine pulses, using a Fourier series expansion, it can be represented as [4] (3) and
III.
-BOOSTED COMMON BASE TOPOLOGY
The -boosted common-base configuration is normally used in differential LNA design to obtain simultaneous input impedance and noise matching [15], [16] and in some VCOs [5]. -boosting configuration can be realized by adding an The inverting amplification, , between the base and emitter terminals, as shown in Fig. 1. Two possible ways to achieve passive -boosting are shown in Fig. 2 inverting amplification in the wherein a differential topology allows the differential pairs to be capacitor cross-coupled and transformer coupled [13], [15]. For the capacitor cross-coupled configuration, the inverting amplification value, , is given by the capacitor voltage division
(4)
where is the th harmonic current component and is the maximum current, is the length of the pulse, and is the period of the fundamental frequency. In order to achieve the optimum value of the second harmonic current , the base , indivoltage have to be biased at , where cating the conduction angle 115 . When is adjusted to make small, the peak collector to base voltage becomes very large so that the transistors have to increase the input power
ZHANG et al.: BROADBAND
-BOOSTED DIFFERENTIAL HBT DOUBLERS WITH TRANSFORMER BALUN
2955
Fig. 3. Excitation at common-gate pair. (a) Differential mode excitation. (b) Common mode excitation.
to achieve such a wide gate–voltage variation. In the case of -boosted common-base configuration, the input signal the , has been increased voltage swing across the base–emitter, by the factor of ; therefore, the extra input power would be saved, and as a consequence, the multiplier’s conversion gain would be improved. Another advantage of the proposed configuration over the conventional common base/emitter one is the improvement of common mode reject ratio (CMRR). This can be explained by direct observation via Fig. 3. The in-phase relationship between the base and emitter voltages via the capacitor/transformer coupled suggests a negative feedback in the common mode case; on the contrary, a positive feedback in the differential mode case. This leads to the improvement of the CMRR, which finally results in higher fundamental rejection.
Fig. 4. (a) Function equivalent circuit. (b) Layer stack. (c) Physical layout.
IV. TRANSFORMER BALUN DESIGN The transformer balun can be implemented by grounding the center tap of the second transformer winding [20]. It functions not only as a differential to single end converter, but also provides amplification of current or voltage swings, as well as impedance matching from one circuit stage to another. There are two kinds of transformer balun in the proposed doubler circuits. A bifilar transformer balun is designed for the capacitor cross-coupled differential pair and a differential trifilar transformer balun for the transformer coupled one. To achieve a higher coupling coefficient and provide smaller size than an edge coupled transformer, the bifilar transformer balun is vertically stacked to realize broadside coupling. The balun design is shown in Fig. 4; it couples the single-ended RF signal to the differential pair. That is to say, shown in Fig. 4(a) will be connected with the input; while the differential output is connected with the emitter terminals of the transistor, as shown in Fig. 2. The transformer is implemented in adjacent metal layers, as explained in Fig. 4(b) and (c); the top thick metal, metal 1, is used for the primary coil and the secondary coil, fabricated with metal 2 , connects with the metal 1 to the ground at its central tap by a via-hole. The transformer employs a 1:1 turn ratio, with geometry size 160 m 280 m; the metal width is 20 m, as a tradeoff between the metal loss and the
Fig. 5. Phase error and amplitude balance of the transformer balun.
effect of parasitic capacitances. The simulated coupling coefficient is around 0.9. For the detailed design equation about the balun, we refer to [20]. Fig. 5 shows the simulation result of the transformer balun. It exhibits very good balance performance of gain error less than 1.5 dB and phase error less than 0.3 over a broad bandwidth (1–20 GHz). Since the asymmetric signal will degrade the fundamental suppression performance of the following doubler, the balance characteristic of the balun is very important. The physical layout of the differential trifilar transformer balun is shown in Fig. 6(a), and the schematic is illustrated in Fig. 6(b). The transformer consists of three groups of windings. To avoid unnecessary parasitic capacitance effect from air-bridge or via-hole, both edge coupling and broadside coupling are employed. The transformer couples the signal-ended
2956
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 6. (a) Function equivalent circuit. (b) Physical layout.
RF input signal to two differential outputs. As shown in is connected with the input; while differential Fig. 6(a), outputs – (via broadside coupling) are connected with the emitter terminals of the transistor; another pair of differential outputs – (via edge and broadside coupling) are connected with base terminals of the transistor, as shown in Fig. 2. The transformer employs a 1:1:2 turn ratio. The primary winding of the transformer is fabricated on the top thick metal, metal 1, and the secondary winding I is fabricated on metal 2 with metal width 20 m. The second winding II uses two turns; the first turn is fabricated on metal 1, edge coupled with the primary coil with a metal-to-metal spacing of 5 m, and the second turn is fabricated on metal 2, broadside coupled with the primary one. The purpose of this configuration is mainly for compact geometry, which is 190 m 320 m. Finally, an additional metal layer, Cmet, is used for cross connection. Fig. 7 illustrates the simulation result of the second transformer balun. As seen from the figure, the amplitude balance performance is quite good for both secondary coils, less than 2 dB from 5 to 0 GHz. However, the phase-error performances show the trend of deterioration with respect to frequency. This is because of the broadside coupling between the two secondary windings; this unwanted coupling effect will restrict the performance of the transformer bandwidth and increase the insertion loss. The secondary coil 2 shows better phase-balance performance at lower frequency because of its larger self-inductance; on the contrary, the secondary coil 1 has better performance at higher frequency. As a result, the compromise of operating frequency was made for a middle band, from 5 to 10 GHz. V. EXPERIMENT RESULTS Both capacitor cross-coupled and transformer coupled doublers are fabricated and measured. Fig. 8 shows the schematic and photograph of the circuits. A single-ended input is converted to a differential output; in-phase second harmonics are added together and the out-of-phase fundamental signals are cancelled. In the layout of the transformer coupled doubler, a cross-connection is also used to obtain the correct current flow direction, as illustrated clearly in the photograph. A transistor with 10- m width and 0.5- m length emitter is selected as the tradeoff between conversion gain and output power. The chip sizes of the capacitor-crossed and transformer coupled doublers are 0.45 and 0.47 mm , respectively. The circuits
Fig. 7. (a) Phase error and amplitude balance of the second coil 1. (b) Phase error and amplitude balance of the second coil 2.
are measured using on-wafer probing system and biased with 4-V collector-to-emitter voltage. The transistors are sensitive to the base bias voltage. There are two considerations as follows: • to generate sufficient second harmonic at the pinchoff region and get better fundamental and high-order harmonic rejection; • the capacitance value of the base–emitter junction is related to base voltage and this capacitor can be used as a tuning component for the transformer. Fig. 9 shows the power output at different harmonics versus swept base voltage with a 7-GHz input signal. We can see an optimum 1.3-V base voltage is suitable for extracting the second harmonic and at the same point the fundamental and other higher order harmonics are almost minimum. The total dc power consumption is approximately 20 mW when the input power is 2 dBm. The input continuous wave (CW) signal was provided by an Agilent 8247 C signal generator. The fundamental and second harmonics were measured using an HP 8565EC spectrum analyzer. The losses of cables and probes were measured with a power meter. The simulated and measured performance of the conversion gain and the input fundamental frequency suppression versus
ZHANG et al.: BROADBAND
-BOOSTED DIFFERENTIAL HBT DOUBLERS WITH TRANSFORMER BALUN
2957
Fig. 8. (a) Schematic of the capacitor-crossed coupling doubler. (b) Schematic of the transformer coupling doubler. (c) Photography of the chip. Fig. 10. (a) Conversion gain and fundamental suppression of the capacitor cross-coupled doubler. (b) Conversion gain and fundamental suppression of the transformer coupled doubler.
Fig. 9. Measured output harmonic content 7-GHz input signal with sweep V be.
input frequency within 2-dBm input power are presented in Fig. 10. The performance of the capacitor-crossed doubler is shown in Fig. 10(a). It exhibits a 100% 3-dB relative bandwidth from 3 to 9 GHz with a maximum conversion gain of 0.8 dB. The fundamental frequency rejection is greater than 20 dB over
the entire band. Compared to the simulation, it shows around 1-GHz frequency shifting to the lower frequency. Some more work on the nonlinear model would probably improve the results further. The performance of the transformer coupled doubler is shown in Fig. 10(b). It exhibits a 75% 3-dB relative bandwidth from 3.5 to 8 GHz with a maximum conversion gain of 0.7 dB, almost the same with the former one. From the theory analysis of Section III, the transformer coupled one should present better conversion gain because of the bigger inverting amplification value, . We think this disagreement mainly comes from the following two parts. • Phase error of the transformer balun. In this InGaP—GaAs HBT process, there are only two metal layers available and the first turn of the secondary winding II has to fabricate on metal 1, edge coupled with the primary winding, and simultaneously, weak broadside coupled with secondary winding I. As the frequency increases, this weak broadside coupling also increases, therefore the phase error deteriorated.
2958
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Gm-boosting doubler.
Fig. 12. Comparison with a non-
Fig. 11. Measured output at 7-GHz input signal with sweep input power. (a) Capacitor-crossed coupling doubler. (b) Transformer coupling doubler.
• Large self-inductance of the secondary winding II. The self-inductance of the secondary winding II shows higher impedance as the frequency increases so that it degrades the signal feedback from base to emitter. However, as depicted is Fig. 10(b), the transformer coupled doubler shows better fundamental rejection performance at higher frequency. If the flatteness of conversion gain is not taken into account, we can deduce that the transformer coupled doubler demonstrates the potential of broader bandwidth than its capacitor-cross coupled counterpart. The measured output power versus input signal power with 7-GHz input frequency is plotted in Fig. 11. It is observed that the output power is not saturated at 13 dBm for both doublers. This power is the highest output power among the reported doublers using HBT devices in this frequency range. This merit can be embodied at the applications of doublers used as a local signal source in where a driver amplifier will be saved. In order to further demonstrate the advantages of the proposed -boosting doutopology over the conventional one, a nonbler is designed and simulated. It employs the same transformer balun with the proposed capacitor-crossed one. The schematic and the performance comparisons are shown in Fig. 12. We can -boosting clearly find that the conversion gain of the non-
Fig. 13. Measured phase noise of the doubler at offset from the carrier versus carrier frequency. (a) Capacitor-crossed coupling. (b) Transformer coupling.
one is about 2 dB lower than the proposed one and fundamental rejection is also worse. Fig. 13 shows the measured output phase noise degradation at 10- and 100-kHz offset from the carrier with 2-dBm input power. The theoretical value of phase noise degradation given
ZHANG et al.: BROADBAND
-BOOSTED DIFFERENTIAL HBT DOUBLERS WITH TRANSFORMER BALUN
2959
TABLE I COMPARISON OF THE PREVIOUS REPORTED FREQUENCY DOUBLERS
by dB is known to be the minimum degradation in an ideal frequency doubler. Measured values are within 6 1 dB range. We think these results are reasonable because the measurement is taken by an HP 8565 EC spectrum analyzer, the test accuracy of which is about 2 dB. These results also indicate that the proposed doublers are good alternatives to a high spectral purity signal source. Table I summarizes the performances of the proposed doublers and other state-of-the-art designs. The table shows that the presented doublers provide the highest RF output power compared to the other designs. To the authors’ knowledge, this design also exhibit the widest bandwidth compared with other monolithic doublers, achieving as high as 100% and 75% 3-dB relative bandwidths. They also consume low dc power, exhibit good fundamental rejection, and occupy very small area. VI. CONCLUSION Broadband monolithic HBT frequency doublers for -band applications have been presented. The designs that are based -boosted technique achieve excellent fundamental on the rejection, bandwidth, and output power superior to previously reported frequency doublers. -boosting are Both capacitive- and transformer-based evaluated. Better conversion gain and fundamental rejection results are obtained for the capacitive cross-coupled design and broader bandwidth result is obtained for the transformer coupled one. ACKNOWLEDGMENT The authors would like to thank Dr. R. Kozhuharov and Dr. B. Hanson, both with the Microwave Electronics Laboratory, MC2,
Chalmers University of technology, Göteborg, Sweden, for their helpful discussion and Prof. H. Wang, National Taiwan University, Taipei, Taiwan, for his valuable revisions. WIN Semiconductors, Tao Yuan Shien, Taiwan, is acknowledged for their engagement and support of this study. REFERENCES [1] H. Zirath, C. Fager, M. Garcia, P. Sakalas, and A. Alping, “Analog MMIC’s for millimeter-wave applications based on a commercial 0.14-m pHEMT technology,” IEEE Trans. Microw. Theory Tech., vol. 49, no. 11, pp. 2086–2092, Nov. 2001. [2] V. Radisic, M. Micovic, M. Hu, P. Janke, C. Ngo, L. Nguyen, L. Smoska, and M. Morgan, “164-GHz MMIC HEMT doubler,” IEEE Microw. Wireless Compon. Lett., vol. 11, no. 6, pp. 241–243, Jun. 2001. [3] C. Kärnfelt, R. Kozhuharov, H. Zirath, and I. Angelov, “High-purity 60-GHz-band single-chip X8 multipliers in pHEMT and mHEMT technology,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 6, pp. 2887–2898, Jun. 2006. [4] S. A. Mass, Nonlinear Microwave and RF Circuits, 2nd ed. Norwood, MA: Artech House, 2003. [5] S. Lai, D. Kuylenstierna, I. Angelov, B. Hansson, R. Kozhuharov, and H. Zirath, “Gm-boosted balanced Colpitts compared to conventional balanced Colpitts and cross coupled VCOS in InGap HBT technology,” in IEEE Asia–Pacific Microw. Conf., Dec. 2010, pp. 386–389. [6] S. Bruce, A. Rydberg, M. Kim, U. Erben, M. Willander, and M. Karlsteen, “Design and realization of a millimeter-wave Si/SiGe HBT frequency multiplier,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 11, pp. 695–700, Nov. 1998. [7] J. Hung, T. M. Hancock, and G. M. Rebeiz, “A high-efficiency miniaturized SiGe ku-band balanced frequency doubler,” in IEEE RFIC Symp. Dig, Jun. 2004, pp. 219–222. [8] K.-Y. Lin, J.-Y. Huang, C.-K. Hsieh, and S.-C. Shin, “A broadband balanced distributed frequency doubler with a sharing collector line,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 2, pp. 110–112, Feb. 2009. [9] D. Kang, D. Baek, S. Jeon, and S. Hong, “A miniaturized K -band balanced frequency doubler using InGaP HBT technology,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2003, pp. 107–110.
2960
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
[10] B. Haung, Z. Tsai, B. Huang, K. Lin, and H. Wang, “A GaAs-based HBT 31-GHz frequency doubler with an on-chip voltage,” in IEEE Asia–Pacific Microw. Conf., Dec. 2008, pp. 1–4. [11] C. Weng, Z. Tsai, and H. Wang, “A -band miniature, broadband, high output power HBT MMIC,” in Eur. EGAAS 2005, 2005, pp. 625–627. [12] J.-H. Chen and H. Wang, “A high gain, high power -band frequency doubler in 0.18 m CMOS process,” IEEE Microw. Wireless Compon. Lett., vol. 20, no. 9, pp. 522–524, Sep. 2010. [13] K. Y. Lin, J. Y. Huang, J. L. Kuo, C. S. Lin, and H. Wang, “A 14–23 GHz CMOS MMIC distributed doubler with a 22-dB fundamental rejection,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2008, vol. 15–20, pp. 1477–1480. [14] K.-Y. Lin, J.-Y. Huang, and S.-C. Shin, “A -band CMOS distributed doubler with current-reuse technique,” IEEE Microw. Wireless Compon. Lett., vol. 19, no. 5, pp. 308–310, May 2009. [15] T. Cho et al., “A single-chip CMOS direct-conversion transceiver for 900 MHz spread-spectrum digital cordless phones,” in Int. Solid-State Circuits Conf. Tech. Dig., Feb. 1999, pp. 228–229. [16] W. Zhuo et al., “A capacitor cross-coupled common-gate low-noise amplifier,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 52, no. 12, pp. 875–879, Dec. 2005. -boosted common-gate LNA and differential Colpitts [17] X. Li et al., “ VCO/QVCO in 0.18- m CMOS,” IEEE J. Solid-State Circuits, vol. 40, no. 12, pp. 2609–2619, Dec. 2005. [18] X. Fan et al., “A noise reduction and linearity improvement technique for a differential cascode LNA,” IEEE J. Solid-State Circuits, vol. 43, no. 3, pp. 588–599, Mar. 2008. [19] I. Angelov, K. Choumei, and A. Inoue, “An empirical HBT large signal model for CAD,” Int. J. RF Microw. Comput.-Aided Eng., vol. 13, no. 6, pp. 518–533, Jun. 2003. [20] J. R. Long, “Monolithic transformers for silicon RF IC design,” IEEE J. Solid-State Circuits, vol. 35, no. 9, pp. 1368–1382, Sep. 2000.
K
K
K
Gm
Jian Zhang was born in Anqing City, China. He received the B.Eng. degree in automation engineering from Southeast University, Nanjing, China, in 1999, and the Ph.D. degree in microelectrics and solid state electronics engineering from the Shanghai Institute of Microsystem and Information Technology of Chinese Academy of Sciences (CAS), Shanghai, China, in 2008. From 1999 to 2002, he was a Research Engineer with the Wuhan Research Institute of Post and Telecommunication (WRI), Wuhan China, during which time he was involved with the circuits design for ATM-PON access network application. In the summer of 2008, he was a Design Engineer with the RFMD Shanghai Design Centre, where he was engaged in power-amplifier design and measurement system programming. From October 2008 to 2010, he was a Post-Doctoral Researcher with the Microwave Electronics Laboratory, Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, Götebörg, Sweden, where he was engaged in MMIC development using compound semiconductors such as GaAs pHEMT, GaInP HBT, and InP DHBT process technology. Since October 2010, he has been a Marie Curie Experienced Researcher with the High Frequency Electronic Circuits Division, Institute of Electronics and Communications and Information Technology (ECIT), Queens University of Belfast, Belfast, U.K., where he is currently engaged in the development of RF front-end circuits and communication system for wireless personal area network (WPAN) applications using SiGe process technology.
Mingquan Bao received the B.S. and M.S. degrees in electrical engineering from Zhejiang University, Hangzhou, China, in 1985 and 1988, respectively, and the Ph.D. degree in radar remote sensing from the University of Hamburg, Hamburg, Germany, in 1995. From 1995 to 1997, he was with the Institute of Oceanography, University of Hamburg. From 1997 to 2000, he was with the Center for Remote Imaging Sensing and Processing, University of Singapore, Singapore. From 2000 to 2001, he was with the German Aerospace Center (DLR), Germany, where his research focus was on interferometric radar remote sensing. Since 2001, he has been with the Microwave and High-Speed Electronics Research Center, Ericsson AB, Mölndal, Sweden. He has authored over 30 papers in refereed journals and conferences. He holds several U.S. and European patents. His research interests include RF integrated circuit (RFIC) designs such as LNA, mixers, and VCOs in silicon and GaAs technologies.
Dan Kuylenstierna (S’04) was born in Göteborg, Sweden, 1976. He received the M.Sc. degree in physics and engineering physics and Ph.D. degree in electrical engineering in microtechnology and nanoscience from the Chalmers University of Technology, Göteborg, Sweden, in 2001 and 2007, respectively. His main scientific interests are frequency generation, MMIC design, packaging technologies, and reconfigurable MMICs. Dr. Kuylenstierna was the recipient of the Second Prize of the Student Paper Award Competition of the 2004 IEEE Microwave Theory and Techniques Society (IEEE MTT-S) International Microwave Symposium (IMS).
Szhau Lai was born in Taoyuan, Taiwan, in 1985. He received the B.S. degree in electrical engineering from National Chiao-Tung University, Hsinchu, Taiwan, in 2008, the M.S. degree in electrical engineering from the Chalmers University of Technology, Göteborg, Sweden, in 2009, and is currently working toward the Ph.D. degree in microtechnology and nanoscience at the Chalmers University of Technology. His research interest includes the design of VCOs, noise modeling, and VCO phase-noise analysis.
Herbert Zirath (S’84–M’86–SM’08–F’11) is currently a Professor of high-speed electronics with the Department of Microtechnology and Nanoscience (MC2), Chalmers University of Technology, Göteborg, Sweden, and the Head of the Microwave Electronics Laboratory since 2001. He currently leads a group of approximately 50 researchers in the area of high-frequency semiconductor devices and circuits. He works part time with Ericsson AB, Mölndal, Sweden, as a Microwave Circuit Expert. He has authored or coauthored pver 300 refereed journal/conference papers. He holds four patents. His main research interests include foundry-related MMIC designs for millimeter-wave applications based on both III–V and silicon devices, SiC- and GaN-based transistors and circuits for high-power applications, device modeling including noise and large-signal models for FET and bipolar devices, and InP-HEMT devices and circuits.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2961
Harmonic Sampling and Reconstruction of Wideband Undersampled Waveforms: Breaking the Code Charles Nader, Student Member, IEEE, Wendy Van Moer, Senior Member, IEEE, Kurt Barbé, Member, IEEE, Niclas Björsell, Member, IEEE, and Peter Händel, Senior Member, IEEE
Abstract—In this paper, a method for measuring wideband waveforms correctly when undersampling is used as a digitizing technique is presented. Two major challenges arise when undersampling wideband waveforms: overlapping of aliased spectral bins of the digitized waveform and ambiguity in spectral bins standing on multiples of the Nyquist frequency. Those challenges are more pronounced when using wideband modulated signals to excite nonlinear devices. The theory behind a correct undersampled measurement is based on the use of an irrational relation between the undersampling frequency and the spectral resolution of the waveform to measure. This is the key to a successful harmonic sampling used today in large-signal network analyzers when wideband modulated waveforms are to be measured. Inverting the problem, such theory is also the solution for reconstructing wideband undersampled waveforms based on a single measurement and with a relatively short record of measured data. It is a solution for RF sampling and cognative radios where the digital processing is moving toward the antenna of the receiver. Index Terms—Aliasing, RF measurement systems, spectrum reconstruction, undersampling, wideband signals.
I. INTRODUCTION IRELESS communication systems are widely used in our daily life, from broadband communication to cognative radios and wireless ad hoc/sensor networks. It is anticipated that different wireless systems/standards will coexist and share the same RF front-end. Due to the high demands required by the user’s applications, today’s wireless signals are characterized with variable wideband modulations and high dynamic crest factors [1]. Such characteristics, i.e., system coexistence and signal properties, put high requirements on the RF front-end performance in order to preserve a high-quality service with an acceptable bit-error rate.
W
Manuscript received April 20, 2011; accepted June 27, 2011. Date of publication August 12, 2011; date of current version November 16, 2011. This work was supported in part by the Research Foundation-Flanders (FWO) under a postdoctoral fellowship, by the Flemish Government (Methusalem Fund METH1), by the Belgian Government (IUAP VI/4, DYSCO), and by Ericsson’s Research Foundation under a research grant (FOSTIFT-10:019). C. Nader is with the Center for RF Measurement Technology, University of Gävle, Gävle SE-80176, Sweden, with the ACCESS Linnaeus Center, KTH Royal Institute of Technology, Stockholm SE-10044, Sweden, and also with the Electrical Measurement Department (ELEC), Vrije Universiteit Brussel, 1050 Brussels, Belgium (e-mail: [email protected]). W. Van Moer and K. Barbé are with the Electrical Measurement Department (ELEC), Vrije Universiteit Brussel, 1050 Brussels, Belgium. N. Björsell is with the Center for RF Measurement Technology, University of Gävle, Gävle SE-80176, Sweden. P. Händel is with the ACCESS Linnaeus Center, KTH Royal Institute of Technology, Stockholm SE-10044, Sweden, and also with the Center for RF Measurement Technology, University of Gävle, Gävle SE-80176, Sweden. Digital Object Identifier 10.1109/TMTT.2011.2161882
An important element in a wireless communication link is the power amplifier (PA), which handles the highest power level in the RF chain [2]. Hence, its behavior affects the overall performance of the system. Unfortunately, PAs are generally characterized by a nonlinear input–output behavior. Excited with today’s signals, the output spectrum of the PA will be much wider than the input spectrum [2], where a spectrum contains both amplitude and phase information. Such behavior will break the spectral mask emission and cause spectral interference to neighboring channels. Hence, it is very important to characterize accurately the nonlinear behavior of these PAs in order to correct for it by digitally processing the data [3]. However, measuring wideband signals accurately is difficult to achieve by classical measurement instruments since large analog baseband bandwidths with high-speed analog-to-digital converters (ADCs) are required. These requirements are neither available in today’s vector signal analyzers, nor in the current generation of ADCs, because a tradeoff exists between the sampling frequency, number of bits in the ADC, i.e., resolution, and cost. Emphasized by the need of the time-domain data for digital processing purposes, RF measurement engineers strive to design new techniques to measure accurately wideband waveforms. Usually methods based on frequency stitching [4], time interleaved ADCs [5], equivalent time sampling [6], or mixer-based receivers [7] are used in the measurement process. However, such methods can be time consuming and their performance is distorted by hardware impairments. Hence, they require accurate calibration procedures [8]–[10]. In addition, mixer-based receivers require an external reference mixer for phase synchronization during the sweeping process in order to achieve accurate complex measurement results, (e.g., mixer-based nonlinear vector network analyzer (NVNA)1 2 3), and hence, they require a special calibration procedure [11]. Other methods, such as [12], are based on bandpass sampling and are limited to frequency spectra whose total information bandwidth is smaller than the Nyquist bandwidth. Hence, these methods are not usable for measuring spectra with information bandwidths surpassing multiple of Nyquist bands. As a consequence, more research focuses on undersampling the signals at a rate violating the Nyquist–Shannon sampling criterion [13], [14], and designing frequency-based algorithms for reconstruction of the original signal [15]–[18]. Such measurement procedures, referred to as digital down-conversion, can be applied at the RF or IF stage based on the available analog input 1Rohde
& Schwarz. [Online]. Available: http://www.rohdeschwarz.com/ Technol. [Online]. Available: http://www.agilent.com/ 3Anritsu. [Online]. Available: http://www.anritsu.com/ 2Agilent
0018-9480/$26.00 © 2011 IEEE
2962
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 1. LSNA down-conversion and digitizing strategy.
bandwidth of the digitizer. An example test setup is presented in Fig. 1 that describes such a sampling principle that is used in state-of-the art large-signal network analyzers (LSNAs) [19]; i.e., a wideband waveform is down-converted using a wideband sampler and then digitized using an ADC with a low sampling frequency. The spectrum of the digitized output is a scrambled compressed version of the input spectrum, free from overlapping. The methods used in [15]–[18], which are based on harmonic sampling, compress harmonically related spectra into relatively smaller bandwidth. However, their applicability to any type of wideband modulated signals is not straightforward, and choosing the right sampling frequency and number of samples is not theoretically defined. In this paper, we present a method for the successful measurement and reconstruction of wideband waveforms when harmonic sampling is used as a digitizing technique. The method aims for application on measuring the spread spectra at the output of an nonlinear device, but also for applications in cognitive radio and wideband receivers. An overview about harmonic sampling and its challenges is described in Section II. Theoretical derivations behind the appropriate choice of the sampling frequency are presented in Section III. Practical considerations are given in Section IV. Reconstruction of a wideband spectrum based on undersampled data is presented in Section V. Validations of the sampling and reconstruction strategies based on simulation and measurement are presented in Section VI. Finally, conclusions are drawn in Section VII. II. HARMONIC SAMPLING: OVERVIEW AND CHALLENGES Going back to the origin of sampling, Nyquist stated that in order to perfectly reconstruct a waveform, the sampling should be at least twice the highest frequency frequency contained in the waveform [13]. Alternatively, no spectral components of the waveform should be higher than the Nyquist . The sampling requirement for banfrequency, defined as dlimited signals was then relaxed by Shannon who constrained the sampling rate to twice the bandwidth of the waveform [14]. Shannon sampling theorem is referred to as undersampling, bandpass sampling, IF sampling, or harmonic sampling, depending on the application. Harmonic-sampling-based systems were originally introduced to overcome frequency limitations of electronic instruments, e.g., digitizers. ADCs are characterized by a tradeoff between their sampling frequency, resolution, and cost. Hence, for applications focusing on accurately measuring high frequencies with large bandwidths, ADCs are limited by their sampling frequency. As a consequence, a technique based on aliasing the frequencies is used [15].
Fig. 2. Example of harmonic sampling down-conversion. (a) Scenario for the case without overlapping. (b) Scenario for the case with overlapping.
Harmonic sampling is a process that compresses a wideband spectrum into a relatively smaller band aliased image. By choosing the relation carefully between the sampling rate and spectral tones, a reconstruction of the original spectrum can be successful in case of a sparse spectrum whose spectral components are largely distanced and have relatively small bandwidths [15]. Such a sampling strategy is the key to success for some of the state-of-the art nonlinear measurement systems, e.g., LSNA [19]. However, with the recent demands on capturing a dense spectrum whose spectral components are closely distanced and have relatively large bandwidths that surpass multiples of the Nyquist band, such relation tends to be obscure, and overlapping of aliased bins is highly probable. The challenge is shown in Fig. 2 where different spectral scenarios are considered when using harmonic sampling. Fig. 2(a) presents the scenario where largely distanced spectra with relatively small bandwidths are to be measured. In that scenario, an easy choice of the sampling frequency is sufficient to avoid overlap of aliased images. On the other hand, Fig. 2(b) presents the scenario where closely distanced spectra with large bandwidths are to be measured; e.g., in black are the fundamental, second, and third harmonic response of a nonlinear system, while in gray are coexisting spectra from different systems to measure. Choosing a sampling frequency that does not cause overlapping is not an obvious procedure, and it becomes very challenging when dealing with modulated signals whose bandwidth continuously spread over multiples of the Nyquist band. Another challenge to consider when undersampling wideband data is the ambiguity caused in the aliased images of the frequency tones that are multiples of the Nyquist frequency, called critical tones. These critical tones alias back to dc or to the Nyquist frequency with a loss of information in their amplitude and phase. Hence, they cannot be reconstructed correctly from their aliased image. The phenomenon of ambiguity is described in many engineering books such as [20] and [21], and it is based on the Nyquist strict inequality condition for perfect sampling of bandlimited signals, which states that the sampling frequency should be strictly larger than twice the signal bandwidth in order to have a perfect reconstruction of the signal [22]. A detailed explanation about the effect of aliasing on multiples of the Nyquist frequency is given in the Appendix, Section A.
NADER et al.: HARMONIC SAMPLING AND RECONSTRUCTION OF WIDEBAND UNDERSAMPLED WAVEFORMS
2963
B. Derivation of the Sampling Frequency We need to find the relation between the sampling frequency and the frequency tones to down-convert, vector , in order for their undersampled images, forming vector , to be distinct. can be written as (1)
Fig. 3. Aliasing phenomena: representation of digital frequency bin components in function of their analog counterparts.
Based on the above challenges that arise when measuring wideband signals, a question is raised on how to choose the sampling frequency in a way to avoid overlap of aliased frequencies and to avoid ambiguities at critical tones. Up until now, the solution was a matter of trial and error. In the following, we describe a simple, but sound way to choose the appropriate sampling frequency so that no aliased bins overlap and no ambiguities exist, and hence, be able to correctly reconstruct the original wideband waveform.
where is the element-wise rounding to the nearest integer operator, and is the element-wise absolute operator applied to the frequencies laying in even Nyquist bands in order to get the spectral position of their aliased images in the first Nyquist band. Considering to be formed by tones harmonically related to of the spectrum to down-convert, the resolution frequency with being the highest frequency contribution in the spectrum equivalent to the highest positive being the number of frequency in a Fourier spectrum, and bins in the Fourier spectrum, can be written as (2) being the harmonic indices vector formed by the integers . In addition, defining to be a multiple of , with a parameter to determine, (1) will be written as with
III. CHOOSING THE CORRECT SAMPLING FREQUENCY: THE KEY TO SUCCESS In Section II, an overview of harmonic sampling was presented and challenges that arise when measuring wideband waveforms were stated. A question was raised regarding the choice of the sampling frequency in order to avoid overlapping of aliased spectral bins. In the following, the choice of the appropriate sampling frequency is analytically derived.
(3) Equation (3) can be simplified to (4) In order to avoid overlapping, any two elements of defined by , for , should have a distinct alias image in . Hence,
A. Problem Statement Aliasing phenomena will compress a wideband spectrum into a relatively small band defined by the first Nyquist frequency. Tones standing in odd Nyquist zones will have their position translated to the first Nyquist zone by multiples of the sampling frequency and with their original complex form, while tones standing in even Nyquist zones will have their complex form conjugated and their spectral position mirrored with respect to the Nyquist frequency. Due to this aliasing phenomena, tones symmetrical with respect to the Nyquist frequency, or its multiples, and tones spectrally distanced by the sampling frequency, or its multiples, will fall on top of each other, respectively, e.g., Fig. 3. Hence, for a successful down-conversion, free from spectral bins overlapping, those special relations between the spectral tones to down-convert and the Nyquist frequency, or its derivations, need to be avoided. More generally, the appropriate sampling frequency to choose should not satisfy such relations with any bin of the spectrum to down-convert.
(5) Alternatively, (6), as follows, should hold: and
(6)
For a dense spectrum, or small , choosing as an irrational number, satisfies (6) and is the solution to obtain an overlap-free down-converted spectrum. For sparse spectrum, or , choosing as an irrational number is not a requirelarge ment, and hence, its irrational property can be relaxed to an appropriate number of decimals. This property will be discussed in details in Section IV. Finally, choosing an that satisfies (6) will assure that no spectral tones will stand on a multiple of the Nyquist frequency.
2964
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
IV. PRACTICAL IMPLEMENTATION In Section III, the theoretical relation to which should satisfy in order to achieve a successful harmonic sampling was presented. In this section, we present the practical considerations for implementing harmonic sampling for the purpose of measuring wideband waveforms. Consider a waveform whose spectrum is wideband with max. No available information imum frequency contribution exists on the exact content of the spectrum, i.e., the position of the frequency contributions. However, some prior knowledge about the sparsity of the spectrum is available. In addition, the measurement system has a low sampling speed, hence, harmonic sampling is to be used as a digitizing technique. In a practical case, the main questions that need to be answered are as follows. , alternatively, number of 1) What resolution frequency bins , is the wideband spectrum supposed to have? 2) What sampling frequency , alternatively , should be used in order to avoid frequency bins overlapping and be applicable based on today’s generation of digitizers? should be captured in order to keep 3) How many samples a coherent representation of the information? optimal in order to reduce 4) How to make the choice of the processing time and memory usage? Answers to the above questions are given in the following. Starting from the characteristics of the spectrum, its frequency resolution is a factor that sets the accuracy of the spectral information and the ability to detect nearby frequency content. Hence, for sparse spectra, the frequency resolution can be relaxed to large values in the order of kilohertz or megahertz, while for dense spectra high requirements are put on the frequency resolution whose values can be in the order of , alternatively , is 1 Hz. As a consequence, the choice of biased by some prior knowledge of the spectrum’s sparsity. In a second step, the choice of , alternatively , needs to be considered. Based on a given digitizer with a defined sampling frequency range, what values of can be chosen and what have? In other words, how many decimals resolution can can hold? The answers to those questions are strictly related to the speed of the sampler and the resolution of its sampling frequency. , the Starting from an aimed reference sampling frequency chosen sampling frequency for which no overlapping occur in . In other words, the spectrum should be in the proximity of should be in a boundary of on ; where a reasonable value of can be on the scale of a tenth of the aimed frequency. Hence, the boundaries of can be defined as
(7) synthesizer In addition, with today’s technology, using a allows a sampling frequency accuracy of down to 1 Hz. Working on a megahertz scale, this offers the possibility of choosing with a close-to-irrational property, i.e., a sufficient number of decimals that satisfies the conditions in (6) for a spectrum-free from overlapped information.
Another step to consider, is the choice of the number of samin the digitized data. Such number of samples combined ples with should assure a coherent representation of the spectrum. Starting from the coherency property of the spectrum, the fre, should quency resolution of the undersampled waveform, . Alternatively, (8), as follows, should be satbe a divider of isfied: (8) is a frequency resolution improvement factor, and where is given by (9) Hence, (8) and (9) lead to (10) In order to avoid spectral bins overlapping, the number of bins in , should the Nyquist band of the undersampled spectrum, in the Nyquist band of be larger than the number of bins the original spectrum. In other words, (11) Having defined the conditions on the measurement parameters , , and , the question remains on how to choose those values to optimize the performance of the system. In particular, be chosen in order to satisfy (10) how should the value of and (11). The chosen value of should also offer a reduced processing time of the data and less constraints on the memory usage. In the following, a road map for the search of the optimal is given. Starting from a fixed value of that satisfies (11), find a integer value for that gives an satisfying (6), (7), and (10). by If breaks the lower bound condition in (7), increase a step of 2 and repeat the search process. A reasonable choice to its lowest bound , and choosing is to start by setting a starting value of that gives a sampling frequency in the based on (7) and satisfies an integer in (10). proximity of The proposed search strategy will offer the capability of reconstructing accurately a wideband spectrum based on a relatively small amount of samples. An algorithmic pseudocode that sumis presented in Table I. marizes the search for the optimum V. WAVEFORM RECONSTRUCTION Digitizing a wideband waveform based on harmonic sampling will result in scrambling the spectral bins in the first Nyquist band. By applying a sampling strategy that avoids overlapping of the spectral bins, it is possible to correctly reconstruct the original waveform by a descrambling algorithm. The descrambling procedure is as follows. • Transform the time-domain undersampled data to the frequency domain through a fast Fourier transform (FFT), and choose the spectrum relative to the positive frequencies. • Find vector formed by the frequencies laying between the dc and Nyquist frequency; i.e., all harmonics of the laying in the first Nyquist band. resolution frequency
NADER et al.: HARMONIC SAMPLING AND RECONSTRUCTION OF WIDEBAND UNDERSAMPLED WAVEFORMS
TABLE I PSEUDOCODE PROGRAM SUMMARIZING THE SEARCH FOR THE OPTIMUM NUMBER OF SAMPLES AND SAMPLING FREQUENCY
2965
TABLE II PSEUDOCODE PROGRAM IMPLEMENTING THE ALGORITHM TO DESCRAMBLE THE SPECTRAL BINS AND RECONSTRUCT WIDEBAND WAVEFORMS
• Find the frequency values of the down-converted tones based on (12) • For each element of , , find the element of , namely, , that minimizes the function . • Reconstruct the elements of the wideband spectrum as follows: if if
(13)
is the complex conjugate operator. where • In order to reconstruct the time-domain waveform, the information in the negative images of the spectrum are found as the complex conjugate of their positive counterpart. The time-domain waveform can then be found through an inverse fast Fourier transform (IFFT). The reconstruction algorithm is presented in Table II. VI. VALIDATIONS AND DISCUSSIONS In this section, the sampling and reconstruction strategies are validated by means of a simulation and a measurement example. For each validation example, the reconstruction error is evaluated and discussions are presented. A. Simulation Example The harmonic sampling method is tested for the measurement and reconstruction of a wideband spectrum by means of MATLAB simulations. A wideband spectrum is generated by exciting a third-order nonlinear system with a flat random phase
Fig. 4. Example of a measurement test setup.
multisine, whose phases are uniformly distributed between 0– (Fig. 4). A flat random phase multisine is a standard excitation tool that mimics a real telecommunication signal and is used to evaluate RF/microwave systems performance [23]. Besides the fundamental tone and the third-order nonlinear contributions, a dc and second-order contribution were included as a spurious distortion. In addition, white noise was added at the input of the digitizer to mimic real measurements with a signal-to-noise ratio of 80 dB. In the evaluation process, a 500-MHz wideband spectrum ) is with 4096 spectral bins (a total number of samples
2966
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 5. Example: original spectrum of 500-MHz bandwidth measured with 1000-MHz sampling frequency and 8192 samples.
Fig. 7. Example: (black) reconstructed spectrum from scrambled undersampled data; (grey) spectrum of reconstruction error.
Fig. 8. Example: frequency drift due to rounding effect. Fig. 6. Example: (a) undersampled spectrum of 500-MHz bandwidth measured with 99.010121-MHz and 8922 samples and (b) spectral zooming.
generated with a sampling frequency of 1000 MHz (see Fig. 5). The aim is to be able to reconstruct the same wideband information, amplitude, and phase based on undersampled data. The sampling frequency of the ADC is considered to be a maximum of 100 MHz. The outcome from the search strategy presented in MHz and a total number of samTable I led to ples , alternatively 4461 positive spectral bins [see Fig. 6(a)]. Such a result gives an integer coherency factor with a rounding error in the order of 10 . The effect of such a rounding error will be discussed later in this section. A spectral zooming shown in Fig. 6(b) verifies that this choice of the sampling frequency has led to an overlapping-free aliasing phenomenon. Descrambling the spectrum of the undersampled data based on the procedure presented in Table II, the original spectrum is reconstructed (Fig. 7). In addition, Fig. 7 shows in gray the power spectrum of the reconstruction error, i.e., the power spectrum of the difference between the original spectrum and the reconstructed one. It is of the same level as the noise floor, which highlights an accurate reconstruction. Finally, it is important to keep in mind the effect of cutting the decimals in , which is used in the search algorithm in order to keep a sampling frequency accuracy down to 1 Hz. Such a cutting effect caused a rounding error in the order of 10
with respect to an integer value for the coherency factor . Its consequence appears as a frequency error drift, and is presented in Fig. 8. However, such frequency error drift, in the order of 1 Hz, is negligible when compared to the resolution frequency of the undersampled data, which is in the order of kilohertz. Hence, such a frequency drift is not observable in practice. B. Measurement Example Validating the derived sampling method based on measurements is difficult to carry out due to hardware limitations. Measuring a reference wideband signal with a synchronized phase reference is not possible with today’s equipment, as it requires a high sampling frequency. Hence, the measurement validation will be based on a waveform with relatively small bandwidth that can be Nyquist sampled by a standard ADC. The waveform will then be measured based on the undersampling strategy derived in Sections III and IV. The reconstructed spectrum from the undersampled data is then compared to the spectrum from the Nyquist sampled validation data after synchronization to the same time reference. A flat multisine signal of bandwidth 50 MHz and centered at 50 MHz is generated by a vector signal generator (R&S SMU200A). The signal is then measured by a 14-bit pipeline ADC ADQ214 from SP devices with a sampling frequency of . The ADQ214 has 200 MHz and number of samples
NADER et al.: HARMONIC SAMPLING AND RECONSTRUCTION OF WIDEBAND UNDERSAMPLED WAVEFORMS
Fig. 9. Example: reference spectrum of 100-MHz bandwidth measured with 200-MHz sampling frequency and 4096 samples.
2967
Fig. 11. Example: (black) reconstructed spectrum from scrambled undersampled data; (grey) spectrum of reconstruction error.
measured data. The second step was a sub-sample synchronization to find the linear phase offset in the frequency domain [24]. Fig. 11 shows in gray the power spectrum of the reconstruction error. It has a normalized mean square error of 51.4 dB, which highlights an accurate reconstruction. It should be noticed that the increase of in-band error is due to the dynamic noisy behavior of the signal generator in-phase/quadrature (IQ) modulator. In addition, the range where the reconstruction error goes below the noise floor is due to the subtraction of static nonlinearities present in the signal. VII. CONCLUSION
Fig. 10. Example: (a) undersampled spectrum of 100-MHz bandwidth measured with 79.231771-MHz and 4868 samples and (b) spectral zooming.
a analog bandwidth up to 1000 MHz, which allows full coverage of the signal bandwidth. The spectrum of the oversampled signal will be used as the reference in the validation process and its power spectrum is shown in Fig. 9. The generated signal is then measured with an undersampling frequency of obtained 79.231771 MHz and number of samples from Table I. Its power spectrum is shown in Fig. 10(a). One should notice that the Nyquist bandwidth of 39.6158855 MHz is smaller than the reference spectrum bandwidth of 100 MHz. A spectral zooming in Fig. 10(b) verifies that this choice of the sampling frequency and number of samples has led to an overlapping-free aliasing phenomenon. Both reference and undersampled spectrum have a signal-to-noise and distortion ratio in the range of 53 dB after coherent averaging over 20 periods of the data. Descrambling the spectrum of the undersampled data based on the procedure presented in Table II allows reconstructing the original spectrum (see Fig. 11). To achieve an accurate comparison between the reference spectrum and reconstructed spectrum from undersampled data, both data sets need to be synchronized. The synchronization was performed in two steps. The first step was a rough synchronization on a sample basis using time-domain cross-correlation to find the delay between both
This paper has presented a successful harmonic sampling of wideband modulated signals. For any desired bandwidth and resolution frequency, the presented strategy will give a sampling frequency and number of samples that avoid overlapping in the undersampled spectrum. It is a novel tool to reconstruct wideband spectrum from undersampled data, with applications to cognitive radios and wideband receivers. The theoretical derivations have shown that choosing the sampling frequency with an irrational property will assure an overlap-free spectrum and eliminate the probability of having ambiguities in tones standing on multiples of the Nyquist frequency. In practice, such irrational property can be relaxed by selecting an appropriate number of decimals. Indeed, working at RF with a frequency accuracy down to 1 Hz will allow the use of a sampling frequency with an irrational property. In addition, a road map in Table I is presented to find the aimed sampling frequency with an optimal number of samples. It satisfies the coherent condition of sampling and offers reduced requirements on the data usage and processing time based on a good accuracy. When dealing with an undersampled spectrum free from overlapping bins, the original wideband spectrum can be reconstructed by a descrambling algorithm presented in Table II. Hence, a wideband time-domain waveform can be reconstructed from undersampled data and used for advanced digital processing. Both measurement and reconstruction strategies were validated through simulation and measurement examples. An accurate reconstruction of a wideband spectrum from undersampled
2968
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
data was achieved with a reconstruction error comparable to the level of the floor noise. APPENDIX A. Aliasing Ambiguity on Multiples of Nyquist Frequency Following the Nyquist criterion, the reconstruction of a sampled signal will match the original signal provided that the original signal contains no frequencies at or above the Nyquist frequency. If the original signal contains a frequency component equal to one-half the sampling rate, the reconstructed signal may have a component at that frequency, but the amplitude and phase of that component generally will not match the original counterpart. Below is a mathematical explanation of the Nyquist condition and a generalization to all multiples of Nyquist sampling frequency. Consider a signal of the form (14) it can be written as (15) Consider the case when signal is sampled with
is a multiple of . This gives
, when the
(16) or where and where the result of the first term deis an alternating sequence pends if is odd or even. That is, , or a constant . In particular, the result is independent of . is uniquely determined by In general, the sampled signal . However, for the isolated cases that the signal the pair frequency is a multiple of the Nyquist frequency, the sampled signal is independent of , and an amplitude/phase ambiguity occurs in the reconstruction of the signal. REFERENCES [1] M. Rumney, “IMT-advanced: 4G wireless takes shape in an olympic year,” Agilent Meas. J., no. 6, pp. 51–60, Sep. 2008. [2] S. Cripps, RF Power Amplifiers for Wireless Communications, 2nd ed. Norwood, MA: Artech House, 2006. [3] F. M. Ghannouchi and O. Hammi, “Behavioral modeling and predistortion,” IEEE Microw. Mag., vol. 10, no. 7, pp. 52–64, Dec. 2009. [4] D. Wisell, D. Rönnow, and P. Händel, “A technique to extend the bandwidth of an RF power amplifier test bed,” IEEE Trans. Instrum. Meas., vol. 56, no. 4, pp. 1488–1494, Aug. 2007. [5] M. Jridi, G. Monnerie, L. Bossuet, and D. Dallet, “Two time-interleaved ADC channel structure: Analysis and modeling,” in Proc. Instrum. Meas. Technol. Conf., Apr. 2006, pp. 781–785. [6] IEEE Standard for Digitizing Waveform Recorders, IEEE Standard 1057, 2007. [7] P. S. Blockley, D. Gunyan, and J. B. Scott, “Mixer-based, vector corrected, vector signal/network analyzer offering 300 kHz–20 GHz bandwidth and traceable phase response,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2005, pp. 1497–1500. [8] G. Fettweis, M. Lohning, D. Petrovic, M. Windisch, P. Zillmann, and W. Rave, “Dirty RF: A new paradigm,” Int. J. Wireless Infor. Networks, vol. 14, no. 2, pp. 133–148, Jun. 2007.
[9] T. C. W. Schenk and E. R. Fledderus, “RF impairments in high-rate wireless systems—Understanding the impact of TX/RX-asymmetry,” in 3rd Int. Commun., Control, Signal Process. Symp., Mar. 2008, pp. 117–122. [10] T. S. Clement, P. D. Hale, D. F. Williams, C. M. Wang, A. Dienstfrey, and D. A. Keenan, “Calibration of sampling oscilloscopes with highspeed photodiodes,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 8, pp. 3173–3181, Aug. 2006. [11] D. Gunyan and Y. Teoh, “Characterization of active harmonic phase standard with improved characteristics for nonlinear vector network analyzer calibration,” in 71st ARFTG Conf. Dig., Jun. 2009, pp. 73–79. [12] C. H. Tseng, “A universal formula for the complete bandpass sampling requirements of nonlinear systems,” IEEE Trans. Signal Process., vol. 57, no. 10, pp. 3869–3878, Oct. 2009. [13] H. Nyquist, “Certain topics in telegraph transmission theory,” Trans. Inst. Elect. Eng., vol. 47, no. 2, pp. 617–644, Jan. 1928. [14] C. E. Shannon, “Communication in the presence of noise,” Proc. IRE, vol. 37, no. 1, pp. 10–21, Jan. 1949. [15] M. Kahrs, “50 years of RF and microwave sampling,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 6, pp. 1787–1805, Jun. 2003. [16] J. Verspecht, “The return of the sampling frequency convertor,” in 62nd ARFTG Conf. Dig., 2003, pp. 155–164. [17] K. Youngseo, P. Roblin, M. Sukkeun, J. Strahler, F. De Groote, and J. P. Teyssier, “Multi-harmonic broadband measurements using an large signal network analyzer,” in 75th ARFTG Conf. Dig., 2010, pp. 1–6. [18] W. Van Moer and Y. Rolain, “An improved broadband conversion scheme for the large-signal network analyzer,” IEEE Trans. Instrum. Meas., vol. 58, no. 2, pp. 483–487, Feb. 2009. [19] J. Verspecht, “Large-signal network analysis,” IEEE Microw. Mag., vol. 6, no. 4, pp. 82–92, 2005. [20] V. K. Ingle and J. G. Proakis, Digital Signal Processing Using Matlab. Belmont, CA: Brooks Cole, 2000. [21] R. G. Lyons, Understanding Digital Signal Processing, 2nd ed. New York: Prentice-Hall, 2004. [22] H. D. Lüke, “The origins of the sampling theorem,” IEEE Commun. Mag., vol. 16, no. 4, pp. 106–108, Apr. 1999. [23] N. B. Carvalho, K. Remley, D. Schreurs, and K. Gard, “Multisine signals for wireless system test and design,” IEEE Microw. Mag., vol. 9, no. 3, pp. 122–138, Jun. 2008. [24] M. Isaksson, D. Wisell, and D. Rönnow, “A comparative analysis of behavioral models for RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 1, pp. 348–359, Jan. 2006. Charles Nader (S’08) received the M.E. degree in electrical engineering from the Lebanese University (ULFG2), Mount Lebanon, Lebanon, in 2005, the M.Sc. degree in electrical engineering/telecommunication from the University of Gävle, Gävle, Sweden in 2006, the Licentiate of Engineering degree in telecommunication/signal processing from the Royal Institute of Technology, Stockholm, Sweden, in 2010, and is currently working toward the Ph.D. degree at both the Royal Institute of Technology and Vrije Universiteit Brussel, Brussels, Belgium. In 2007, he was a Consultant for Multilane Inc., Mount Lebanon, Lebanon, where he was involved with microwave devices and signal integrity. In 2008, he joined the Center for RF Measurement Technology, University of Gävle, and the Signal Processing Laboratory, Royal Institute of Technology. In 2010, he also joined the Electrical Measurement Department (ELEC), Vrije Universiteit Brussel. His main research focuses on signal-shaping techniques, sampling-based measurement techniques, and compressive sampling. Wendy Van Moer (S’97–M’01–SM’07) received the Engineer and Ph.D. degrees in applied sciences from the Vrije Universiteit Brussel (VUB), Brussels, Belgium, in 1997 and 2001, respectively. She is currently an Associate professor with the Electrical Measurement Department (ELEC), VUB. Her main research interests are nonlinear measurement and modeling techniques for medical and highfrequency applications. Dr. Van Moer has been an associate editor for the IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT since 2007. She became an associate editor for the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES in 2010. She was the recipient of the 2006 Outstanding Young Engineer Award from the IEEE Instrumentation and Measurement Society.
NADER et al.: HARMONIC SAMPLING AND RECONSTRUCTION OF WIDEBAND UNDERSAMPLED WAVEFORMS
Kurt Barbé (S’05–M’10) received the Master degree in mathematics (option in statistics) and Ph.D. degree in electrical engineering from the Vrije Universiteit Brussel (VUB), Brussels, Belgium, in 2005 and 2009, respectively. He is currently a Post-Doctoral Fellow of the Research Foundation Flanders (FWO), Electrical Measurement Department (ELEC), VUB. His main interests are in the field of system identification, time series analysis, and signal processing for biomedical applications. Dr. Barbé has been an associate editor for the IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT since 2010.
Niclas Björsell (S’02–M’08) was born in Falun, Sweden, in 1964. He received the B.Sc. degree in electrical engineering and Lic. Ph.D. degree in automatic control from Uppsala University, Uppsala, Sweden, in 1994 and 1998, respectively, and the Ph.D. degree in telecommunication from the Royal Institute of Technology, Stockholm, Sweden, in 2007. He possesses several years of experience from research and development projects that fostered collaborations between industry and the academy. He has been a Project Manager for some of research and development projects. Since 2006, he has been the Head of the Division of Electronics, Department of Technology and Built Environment, University of Gävle, Gävle, Sweden. He has au-
2969
thored or coauthored over 20 papers in journals and conferences. His research interests include RF measurement technology and analog-to-digital conversion. Dr. Börsell is a voting member of the IEEE Instrumentation and Measurement TC-10.
Peter Händel (S’88–M’94–SM’98) received the Ph.D. degree from Uppsala University, Uppsala, Sweden, in 1993. From 1987 to 1993, he was with Uppsala University. From 1993 to 1997, he was with Ericsson AB, Kista, Sweden. From 1996 to 1997, he was also with the Tampere University of Technology, Tampere, Finland. Since 1997, he has been with the Royal Institute of Technology, Stockholm, Sweden, where he is currently a Professor of signal processing. From 2000 to 2006, he held a part-time position with the Swedish Defense Research Agency. From 2007 to 2010, he was a Guest Professor with the University of Gävle, Gävle, Sweden. He is currently a Visiting Professor with the Indian Institute of Science, Bangalore, India. He has served as an Editorial Board member of the EURASIP Journal of Advances in Signal Processing, and an Editorial Advisory Board member of Recent Patents on Electrical Engineering. He is a member of the Editorial Board of Hindawi’s Research Letters in Signal Processing and the JOURNAL OF ELECTRICAL AND COMPUTER ENGINEERING. Dr. Händel has served as an associate editor for the IEEE TRANSACTIONS ON SIGNAL PROCESSING.
2970
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Cramér–Rao Bounds for Determination of Permittivity and Permeability in Slabs Daniel Sjöberg, Member, IEEE, and Christer Larsson
Abstract—We compute the Cramér–Rao lower bounds for determination of isotropic permittivity and permeability in slabs using reflection and transmission data. The results apply to three common measurement situations: free space, rectangular waveguides, and coaxial waveguides. The bounds depend only on the available data and not on the actual inversion method used, making them suitable to evaluate a particular experimental setup. The results are illustrated with several measurements in a rectangular waveguide setting. Index Terms—Electromagnetic properties, material characterization, reflection, transmission.
I. INTRODUCTION HEN measuring the electromagnetic properties of materials, it is common to manufacture a sample in the form of a planar slab and measure the reflection and transmission through the slab [1], [2]. Three common measurement setups are in a hollow rectangular waveguide, in a coaxial fixture, or as a free-space measurement. As long as only one mode can be expected in the waveguide or coaxial fixture, all three setups have very similar mathematical models. In the waveguide, there is always some dispersion due to the confined geometry, which corresponds to the free-space case where the angle of incidence is varying with frequency in such a way that the transverse wavenumber is constant [3], [4]. In order to estimate the permittivity and permeability from reflection and transmission measurements, the Nicolson–Ross–Weir (NRW) method is commonly used [5], [6]. Although this appears to be an explicit inversion of the scattering parameters to obtain the material parameters and , several points need attention. 1) To determine the electrical length of the slab, some phase unwrapping algorithm or detailed attention to group velocity is required, which is not straightforward if the material also has dispersion, i.e., depends on frequency.
W
Manuscript received April 04, 2011; revised July 08, 2011; accepted August 01, 2011. Date of publication September 29, 2011; date of current version November 16, 2011. This work is a result of an exchange programme between Lund University and Saab Dynamics AB, supported by the Swedish Foundation for Strategic Research. D. Sjöberg is with the Department of Electrical and Information Technology, Lund University, 221 00 Lund, Sweden. C. Larsson is with the Department of Electrical and Information Technology, Lund University, 221 00 Lund, Sweden, and also with Saab Dynamics AB, 581 88 Linköping, Sweden. Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166085
produce scattering parameters and so do 2) If and and . This can be counteracted by the negatives enforcing passivity (restricting the material parameters to a complex half plane), but it is not straightforward with noise present. 3) The inversion method breaks down when the slab has low losses and is an integer of a half-wavelength thick. Several methods have been proposed to deal with these problems [6]–[10]. In this paper, we clarify that the last problem does not depend on the inversion algorithm as such, but is due to the poor information content in the reflection data, which is close to zero since there is perfect transmission through a half-wavelength lossless slab. If the inversion is based on transmission data only, no problem occurs. Alternative inversion methods are often based on optimization approaches, assuming a material model with suitable degrees of freedom and computing synthetic scattering parameters. The scattering parameters given by the model are then compared with the measured scattering parameters, and the material parameters are tuned to minimize the difference [9]. This procedure has the advantage that it is possible to enforce material models with a physically reasonable frequency dependence satisfying the Kramers–Kronig relations [11]–[13]. Although different inversion procedures have been around for a long time, there is still limited understanding of their inherent limitations, such as what errors can be expected in different parameter regions when noise is present. This question has a precise answer in the case when all systematic errors have been eliminated. The inverse of the Fisher information matrix then gives us a lower bound on the variance of the parameters we wish to estimate [14]. This provides a theoretical framework for the error analysis in [8], which can be generalized to other measurement situations, for instance, involving more complex material parameters (anisotropic, chiral, gyromagnetic, bianisotropic) [15]–[19]. This paper is organized as follows. In Section II, we present the theoretical framework of Fisher information and Cramér–Rao bounds for the variance of estimated parameters. The bounds are formulated with respect to estimating material and , or wave parameters (wavenumber) parameters (wave impedance). Theoretical demonstrations and and physical interpretations are given in Section III. Experimental verification using a dielectric slab in a rectangular waveguide is described in Section IV. It is found that the explicit expressions for the Cramér–Rao bounds derived in this paper are very close to the experimental results when the scattering parameters are normally distributed. Finally, some conclusions are given in Section V.
0018-9480/$26.00 © 2011 IEEE
SJÖBERG AND LARSSON: CRAMÉR–RAO BOUNDS FOR DETERMINATION OF PERMITTIVITY AND PERMEABILITY IN SLABS
II. FISHER INFORMATION MATRIX A. General Remarks Assume we can measure complex reflection and transmission and for an isotropic slab coefficients with thickness at a certain frequency, where is the imaginary . The electromagnetic unit and we assume time dependence material parameters for the slab are the relative complex perand the relative complex permeability mittivity , which we wish to estimate from and . In total this means estimating four real parameters from four real measurement data points . In the following, we consider the data and parameters as complex numbers, which simplifies the notation substantially. A generalization of the results presented to the case of real and imaginary parts is straightforward; apart from a factor of 2, they differ from the complexified results only when the noise in the real and imaginary parts is correlated. A general framework for computing the best possible accuparameters from racy in estimating (possibly vector valued) data , is given by the Fisher information matrix , having matrix elements [14]
is the variance for the reflection coefficient (noise where level), and is the variance for the transmission coefficient. By the variance of a complex valued parameter, we mean . Note that the derivatives used are the complex derivatives, which are justified by the analytic (they do not depend on the dependence of and on and and ), and the matrix in (3) is Hermicomplex conjugates tian symmetric by construction. In the case when , , , and are real valued, the only change would be to remove the factor 2 in (3). The generalization to consider real and imaginary parts separately is straightforward, leading to a 4 4 Fisher information matrix. The Cramér–Rao lower bound is [14], [22] (4) (5) which can be used to compute the limit of the accuracy for the parameters estimated from the measurement. We need an explicit expression for the reflection and transmission coefficients to compute the Fisher information matrix. These coefficients are given by [23] (6)
(1) where is the probability density function of the outcome given parameters , and denotes the ensemble average (expectation value). The Cramér–Rao lower by any unbiased estimator is bound for the estimation of then given by the diagonal element of the inverse of the information matrix [14],
2971
(7) for a slab of thickness surrounded by free space. If the slab is backed by metal, the reflection coefficient is (8)
(2) where is the variance of the estimator . Thus, the Cramér–Rao bound depends only on the information in the data, not on the actual estimation algorithm used. The form used in this paper applies to unbiased estimators, i.e., estimators having the property , where is the true value of the parameter. A generalization to the biased case is found in [20, p. 457]. B. Material Parameters The general expression (1) for the Fisher information matrix requires knowledge of the probability density function , which a priori is unknown. The expression simplifies considerably by assuming that and have normal probability distributions with uncorrelated noise, in which case the matrix is [14], [21]
The reflection and transmission coefficients depend in their turn on the interface reflection coefficient and the wavenumber in the material , where and are the wave impedances in the slab and in the surrounding free space, respectively. The detailed dependence of the wave parameters and on the material parameters and varies with polarization and measurement setup, and can be found in Appendix A, where also the explicit formulas for the relevant derivatives can be found. From a physical point of view, the parameters are constrained by causality and passivity so that all four numbers must have nonnegative real parts [7]. This fact is left without consideration in this paper since it does not influence our results: the Cramér–Rao bounds do not depend on the specific inversion algorithm used. C. Wave Parameters
(3)
Instead of calculating the information matrix with respect to the material parameters and , we could compute it with respect to the wave parameters and , or more preferably their and , where normalized values is the wavenumber in free space and is the wave impedance in free space. The normalized values are preferred since they are unitless and often close to unity. The
2972
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fisher information matrix is then
(9)
where the derivatives are computed from the derivatives in Appendix A as
(10)
Fig. 1. Screen shot of python implementation of the Cramér–Rao lower bound. Using the menus and sliders, many different combinations of parameters can be investigated. The resulting figures can be saved in a variety of vector or bitmap formats.
(11) III. THEORETICAL ILLUSTRATIONS This allows us to calculate the Cramér–Rao lower bounds for and as the variance of the normalized wave parameters (12) (13) involves primarily the product In the inversion algorithm, and involves primarily the ratio , although the case is complicated at oblique incidence as can be seen from the explicit expressions for and in Appendix A.
D. Generalization to Correlated Noise If and have correlated noise, the off-diagonal terms in the covariance matrix
(14) are nonzero, where denotes the expectation value. Assuming the noise is Gaussian, but possibly correlated and independent of the slab properties, the generalized Fisher information matrix is [14, p. 525]
(15) with similar expressions for , etc. When estimating material , and when estimating parameters, we have . In both cases, the wave parameters, we have . generalized Cramér–Rao bound is
The Cramér–Rao lower bounds have been implemented with a graphical user interface in python,1 see Fig. 1.2 Using this program, the influence of a number of parameters can be studied, and plots such as in Fig. 2 can be made. The following three measurement setups are implemented: • rectangular waveguide with arbitrary width; • coaxial fixture; • free-space plane wave, with arbitrary angle of incidence and TE or TM polarization. The coaxial fixture case is mathematically identical to the freespace plane wave for normal incidence, but is included for convenience since it is a common measurement setup. Furthermore, the noise levels in reflection and transmission can be set separately. The Cramér–Rao lower bounds can be plotted for either and or and , against any of the parameters , , , , thickness , frequency , or (in the plane wave case) angle of incidence . The curves in Fig. 2 are , where is the corresponding parameter. They should be interpreted such that the variance of an unbiased estimation of each parameter, at a given noise level, cannot be less than the values given by the corredB , sponding curve. Unit noise level is used and changing the noise level only shifts the curves up or down by the corresponding amount. For instance, the bound for at 10 GHz is at about 25 dB in Fig. 2. This means that in order to get an estimation of with one digit before and one digit after the decimal point (error of at most 0.05 or 26 dB), the noise level must be kept below 51 dB. In Fig. 2(a), it is seen that the Cramér–Rao lower bounds for increase at two frequencies: the material parameters and the cutoff frequency for the waveguide, and the resonance frequency where the length of the slab corresponds to one halfwavelength in the material. In Fig. 2(b), it is seen that only inthe bound for the normalized wave impedance creases at the resonance frequency. Thus, the inherent difficulty in determining both and at this frequency is due only to the 1[Online]. 2Source
Available: http://www.python.org code is available at http://www.eit.lth.se/staff/daniel.sjoberg
SJÖBERG AND LARSSON: CRAMÉR–RAO BOUNDS FOR DETERMINATION OF PERMITTIVITY AND PERMEABILITY IN SLABS
2973
Fig. 3. Measurement system. A network analyzer is connected to two waveguide segments, joined by a sample holder containing the material under test.
Fig. 2. Cramér–Rao lower bounds (CRLBs) for: (a) material parameters and (b) wave parameters in a rectangular waveguide. Solid lines are for a lossless dielectric slab ( = 5:5; = 1), and dashed lines are for a lossy slab ( = 5:5 j 0:5; = 1). The frequency dependence is due to the experimental setup rather than the material properties, which are constant. The bounds for both and are increased at cutoff frequency (6.55 GHz) and resonance frequency (half-wavelength slab, 12 GHz). However, only the bound for the wave impedance is increased at the resonance frequency.
0
difficulty in determining one of the wave parameters and . In other words, this means that knowledge of one parameter (for for nonmagnetic materials), means the other instance, parameter can be determined in a stable way. The stability of the wavenumber has been observed several times (see, e.g., [8], [10], and [24]). At the resonance frequency, the reflection is low (ideally zero), making it difficult to estimate the wave impedance . This is an artefact of low-loss slabs; by introducing losses, the is significantly peak in the Cramér–Rao lower bound for lowered (see the dashed curves in Fig. 2). IV. MEASUREMENTS A. Measurement Setup To verify the theoretical predictions of the Cramér–Rao lower bounds, the following experimental test was performed. The -parameters for a 9.61-mm-thick dielectric slab of epoxy were measured at 2015 frequency points in the 6.6–12.9-GHz interval in a WR-90 -band waveguide fixture using an Agilent PNA Series Network Analyzer E8363B. This gives us some extra information close to the cutoff frequency 6.56 GHz of the waveguide fixture, which is designed for the 8.2–12.4-GHz frequency
range [4, p. 688]. In this band, the material parameters are pracand . The PNA was tically constant, programmed to repeat the measurement 500 times, in order to obtain 500 sets of -parameters at each frequency for ensemble averaging. One series of such measurements took about 1 h. To obtain different signal-to-noise ratios (SNRs), the measurement series was repeated for different source power levels (0, 20, 40, and 60 dBm). This decreases the SNR by bringing the nominal received power closer to the noise floor. A thru–reflect–line (TRL) calibration [25] was performed for each source power level before the measurements. To calculate material paand , rameters and , as well as the wave parameters the resulting data was evaluated with the NRW method [5], [6]. The measurement system is shown in Fig. 3. B. Single Measurement Typical results from one single measurement as a function of frequency are displayed in Fig. 4 for power levels 0 and 60 dBm (the intermediate power levels correspond to smooth transitions between these). The half-wavelength resonance at 10 GHz affects both the permittivity and permeability, whereas for the wave parameters, only the wave impedance is affected, not the wavenumber. The NRW algorithm even generates an imaginary part of with the wrong sign (passive materials must have constant sign in the imaginary part of and ). This is a typical consequence of the instability in the NRW algorithm that is due to the large uncertainty in determining the phase of the reflection coefficient when it becomes small. The difficulties in determining disappear if we assume that the material , and compute from the wavenumber is nonmagnetic only. The decrease in power level decreases the SNR, and the sensitivity to noise is highest at the resonance where the reflection coefficient is small. C. Cramér–Rao Bounds In Fig. 5, the theoretical Cramér–Rao bounds from Section II are plotted along with numerically calculated variances for material and wave parameters, calculated by the NRW method. The bounds are computed using (3) and (9) with and estimated as the mean over the frequency band of the variance of reflection and transmission coefficients, respectively. It is seen that the experimental values are close to the Cramér–Rao bounds for the low-power level (bottom row in Fig. 5), and that the cutoff frequency and half-wavelength resonance is clearly visible for both power levels, but for the high-power level (top row in Fig. 5),
2974
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
0
Fig. 4. Typical data for one single measurement of a 9.61-mm-thick slab of epoxy. Top row is for power level 0 dBm, bottom row is 60 dBm. First column is reflection and transmission coefficients r and t in the complex plane (small circles indicate frequencies 7, 8, 9, 10, 11, and 12 GHz). Second column is material parameters (blue lines in online version) and (red lines in online version), with solid lines indicating real part and dashed lines imaginary part (close to zero). Third column is wave parameters (blue lines in online version) and Z (red lines in online version), with solid lines indicating real part and dashed lines imaginary part (close to zero). The half-wavelength resonance is clearly seen at 10 GHz.
Fig. 5. Cramér–Rao curves for material and wave parameters. Solid blue lines (in online version) are measurement data, dashed red lines (in online version) are theoretical Cramér–Rao bounds. Top row is 0 dBm, bottom row is 60 dBm. Column 1 is permittivity , column 2 is permeability , column 3 is relative wavenumber , and column 4 is relative wave impedance Z .
0
there is substantial discrepancy between the experimental values and the Cramér–Rao bounds. To explain this, we need to reconsider some assumptions that were made in the derivation of the bounds. 1) Normally Distributed Noise: The analytical results for the Cramér–Rao bounds were derived under the hypothesis that the noise is Gaussian. Quantitative tests for normality are provided by the Kolmogorov–Smirnov [26] and Anderson–Darling [27] tests, which can be used to test if the hypothesis of Gaussian probability can be rejected. We used the SciPy3 implementation of these tests to compute results according to Table I. This table reports the fraction of frequency points at which the Gaussian 3[Online].
Available: http://www.scipy.org
TABLE I FRACTION OF FREQUENCY POINTS WHERE THE NORMALITY HYPOTHESIS IS NOT REJECTED BY THE KOLMOGOROV–SMIRNOV AND ANDERSON–DARLING TESTS (IN THE ORDER KS/AD)
hypothesis is not rejected by the tests, at 5% significance level. It is clear that the data can be considered normally distributed at low-power levels, but not at high-power levels.
SJÖBERG AND LARSSON: CRAMÉR–RAO BOUNDS FOR DETERMINATION OF PERMITTIVITY AND PERMEABILITY IN SLABS
Fig. 6. Histograms and samples of the imaginary part of the transmission coefficient at 9 GHz for 0 dBm (top) and 60 dBm (bottom). The continuous Gaussian curves overlaid on the histograms are computed from the mean and standard deviation of the data set.
0
To illustrate the reason of the nonnormality of data at high-power levels, we show histograms and corresponding Gaussian fits for the imaginary part of the transmission coefficient at 9 GHz for 0 and 60 dBm in Fig. 6. This figure also shows the sample values plotted against the sample number. The results are similar for other components and frequencies. It is clear that the non-Gaussian nature of data at high-power levels is due to a drift in the measured parameters, which is masked by the noise at lower power levels. This drift is due to the long measurement time to complete the 500 measurements, about 1 h, which allows for temperature variations. When only the mid 50 measurement points are used, the drift is very small and all frequency points pass the Kolmogorov–Smirnov normality test, and 90% pass the Anderson–Darling test. 2) Unbiased Estimators: It is well known that the variance of biased estimators can be below the Cramér–Rao lower bound. A trivial example is a constant estimator, which has zero variance. The following empirical test of the bias of the NRW method has been performed. If is an unbiased estimator of , then its expectation value should equal . With fixed , we compute synthetic scattering parameters through (6) and (7), add artificial noise at some power level, and send the result through the NRW algorithm. This is repeated many times and the mean value of the result is calculated. The squared deviation of this mean value from the true value is plotted in Fig. 7. By trying a different number of samples for calculating the mean value, it is found that the deviation decreases well below the noise level for the increasing number of samples at all frequencies, except close to the half-wavelength resonance. This shows that the assumption of the NRW method as an unbiased estimator is reasonable. D. Compensation for Nonidealities From Fig. 7, we conclude that the possible bias of the NRW method is very small, and do not attempt to compensate for it in this paper. To compensate for the nonnormality due to drift in measurement data at high-power levels described above, we
2975
Fig. 7. Illustration of the bias of the NRW method, i.e., the deviation of the mean of the estimators ^ and ^ from the fixed true values = 2:8 0:015j and = 1 (the corresponding results for wavenumber and impedance are also given). The graphs are in decibel scale (10 log (jh^ i 0 j )) at noise level = = 060 dB, and using 10 points for the statistical averaging.
0
can restrict the data to the mid-50 measurement points. The resulting graphs in Fig. 8 are then almost identical to the bottom row of Fig. 5 for all power levels (except for the mean noise level). This demonstrates that when the data is more Gaussian, the Cramér–Rao bounds are more exact. Even though the Cramér–Rao curves cannot strictly be considered as bounds for the NRW method due to the possible estimator bias, they still provide a good estimate of the error that can be expected in a real measurement situation. Even at the high-power levels in Fig. 5, where the drift in the scattering data causes severe disturbance of the hypothesis of Gaussian data, the difference is, for most frequencies, only a few decibels. By shortening the measurement time or going to low-power levels, the Gaussian noise is dominating over the drift in the instrument, and the Cramér–Rao curves are almost exactly equal to the measured ones. V. CONCLUSIONS The Cramér–Rao lower bound describes how well we can estimate a certain parameter from measurement data. We have calculated the explicit bounds for determining permittivity and permeability from reflection and transmission data for slabs. Furthermore, we have shown that the frequency variation of the bounds agrees with basic physical phenomena, such as the cutoff frequency phenomenon in waveguides, and the half-wavelength resonance in slabs. Using these bounds, it is possible to estimate the minimum SNR needed to estimate the material parameters with a given accuracy. The explicit expressions for the Cramér–Rao lower bounds based on (3), (9), and (15) for the Fisher information matrix are valid in situations where all the systematic errors have been eliminated and (Gaussian zero-mean) noise is the only remaining source of error. The systematic error is difficult to suppress in real situations, and the eventual success of the measurement is very much linked to the calibration procedure. For instance, we have demonstrated that long measurement times may incur a drift in the measured scattering parameters.
2976
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 8. Same curves as in Fig. 5, but based on only the mid-50 measurement points. It is seen that the reduced drift in the scattering data makes the data conform better with the Cramér–Rao bounds for the high-power level.
A particular result of this paper is that the half-wavelength resonance in slabs influences only the estimation of the impedance and not the estimation of the wavenumber in the slab. We cannot extract accurate information on wave impedance for this frequency unless a slab with different thickness is used, or if we combine information from neighboring frequency points with a hypothesis that the material properties do not change very much with frequency. However, this leads to additional hypotheses on the material behavior that are undesirable when measuring dispersive materials (for instance, metamaterials), where the material parameters can have sharp frequency variations. APPENDIX CALCULATION OF SOME DERIVATIVES
and are the permittivity and permeability of free where space, and are the relative permittivity and permeability and are the of the material, wavenumbers in free space and in the material, and are the wave impedances in free space and in the material, and are the longitudinal wavenumbers in free space and in the material, and is the transverse wavenumber. For the mode in a rectangular waveguide, we have , where is the width of the waveguide, and for a plane wave normally incident on a , where is the angle of incidence. slab, we have Using the chain rule, we now have (22)
Here we calculate the explicit expressions for the derivatives that make up the Fisher information matrix in (3) and (9). The reflection and transmission coefficients for a slab surrounded by free space are [23]
which shows that all derivatives can be computed from a few canonical derivatives. These are as follows: (23)
(16) (17)
(24) (25)
If the slab is backed by metal, the reflection coefficient is (18)
(26)
The parameters involved are
(27) (19) (28) TE TM TE TM
(20) (21)
(29) (30)
SJÖBERG AND LARSSON: CRAMÉR–RAO BOUNDS FOR DETERMINATION OF PERMITTIVITY AND PERMEABILITY IN SLABS
(31) (32) (33) For a metal backed slab, we also need the derivatives (34)
(35) All these derivatives are implemented in the computer program described in Section III. ACKNOWLEDGMENT The support of Lund University, Lund, Sweden, Saab Dynamics, Linköping, Sweden, and the Swedish Foundation for Strategic Research, Stockholm, Sweden, is gratefully acknowledged by the authors. REFERENCES [1] L. F. Chen, C. K. Ong, C. P. Neo, V. V. Varadan, and V. K. Varadan, Microwave Electronics: Measurement and Materials Characterisation. New York: Wiley, 2004. [2] J. Baker-Jarvis, R. G. Geyer, J. J. H. Grosvenor, M. D. Janezic, C. A. Jones, B. Riddle, and C. M. Weil, “Dielectric characterization of low-loss materials: A comparison of techniques,” IEEE Trans. Dielectr. Electr. Insul., vol. 5, no. 4, pp. 571–577, Aug. 1998. [3] R. E. Collin, Field Theory of Guided Waves, 2nd ed. New York: IEEE Press, 1991. [4] D. M. Pozar, Microwave Engineering, 3rd ed. New York: Wiley, 2005. [5] A. M. Nicolson and G. F. Ross, “Measurement of the intrinsic properties of materials by time-domain techniques,” IEEE Trans. Instrum. Meas., vol. IM-19, no. 4, pp. 377–382, Nov. 1970. [6] W. B. Weir, “Automatic measurement of complex dielectric constant and permeability at microwave frequencies,” Proc. IEEE, vol. 62, no. 1, pp. 33–36, Jan. 1974. [7] X. Chen, T. M. Grzegorczyk, B.-I. Wu, J. J. Pacheco, and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, Stat. Phys. Plasmas Fluids Relat. Interdiscip. Top., vol. 70, 2004, Art. ID 016608. [8] J. Baker-Jarvis, E. J. Vanzura, and W. A. Kissick, “Improved technique for determining complex permittivity with the transmission/reflection method,” IEEE Trans. Microw. Theory Tech., vol. 38, no. 8, pp. 1096–1103, Aug. 1990. [9] J. Baker-Jarvis, R. G. Geyer, and P. D. Domich, “A nonlinear least-squares solution with causality constraints applied to transmission line permittivity and permeability determination,” IEEE Trans. Instrum. Meas., vol. 41, no. 5, pp. 646–652, Oct. 1992. [10] A.-H. Boughriet, C. Legrand, and A. Chapoton, “Noniterative stable transmission/reflection method for low-loss material complex permittivity determination,” IEEE Trans. Microw. Theory Tech., vol. 45, no. 1, pp. 52–57, Jan. 1997. [11] M. H. A. Kramers, “La diffusion de la lumière par les atomes,” Atti. Congr. Int. Fis. Como, vol. 2, pp. 545–557, 1927. [12] R. de L. Kronig, “On the theory of dispersion of X-rays,” J. Opt. Soc. Amer., vol. 12, no. 6, pp. 547–557, 1926.
2977
[13] J. D. Jackson, Classical Electrodynamics, 3rd ed. New York: Wiley, 1999. [14] S. M. Kay, Fundamentals of Statistical Signal Processing, Estimation Theory. Englewood Cliffs, NJ: Prentice-Hall, Inc., 1993. [15] N. J. Damaskos, R. B. Mack, A. L. Maffett, W. Parmon, and P. L. E. Uslenghi, “The inverse problem for biaxial materials,” IEEE Trans. Microw. Theory Tech., vol. MTT-32, no. 4, pp. 400–405, Apr. 1984. [16] X. Chen, T. M. Gzegorczyk, and J. A. Kong, “Optimization approach to the retrieval of he constitutive parameters of a slab of general bianisotropic medium,” Progr. Electromagn. Res., vol. 60, pp. 1–18, 2006. [17] D. Sjöberg, “Determination of propagation constants and material data from waveguide measurements,” Progr. Electromagn. Res. B, vol. 12, pp. 163–182, 2009. [18] A. D. Ioannidis, G. Kristensson, and D. Sjöberg, “On the dispersion equation for a homogeneous, bi-isotropic waveguide of arbitrary crosssection,” Microw. Opt. Technol. Lett., vol. 51, no. 11, pp. 2701–2705, 2009. [19] A. D. Ioannidis, G. Kristensson, and D. Sjöberg, “The propagation problem in a bi-isotropic waveguide,” Progr. Electromagn. Res. B, vol. 19, pp. 21–40, 2010. [20] R. Pintelon and J. Schoukens, System Identification, A Frequency Domain Approach. New York: IEEE Press, 2001. [21] S. T. Smith, “Statistical resolution limits and the complexified Cramér–Rao bound,” IEEE Trans. Signal Process., vol. 53, no. 5, pp. 1597–1609, May 2005. [22] M. Gustafsson and S. Nordebo, “Cramér–Rao lower bounds for inverse scattering problems of multilayer structures,” Inverse Problems, vol. 22, pp. 1359–1380, 2006. [23] M. Born and E. Wolf, Principles of Optics, 7th ed. Cambridge, U.K.: Cambridge Univ. Press, 1999. [24] J. J. Barroso and A. L. de Paula, “Retrieval of permittivity and permeability of homogeneous materials from scattering parameters,” J. Electromagn. Waves Appl., vol. 24, pp. 1563–1574, 2010. [25] G. F. Engen and C. A. Hoer, “‘Thru–reflect–line’: An improved technique for calibrating the dual six-port automatic network analyzer,” IEEE Trans. Microw. Theory Tech., vol. MTT-27, no. 12, pp. 987–993, Dec. 1979. [26] J. Frank and J. Massey, “The Kolmogorov–Smirnov test for goodness of fit,” J. Amer. Statist. Assoc., vol. 46, no. 253, pp. 68–78, Mar. 1951. [27] T. W. Anderson and D. A. Darling, “A test of goodness of fit,” J. Amer. Statist. Assoc., vol. 49, no. 268, pp. 765–769, Dec. 1954. Daniel Sjöberg (M’11) received the M.Sc. degree in engineering physics and Ph.D. degree in engineering, electromagnetic theory from Lund University, Lund, Sweden, in 1996 and 2001, respectively. In 2001, he joined the Electromagnetic Theory Group, Lund University, where he is currently a Professor and the Director of Studies with the Department of Electrical and Information Technology. In 2005, he became a Docent in Electromagnetic Theory with Lund University. His research interests are in electromagnetic properties of materials, composite materials, homogenization, periodic structures, numerical methods, radar cross section, wave propagation in complex and nonlinear media, and the inverse scattering problem.
Christer Larsson received the B.Sc. degree in physics from Uppsala University, Uppsala, Sweden, in 1981, and the Ph.D. degree in physics from the Royal Technical Institute, Stockholm, Sweden in 1990. In 2007, he became an Adjunct professor with Lund University, Lund, Sweden . He is also currently involved with radar signatures research and development with Saab Dynamics AB, Linköping, Sweden. His research interests are in the electromagnetic properties of materials, radar cross section, and inverse synthetic aperture radar.
2978
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Ultra-Stable Very-Low Phase-Noise Signal Source for Very Long Baseline Interferometry Using a Cryocooled Sapphire Oscillator Nitin R. Nand, John G. Hartnett, Eugene N. Ivanov, and Giorgio Santarelli
Abstract—The design and implementation of a novel frequency synthesizer based on low phase-noise digital dividers and a direct digital synthesizer is presented. The synthesis produces two lownoise accurate tunable signals at 10 and 100 MHz. We report the measured residual phase noise and frequency stability of the synthesizer and estimate the total frequency stability, which can be expected from the synthesizer seeded with a signal near 11.2 GHz from an ultra-stable cryocooled sapphire oscillator (cryoCSO). The synthesizer residual single-sideband phase noise, at 1-Hz offset, on 10- and 100-MHz signals was 135 and 130 dBc/Hz, respectively. The frequency stability contributions of these two sig15 and 15 , respectively, nals was at 1-s integration time. The Allan deviation of the total fractional frequency noise on the 10- and 100-MHz signals derived from the synthesizer with the cry15 1 oCSO may be estimated, respectively, as 15 1 2 16 and 15 1 2 16 , respectively, for 4 s. We also calculate the coherence function (a figure of merit for very long baseline interferometry in radio astronomy) for observation frequencies of 100, 230, and 345 GHz, when using the cryoCSO and a hydrogen maser. The results show that the cryoCSO offers a significant advantage at frequencies above 100 GHz.
= 9 10
3 6 10 3 10
= 2 2 10
+ 4 10 1
10
5 2 10 2 10
+ +
Index Terms—Cryogenic sapphire oscillator (CSO), frequency stability, frequency synthesizer, phase noise, very long baseline interferometry (VLBI) coherence.
I. INTRODUCTION
O
NE OF the biggest science goals of the international radio astronomy community is to image the black-hole event horizon at the center of our galaxy using the largest resolving power provided by very long baseline interferometry (VLBI) at sub-millimeter wavelengths. Manuscript received March 23, 2011; revised August 10, 2011; accepted August 24, 2011. Date of publication October 03, 2011; date of current version November 16, 2011. This work was supported by the Australian Research Council under Grant LP0883292 with support from the University of Western Australia, Commonwealth Scientific and Industrial Research Organisation (CSIRO), Curtin University of Technology, and Poseidon Scientific Instruments. N. R. Nand, J. G. Hartnett, and E. N. Ivanov are with the School of Physics, University of Western Australia, Crawley 6009, W.A., Australia (e-mail: [email protected]; [email protected]; [email protected]). G. Santarelli is with the Laboratoire National de Métrologie et d’Essais Sytèmes de Référence Temps Espace (LNE–SYRTE), Observatoire de Paris, Centre National de la Recherche Scientifique (CNRS), Université Pierre et Marie Curie (UPMC), 75014 Paris, France (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166976
It has been recognized that the angular resolution of the VLBI technique offers a unique scientific opportunity to image a black hole, and thereby test the limits of our understanding in physics and astronomy. Such observations would open a new window on general relativity in the strong-field regime, allowing us to probe the fundamentals of black-hole physics [1], [2]. Furthermore, observations of a super-massive black hole would provide evidence on the behavior of matter in the most extreme gravitation fields found in nature. VLBI studies are steadily advancing toward the direct imaging of black holes with observations at increasingly higher frequencies. The current best target for all research groups is the super-massive black hole at the center of our own galaxy: Sagittarius A*. However, carrying out VLBI observations in the high-frequency regime is extremely challenging. A major reason is that the signal coherence times are extremely short (about a few seconds), and therefore signals cannot be integrated over long time spans to improve sensitivity. However, recent developments on advanced tropospheric calibration techniques [3] are able to extend such coherence times, by using dual frequency observations, in millimeter VLBI. This enables coherence times up to several hours, which results in improved sensitivity by an order of magnitude and better quality VLBI images. The additional essential ingredient is an improved time standard to tackle the other dominant issue; the maintenance of extremely high quality instrumental coherence between independent antennas. Cryogenic sapphire oscillators (CSOs) cooled with a closed cycle cryocooler provide such a dependable ultra-stable very-low-phase-noise reference signal [4], [5]. These “clocks” offer at least an order of magnitude improvement in stability of the 10-MHz system reference to that of the current standard, the hydrogen maser. As a result it is estimated from this work that the cryocooled sapphire oscillator (cryoCSO) can provide a major improvement in coherence at frequencies at and above 100 GHz. CSOs [4]–[10] have demonstrated extremely good frequency stability (characterized in terms of Allan deviation) at integration times in the range of 1–1000 s. These are currently state-of-the-art for an RF signal source. At short integration times, they provide up to two orders of magnitude better fractional frequency stability than a typical hydrogen maser. However, these oscillators do not operate repeatably at precisely prescribed frequencies. Transferring the microwave stability of the CSO to precisely 10 and 100 MHz presents technical challenges [11]–[14]. This is for two reasons: one, that the
0018-9480/$26.00 © 2011 IEEE
NAND et al.: ULTRA-STABLE VERY-LOW PHASE-NOISE SIGNAL SOURCE FOR VLBI USING cryoCSO
2979
TABLE I SSB PHASE NOISE ( ) @ 1-Hz OFFSET FOR THE ACTIVE COMPONENTS USED IN THE FREQUENCY SYNTHESIZER AT THE POWER LEVELS AND FREQUENCIES SPECIFIED IN FIG. 1
L
Fig. 1. Block diagram of the frequency synthesizer. Attenuators, filters, and dc blocks not shown. The principal components used were the Analog Devices AD9912 DDS module, the Marki IQ-0714 mixer, the low-phase-noise Wenzel 501-04517D quartz oscillator, the low-phase-noise Holzworth HX4210 divider ( 10), the Minicircuits amplifiers ERA-5+ and ZFL-500LN+, the Minicircuits frequency multiplier ZX90-2-13-S+, the Hittite dividers HMC-C007 ( 8), HMC365S8G ( 4), and the programmable HMC705LP4 divider (where we used 14). Note: phase-locked loop (PLL).
4 4
4
4
output frequency of the oscillator is not easily tunable and the second, that the synthesis techniques themselves add noise due to the intrinsic phase noise of the components used. In this paper, we describe the design and implementation of a synthesizer based on an ultra-stable cryoCSO [4], [5], [15] complemented by very-low phase-noise digital dividers and a direct digital synthesizer (DDS).1 We evaluate the performance of the synthesizer in terms of phase noise and stability of the synthesized 10- and 100-MHz reference signals. We use the acronym CSO to denote the liquid helium cooled sapphire oscillator as distinct from the newly developed cryoCSO. The latter uses a closed cycle cryogenic refrigerator to maintain a few liters of liquid helium in the cryostat. This does not need refilling, and therefore is more suitable to remote installations like radio astronomy sites. II. FREQUENCY SYNTHESIZER DESIGN AND MEASUREMENT TECHNIQUES A. Synthesizer Design and Architecture We constructed two nominally identical frequency synthesizers. Fig. 1 shows a block diagram of the synthesizer based on an ultra-stable microwave oscillator, the cryoCSO, and a high-resolution DDS (model: Analog Devices AD9912) phase referenced to a sub-harmonic of the cryoCSO signal. The output signal frequency of the cryoCSO is 11.201 967 GHz. This signal is not tunable and the closest integer multiple of 100 MHz is 11.2 GHz. By frequency shifting the cryoCSO signal with a 1.967-MHz signal, generated by the DDS unit, this produces the correct frequency for integer digital frequency division. The frequency shifting was performed with an image rejection mixer in order to suppress the spurious mixing product about 4 MHz above the useful signal. Without this the spurious signal would impair the divider operation; filtering it out would require the use of an impractically high- -factor microwave filter. The use 1[Online]. Available: AD9912PCBZ.pdf
www.analog.com/static/imported-files/evalboards/
of the image rejection mixer is an elegant alternative to solve the problem. By combining an -band commercial in-phase/quadrature (IQ) mixer (model: Marki IQ-0714) with a custom-made phase shifter, we realized an image rejection of about 40 dB. The signal levels are optimized to suppress the carrier by about 40 dB. At the output of the image rejection mixer, a two-step digital frequency division by 56 produces a 200-MHz output. This was achieved with a Hittite HMC365S8G divide-by-4 chip and a Hittite programmable HMC705LP4 divider that was set to divide by 14. The 200-MHz signal, down-converted from the cryoCSO, is used to clock the DDS synthesizer, as well as a reference signal for the phase-locked loop (PLL) controlling the frequency of a low-phase-noise 100-MHz quartz oscillator (model: Wenzel 501-04517D), which is frequency doubled by a commercial low phase-noise frequency multiplier (model: Mini-Circuits ZX90-2-13-S ). The PLL ensures that the ultrahigh frequency stability of the cryoCSO is transferred to the 100-MHz quartz oscillator with only a small addition of noise. The frequency of the latter is further divided to 10 MHz using a Holzworth HX4210 low phase-noise divider, which adds a nonnegligible noise contribution. Mini-Circuits ERA-5 and ZFL-500LN amplifiers were used as shown in Fig. 1. Table I lists the relevant components chosen by their phase-noise contributions. Furthermore the circuit provides a 1.4-GHz output by dividing the output signal of the mixer by 8 with a Hittite HMCC007 divide-by-8 module. This signal, which is very close to the hydrogen maser microwave transition, is a good candidate for future very-low noise synthesizers. It is worth noting that the technique described here can easily be adapted to any of the possible microwave output frequencies from the CSO.
2980
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 2. Setup for measuring: (a) residual phase noise of the device-under-test (DUT) via the analog technique, (b) residual phase noise and stability (Allan deviation) via a Symmetricom 5125A phase noise test set, and (c) stability between a hydrogen maser and synthesizer via a Symmetricom 5125A phase-noise test set. Note: low-pass filter (LPF), Stanford Research Systems Model SR560 low-noise preamplifier (SRS), and Agilent 89410A fast Fourier transform spectrum analyzer (FFT SA). The power levels for all measurements were as shown.
Fig. 3. Phase noise of some of the components used in our design measured via the analog technique Fig. 2(a). Curve (1): the AD9912 DDS, curve (2): the HMC-C007 divide-by-8, curve (3): the HMC705LP4 divide-by-14 where the input was derived from an HMC365S8G divide-by-4. Curve (4): phase noise of the HX4210 divider, with 1-dBm input power, measured using the 5125A test set and the setup of Fig. 2(a) with the divider as the DUT. The broken line is the measured phase noise for the HX4210 divider taken from the manufacturer’s data sheet. Each measurement was repeated at least three times to confirm reproducibility of the results, and took several hours depending on the sample window. The mixer voltage to phase conversion coefficient was 0.2 V/rad.
where is the power spectral density of phase fluctuations and has units rad Hz. In the literature, phase noise is commonly reported as dB
B. Measurement Techniques Phase-noise measurements are traditionally made using the analog technique by mixing equal frequency signals 90 out of phase, as shown in Fig. 2(a). The baseband signal voltage is then sampled and Fourier analyzed by a fast Fourier transform (FFT) spectrum analyzer, and then post-processed to extract the phase noise data. Initially, we used the analog technique to evaluate the residual phase noise of the components used to realize the synthesizer (see Fig. 3). In a second phase, we used a Symmetricom 5125A phase-noise test set; hereafter referred to as the test set (see Fig. 2). The test set outputs the single-sideband (SSB) phase noise and the signal frequency stability of the device-under-test (DUT) in real time by comparing it with a reference signal. The test set performs phase detection by digital signal processing (DSP) methods, sampling the RF waveforms directly [18]. III. RESULTS AND DISCUSSION Oscillator phase noise can be described by a power law given by (1)
(2)
which has units dBc/Hz. In this paper, all phase-noise measurements are reported in or SSB units. On a log–log plot, dB/decade. the term maps on to a straight line of slope The value of the slope is used to identify the various noise processes commonly encountered in oscillators [19]. A. Residual Phase Noise and Stability 1) Residual Phase Noise: We measured phase fluctuations in various components of the frequency synthesizer using the readout systems, as shown in Fig. 2(a) and (b). The results of some of these measurements are presented in Fig. 3. Table I summarizes the relevant phase-noise contributions of the active components used in the design of the synthesizer. The power spectral density of phase fluctuations of the DDS 2-MHz output signal is 103 dBc/Hz at 1-Hz offset. Since these fluctuations are superimposed on the microwave carrier (when the DDS signal is mixed with that of the cryoCSO), their power spectral density is reduced to 144 dBc/Hz when microwave frequency is divided to 100 MHz and is negligible in the total noise budget of the synthesizer. Its contribution is less than the phase noise of the cryoCSO signal referred to 100 MHz, which is
NAND et al.: ULTRA-STABLE VERY-LOW PHASE-NOISE SIGNAL SOURCE FOR VLBI USING cryoCSO
138 dBc/Hz at 1-Hz offset [5]. The last column of Table I lists the relevant noise contributions of each component referred to 100 MHz. It is worth noting when comparing the phase noise where is the mulat different frequencies that it scales as tiplication factor, so that a division ratio of 11.2 GHz/100 MHz 112 produces a reduction in phase noise of 41 dB. Using the cryoCSO output signal to drive the inputs of two nominally identical synthesizers, we separately measured the residual phase noise [see Fig. 2(b)] of the 10- and 100-MHz output signals. The results, relative to a single synthesizer, are shown in Fig. 4 by curves (1) and (2), respectively. Curve (3) is the phase noise of a single 100-10-MHz digital frequency divider (model Holzworth HX4210, curve (4) from Fig. 3) used here. Curves (4) and (5) are the measurement noise floors for the test set at 10 and 100 MHz, respectively. Curve (6) in Fig. 4 indicates the expected level of phase noise from a Pound stabilized cryoCSO signal when divided down to 100 MHz. From the comparison of this with the phase-noise data (in Figs. 3 and 4), we conclude that noise spectra of both 10- and 100-MHz signals would be largely dominated by intrinsic phase fluctuations of the frequency dividers. Thus, for the 10- and 100-MHz signals, the phase noise of the frequency dividers dominates that of the cryoCSO at Fourier frequencies above 0.01 and 0.3 Hz, respectively. If the frequency division was noiseless, the phase-noise spectra of the synthesized RF signals would have been entirely determined by the cryoCSO phase fluctuations. The indicated spurs at multiples of the 1.46 Hz of the cryocooler compressor cycle result from poor rejection by the measurement equipment. This was proven when the cryocooler compressor, used to cool the resonator in our loop oscillator, was switched off, yet another was still running nearby and the same spurs were observed. Note the power levels of these spurs are about 20 dB lower on the 10-MHz signal than on the 100-MHz signal. The spur near 60 kHz is the residual Pound modulation sideband from the cryoCSO loop oscillator. From Fig. 4, one can clearly see that the bandwidth of the PLL controlling the 100-MHz quartz oscillator is about 100 Hz. Hz, the phase noise of the At Fourier frequencies 100-MHz output signal [curve (2)] is due to the free-running quartz oscillator (which is consistent with its specifications). At Hz, i.e., well within the PLL bandwidth, the residual phase noise of the 100-MHz output signal is due to intrinsic fluctuations of the RF mixer used in the PLL. In addition to the PLL mixer, the low-frequency noise is also due to the intrinsic fluctuations in the frequency divider (division by 56) and in the 200-MHz amplifier. These are sources of uncorrelated phase fluctuations in two synthesizers. See Table I for their contributions on the 100-MHz carrier. The residual phase noise of the 10-MHz signal [curve (1)] is limited by the frequency divider phase noise at Fourier frequenHz Hz. For Hz, the shape of cies the phase-noise spectrum of the 10-MHz signal can be explained by the residual phase noise of the 100-MHz output reduced by 20 dB (the relative frequency reduction) plus the intrinsic noise of the divider. The bandwidth of the quartz oscillator PLL was too narrow (about 100 Hz) to prevent contamination of the synthesized sig-
2981
Fig. 4. SSB residual phase noise of the synthesized 10-MHz [curve (1)] and 100-MHz [curve (2)] reference signals measured with a Symmetricom 5125A phase-noise test set. Data shown represent a single synthesizer, from the relative noise from two nominally identical units. Curve (3) (dotted line) is the phase noise of a single 100–10-MHz divider and curves (4) and (5) are the measurement noise floors in the test set at 10 and 100 MHz, respectively. Curve (6) (the dotted–dashed line) is an estimate of the level of phase noise of the cryoCSO signal divided down to 100 MHz. The data of curves (1) and (2) are highly repeatable and were collected over at least three days.
nals by phase fluctuations of the quartz oscillator. Such contamination is especially pronounced in case of the 100-MHz signal manifesting itself as a broadband hump in its phase noise spectrum (curve 2 in Fig. 4). Increasing the PLL bandwidth to a few kilohertz would completely eliminate this type of excess noise. The phase-noise spectra in Fig. 4 were measured with the readout system in Fig. 2(b). It employed the direct-digital phasenoise measurement test set (Symmetricom 5125), which is insensitive to amplitude fluctuations of the synthesizer signals. (The setup of Fig. 2(a) was only used to characterize some individual components including the amplifiers used here.) In principle, some of the residual phase noise observed could be associated with the AM-to-PM conversion in the PLL of the quartz oscillator. Such a conversion is usually associated with imperfections of the analog phase detectors [17]. Nevertheless, the measured SSB residual phase noise of the synthesized signals at 1-Hz offset on the 10- and 100-MHz signals is 135 and 130 dBc/Hz, respectively. The former is confirmed by the phase-noise measurement of a single divider as indicated by curve (4) in Fig. 3. A very good 10-MHz quartz oscillator phase noise is 122 dBc/Hz at 1-Hz offset.2 Our result here is 13 dB lower than the best quartz. The only excess noise we could not easily account for is that Hz. observed in the spectrum of the 10-MHz signal at Thinking it may be due to intrinsic phase fluctuations of the Holzworth frequency dividers on ambient temperature, we investigated this. Using a Thorlabs TED-200C temperature con2[Online].
Available: www.oscilloquartz.com/file/pdf/8607.pdf
2982
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 5. Allan deviation of the measured frequency stabilities for an NEQ BW of 0.5 Hz: curves (1) and (2) represents the intrinsic stability of the 10- and the 100-MHz synthesizers, and curves (3) and (4) are the measurement noise floors at 10 and 100 MHz, respectively. The data are highly repeatable and were sampled over time periods of 1–3 days.
troller, a thermoelectric cooler (Peltier) and a 10-k thermistor, we stabilized the Holzworth dividers to several set-point temperatures near 300 K and took data over several days. We found that the phase noise over the Fourier frequencies shown in Fig. 4 was Hz. We did not find the nearly identical, particularly for cause of the excess residual phase noise. However the long-term s (shown frequency stability was slightly improved for in curve (1) of Fig. 5). 2) Frequency Stability: Using the setup of Fig. 2(b), we measured the intrinsic frequency stability of the synthesized 10- and 100-MHz references (curves (1) and (2) of Fig. 5). The measurements obtained with the test set that are shown in Fig. 5 are for a Nyquist equivalent noise bandwidth (NEQ BW) of 0.5 Hz. The NEQ BW determines the minimum integration or for stability measurements and is given by averaging time NEQ BW . (See [18] for details.) The test set tabulates the Allan deviation of the fractional frequency fluctuations at certain integer multiples of . These data are used in the figures herein. Curves (3) and (4) are the measurement noise floors of the test set, measured by splitting the outputs of the synthesizer with a power divider. These were then subtracted from curves (1) and (2) and the intrinsic stability contribution for a single synthesizer at 10 and 100 MHz calculated. At 1 s of integration, these were 9 10 and 2.2 10 , respectively. The degradation in stability on the 10-MHz signal can be attributed to the phase-noise contribution of the 100–10-MHz divider itself (see Fig. 4). If the division to 10 MHz were noiseless, we would expect the same fractional frequency stability on that signal as on the input 100-MHz signal. As mentioned above, the Holzworth 100–10-MHz frequency divider is temperature sensitive and the intrinsic stability shown in Fig. 5 is the best result obtained while actively controlling the temperature of the divider at a set point a few degrees above
Fig. 6. Allan deviation of the measured frequency stabilities. Curve (1) represents the 100-MHz synthesizer directly compared with the 100-MHz output of our Kvarz hydrogen maser, and curve (2) represents the cryoCSO compared with a liquid helium cooled CSO at 11.2 GHz. Curve (3) is the expected stability of an inferred single cryoCSO. Curve (4) represents the Allan deviation calculated for the times series data of Fig. 8 The solid line labeled (5) represents the long-term dependence from which a maximum value of frequency drift is calculated. Curves (1), (4), and (5) were calculated from data sampled over 7–14 days. Curve (2) was calculated from data sampled over one day. The very long-term performance of the cryoCSO varies slightly depending on how long the oscillator had been running. Curve (5) represents the best performance we measured.
the ambient temperature. The laboratory environment was also temperature controlled to within 0.1 C. The temperature sensitivity of the synthesizer box, and hence its temperature coefficient, is yet to be determined. Using the setup of Fig. 2(c), we measured the stability of the cryoCSO/synthesizer against our hydrogen maser at 100 MHz. The resulting Allan deviation of the combined fractional frequency fluctuations is given by curve (1) in Fig. 6. It is the best result we measured over a two-week period. The hydrogen maser noise dominates the measurements out to about 10 s of averaging. The circled data indicate the cryoCSO frequency stability where it is assumed that the cryoCSO dominates over that of the maser. To fully characterize the frequency instability of s, a second cryocooled osthe synthesized signals for cillator is needed. From the previously measured frequency stability of the cryoCSO against a liquid-helium-cooled CSO [5] (curve (2) in Fig. 6), it is possible to estimate the expected short-term and very long-term stability of a single cryoCSO (curve (3) in Fig. 6). This estimate is based on the assumption that for s, both oscillators are equal in performance and times s, the frequency stability of the cryoCSO is at times that of curve (1), from the comparison of the cryoCSO with our hydrogen maser. This must be the case or we would see the long-term stability (no frequency drift removed) similar to s. For s, the liquid-hethat of curve (2) for lium-cooled CSO frequency stability is worse than that of the cryoCSO. Hence, we are able to calculate the total noise contribution from the cryoCSO and a single synthesizer for s, where we have reliable data. integration times
NAND et al.: ULTRA-STABLE VERY-LOW PHASE-NOISE SIGNAL SOURCE FOR VLBI USING cryoCSO
2983
The long-term frequency stability at both 10- and 100-MHz signals should converge due to the long-term stability of the cryoCSO. However, beyond 10 s, the cryoCSO stability is largely determined by the environment; temperature, and pressure changes. There is also an uncertainty about the frequency stability of the hydrogen maser so the only way to truly establish this performance is with at least one more cryoCSO. B. Long-Term Performance
Fig. 7. Best measured stability between our Kvarz hydrogen maser and the cryoCSO/synthesizer at 100 MHz [solid circles, curve (1)]. Curves (2) and (3) are estimates due to the cryoCSO/synthesizer alone, at 10 and 100 MHz. respectively. Curve (4) is the typical stability data of a very high-performance hydrogen maser.
In order to calculate the expected stability of the synthesized signals with the cryoCSO, the frequency stability of the cryoCSO (curve (3) of Fig. 6) was added to the intrinsic stability of the synthesizer (curves (1) and (2) of Fig. 5) at 10 and 100 MHz, respectively. The results are shown in Fig. 7 where they are compared with the frequency stability of our hydrogen maser (curve s) and with that of a high-performance hydrogen (1) for maser [curve (4)]. Curves (2) and (3) are the frequency stabilities for the 10- and 100-MHz cryoCSO/synthesizers, respectively. This calculated data was interpolated to the measured comparison data between the hydrogen maser and the cryoCSO/synwhere the thesizer (curve (1) in both Figs. 6 and 7) for noise contribution is assumed to be largely due to the cryoCSO. As a result, we were able to curve fit to the data of curves (2) and (3), in Fig. 7, resulting in flicker floors estimated to be about (10 MHz) and 3 10 (100 MHz) at integration 4 10 times around 1000 s. These flicker floors cannot be well specified due to insufficient data. However, since we only have one cryoCSO, we were not able s s. A second to directly measure its stability for s cryocooled oscillator is necessary to do this. The fits (curves (2) and (3) in Fig. 7) represent the expected, yet optimistic, total stability of the synthesizer using the cryoCSO and may be described by
(3) and (4) where the subscripts represent the particular output frequency of the synthesizer in megahertz.
The cryoCSO signal frequency is subject to ambient pressure and temperature changes in the laboratory, though the laboratory temperature is stable to 0.1 C. These changes translate into temperature and pressure changes within the cryostat. The design of the cryostat has a mixed liquid-helium-gas space. (For a schematic of the cryostat, see [5, Fig. 1].) This refers to the region where the cryocooler condenser constantly re-liquefies a small quantity of helium gas in a closed system. It has been found that best operating condition in this helium gas space is where the pressure is maintained as low as possible. Curve (1) in both Figs. 6 and 7 shows a comparison at 100 MHz of the cryoCSO/synthesizer compared to our hydrogen maser. This data was taken over a period of about two weeks after the cryoCSO had been continuously operating for about nine months. It has been observed, from startup, that the data exhibit a long-term trend of exponentially decreasing frequency drift. This measurement represents the quietest data segment in terms of low-frequency drift that we have taken to date. The solid line [labeled (5)] in Fig. 6 is a fit used to day assuming drift domdetermine the drift rate of 8 10 inates over a random walk of frequency. As the cryoCSO was improved on, a 4-K radiation shield reduced thermal gradients [5] and reduced frequency drift but the origin of the remaining frequency drift has yet to be determined. The normal operating pressure inside the helium gas space is about 46 kPa. The helium gas is constantly re-liquefied by the cryocooler condenser maintaining this. If power is shut off to the compressor, for example, in the event of a power failure, the pressure in this region will begin to rise. This was observed, when, due to a maintenance issue, the power to the whole laboratory was shut off for about 20 min. After the power was restored, the pressure stabilized to its normal condition within 1 h and the oscillator started automatically and remained functioning. Subsequently we measured the time evolution of the cryoCSO frequency after this event. This was measured by downconverting the 11.202-GHz signal of the cryoCSO to approximately 2 MHz with a 11.200-GHz signal produced from a higher order harmonic of a step-recovery diode driven with a doubled 100-MHz output of our hydrogen maser. A similar technique was used in [12]. The 2-MHz output from the mixer was filtered and directly counted with an Agilent 53132A counter with a 10-s gate time. This method was necessary to obtain a time series of the evolution of the beat between the cryoCSO and the microwave reference signal from our hydrogen maser. See Fig. 8 for the fractional frequency offset from the moment the cryoCSO oscillator started up again. The drift rate is negative and decreasing. The best fit to seven days of data is described by (5)
2984
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE II ALLAN DEVIATION OF REFERENCE SIGNALS AND CALCULATED COHERENCE FOR AN OBSERVING FREQUENCY OF 345 GHz WITH THE CryoCSO AND A HIGH-PERFORMANCE HYDROGEN MASER
Fig. 8. Evolution of the measured fractional frequency of the cryoCSO compared with that of a hydrogen maser up to seven days after the power to the laboratory was interrupted then restored (data are averages over 1000 s). The solid line is the best exponential fit to the data.
where and days. By the seventh day, (5) represents a decreasing fractional frequency day. Since we drift in the cryoCSO frequency of 2.7 10 observed the decreasing exponential frequency drift for many months from the initial startup, after cooling from room temperature, it cannot be attributed to a change in pressure in the helium gas space. That quickly stabilizes in a matter of hours. The frequency stability calculated from the time series data is shown in Fig. 6 [curve (4)] with error bars. The exponential frequency drift (5) was removed before the Allan deviation was calculated. The multiplication process via the step-recovery diode used to generate the 11.2 GHz from the 100-MHz hydrogen maser signal and amplification adds some noise, and also there seems to be an unknown temperature dependence there as well. Nevertheless, the stability calculated from the seven days of data of Fig. 8 shows very good reproducability of the cryoCSO performance even after a 20-min power interruption. IV. VLBI COHERENCE In VLBI radio astronomy, the coherence integration time , is defined as
, a function of
(6) where is the phase difference between the two stations forming the interferometer. Considering only the phase difference due to the stability of the frequency standards used, the for an integration time value of the coherence function (henceforth referred to as coherence time) is a good figure of merit and can be estimated from [20], [21]
(7) where is the angular frequency of the local oscillator (equal to is the local the frequency of the astronomical source) and reference signal Allan variance at integration time . The sum
, which inside the square brackets should converge as would be true for frequency standards limited by white phase noise. With the standards used here, this is not actually the case and the expression must be cut off at some point. In our case, it at 345 GHz and at 230 and was sufficient to use 100 GHz for calculations with all frequency standards. See [21] for further details. For a particular standard at a given observing frequency, the is proportional to coherence function has the range 0–1. 3 , there is no the fringe amplitude and when loss of coherence. The coherence time is approximately the time approaches 1. for which the coherence function From a qualitative point of view, the coherence time is shorter at higher observing frequencies. Therefore, the stability of the local reference will impact more strongly at higher frequencies over the shorter averaging times. Hence, for millimeter-wave observations, the stability of the local oscillator becomes very important assuming the seeing conditions (the atmosphere) is not the limitation. Using the analytical expressions (3) and (4), obtained from data, in Fig. 7, we nufits to our measured stability merically calculated the coherence function from (7). Hence, was determined using our 10- and 100-MHz references, multiplied up to millimeter-wave frequencies of 100, 230, and 345 GHz. It is assumed that the same performance local oscillator is used on each end of the interferometer and that the multiplication process does not add any noise. The results are listed for 345 GHz in Table II along with the oscillator stability at fixed integration times and compared to that calculated from a very high-performance hydrogen maser. A typical value of the T-4 Science H-maser4 has been assumed. The frequency stability for that maser is shown as curve (4) in Fig. 7. 3The measure of fringe amplitude and phase can be directly related to the (complex) Fourier component of the object brightness distribution that is under observation. While interference fringes contain both amplitude and phase information, most interferometric results published to date focus solely on the amplitude data. This is because atmospheric turbulence corrupts the observed fringe phases, rendering them almost useless by themselves. [Online]. Available: www.vlti.org/events/assets/1/proceedings/1.5_Monnier.pdf 4[Online]. Available: www.t4science.com/documents/iMaser_Stability_ 3-Cornered_Hat_Method.jpg
NAND et al.: ULTRA-STABLE VERY-LOW PHASE-NOISE SIGNAL SOURCE FOR VLBI USING cryoCSO
Fig. 9. Ratio of the coherence function calculated for both the 10- and 100-MHz outputs of the cryoCSO/synthesizer with that for the hydrogen maser data. The stability of the hydrogen maser is the same for both the 10- and 100-MHz outputs.
Similarly, the coherence function was calculated using the stability data for this hydrogen maser (with the same stability at both 10 and 100 MHz) where the same assumption was made as above. The resulting coherence function is then derived from eicompared by plotting the ratio of ther the 10- or 100-MHz synthesizer with that when the hydrogen maser is used. The results are shown in Fig. 9, and show that the calculated coherence function is greater when using the 100-MHz reference due to its better short-term stability. The references synthesized from the cryoCSO are significantly more frequency stable than those from a hydrogen maser, especially the 100-MHz output. The latter offers improvements above 200% in the value of the coherence function at observing frequencies of 345 GHz at coherence times near 10 s, assuming that the frequency reference is the limitation. It is apparent from Fig. 9 that at observing frequencies less than 100 GHz, there is only a small advantage in using the cryoCSO. It should be noted that where the decoherence effects of the atmosphere can be avoided, or compensated for, there is a clear advantage in integrating the signals for 1 h or more. This is where the cryoCSO offers a big advantage over the hydrogen maser, especially for millimeter-wave VLBI. V. CONCLUSION Two nominally identical frequency synthesizers based on low-phase-noise digital dividers and a DDS have been constructed and their performance evaluated. The reference signals at 10 and 100 MHz were synthesized from a cryoCSO and their phase noise and stability measured. The synthesizer residual single sideband phase noise, at 1-Hz offset, on 10- and 100-MHz signals was 135 and 130 dBc/Hz, respectively. The frequency stability contributions of these two signals was and , respectively, at 1-s integration time. As such, the fractional frequency noise on 100-MHz output, at short integration times, is only about a
2985
factor of 2 greater than that of the cryocooled oscillator itself. The estimated total frequency stabilities of the new references are significantly better than those for the same output frequencies from a very high performance hydrogen maser. From these measurements, we calculated the coherence function, a figure of merit, for millimeter-wave VLBI radio astronomy. The references synthesized from the cryoCSO offer improvements in terms of the coherence function of the order of 200% or more, where one is able to average the signal for several hours, at observing frequencies well above 100 GHz. The cryoCSO has the potential to replace the hydrogen maser as the low-noise frequency stable reference for millimeter-wave VLBI radio astronomy. There is still further room for improvement with the current synthesizer design: by using a broader locking bandwidth in the PLL on the 100-MHz quartz and by using lower noise frequency dividers. In addition, as suggested by one of the reviewers, the cryoCSO/synthesizer developed here could serve as a central variable reference for the photonic local oscillator of the Atacama Large Millimeter/sub-millimeter Array (ALMA) [22]. ACKNOWLEDGMENT The authors would like to thank A. E. E. Rogers and S. S. Doeleman, both with the MIT Haystack Observatory, Westford, MA, for useful discussions and help, M. E. Tobar, University of Western Australia, Crawley, WA, Australia, for useful suggestions, and especially M. Lours, Laboratoire National de Métrologie et d’Essais Sytèmes de Référence Temps Espace (LNE–SYRTE), Observatoire de Paris, Centre National de la Recherche Scientifique (CNRS), Université Pierre et Marie Curie (UPMC), for providing some necessary control circuits. REFERENCES [1] S. Doeleman, E. Agol, D. Backer, F. Baganoff, G. C. Bower, A. Broderick, A. Fabian, V. Fish, C. Gammie, P. Ho, M. Honma, T. Krichbaum, A. Loeb, D. Marrone, M. Reid, A. E. E. Rogers, I. Shapiro, P. Strittmatter, R. Tilanus, J. Weintroub, A. Whitney, M. Wright, and L. Ziurys, “Imaging an event horizon: Submm-VLBI of a super massive black hole,” 2009. [Online]. Available: http://arxiv.org/ftp/arxiv/papers/0906/0906.3899.pdf, Sci. White Paper submitted to the ASTRO2010 Decadal Rev. Panels [2] S. S. Doeleman, J. Weintroub, A. E. E. Rogers, R. Plambeck, R. Freund, R. P. J. Tilanus, P. Friberg, L. M. Ziurys, J. M. Moran, B. Corey, K. H. Young, D. L. Smythe, M. Titus, D. P. Marrone, R. J. Cappallo, D. C.-J. Bock, G. C. Bower, R. Chamberlin, G. R. Davis, T. P. Krichbaum, J. Lamb, H. Maness, A. E. Niell, A. Roy, P. Strittmatter, D. Werthimer, A. R. Whitney, and D. Woody, “Event-horizon-scale structure in the super massive black hole candidate at the galactic centre,” Nature, vol. 455, pp. 78–80, Sep. 2008. [3] M. Rioja and R. Dodson, “High-precision astrometric millimeter very long baseline interferometry using a new method for atmospheric calibration,” Astron. J., vol. 141, pp. 114–128, 2011. [4] J. G. Hartnett, N. R. Nand, C. Wang, and J.-M. Le Floch, “Cryogenic sapphire oscillator using a low-vibration design pulse-tube cryocooler: First results,” IEEE Trans. Ultrason. Ferroelect. Freq. Control, vol. 57, no. 5, pp. 1034–1038, May 2010. [5] J. G. Hartnett and N. R. Nand, “Ultra-low vibration pulse-tube cryocooler stabilized cryogenic sapphire oscillator with 10 fractional frequency stability,” IEEE Trans. Microw. Theory Tech., vol. 58, no. 12, pp. 3580–3586, Dec. 2010. [6] S. Chang, A. Mann, and A. Luiten, “Improved cryogenic sapphire oscillator with exceptionally high frequency stability,” Electron. Lett., vol. 36, no. 5, pp. 480–481, 2000.
2986
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
[7] J. G. Hartnett, C. R. Locke, E. N. Ivanov, M. E. Tobar, and P. L. Stanwix, “Cryogenic sapphire oscillator with exceptionally high long-term frequency stability,” Appl. Phys. Lett., vol. 89, no. 20, 2006, Art. ID 203513. [8] C. R. Locke, E. N. Ivanov, J. G. Hartnett, P. L. Stanwix, and M. E. Tobar, “Design techniques and noise properties of secondary frequency standards based on cryogenically cooled sapphire dielectric resonators,” Rev. Sci. Instrum., vol. 79, no. 5, 2008, Art. ID 051301. [9] S. Grop, P.-Y. Bourgeois, N. Bazin, Y. Kersalé, E. Rubiola, C. Langham, M. Oxborrow, D. Clapton, S. Walker, J. D. Vicente, and V. Giordano, “ELISA: A cryocooled 10 GHz oscillator with 10 frequency stability,” Rev. Sci. Instrum., vol. 81, no. 2, 2010, Art. ID 025102. [10] S. Grop, P.-Y. Bourgeois, R. Boudot, Y. Kersalé, E. Rubiola, and V. Giordano, “10 GHz cryocooled sapphire oscillator with extremely low phase noise,” Electron. Lett., vol. 46, no. 6, pp. 420–422, 2010. [11] D. Chambon, M. Lours, F. Chapelet, S. Bize, M. E. Tobar, A. Clairon, and G. Santarelli, “Design and metrological measures of microwave synthesizers for atomic fountain frequency standard,” IEEE Trans. Ultrason. Ferroelect. Freq. Control, vol. 54, no. 4, pp. 729–735, Apr. 2007. [12] D. Chambon, S. Bize, M. Lours, F. Narbonneau, H. Marion, A. Clairon, G. Santarelli, A. Luiten, and M. Tobar, “Design and realization of a flywheel oscillator for advanced time and frequency metrology,” Rev. Sci. Instrum., vol. 76, no. 9, 2005, Art. ID 094704. [13] R. Boudot, S. Guérandel, and E. de Clercq, “Simple-design low-noise nltl based frequency synthesizers for a CPT Cs clock,” IEEE Trans. Instrum. Meas., vol. 58, no. 10, pp. 3659–3665, Oct. 2009. [14] S. Doeleman, T. Mai, A. E. E. Rogers, J. G. Hartnett, M. E. Tobar, and N. Nand, “Adapting a cryogenic sapphire oscillator for very long baseline interferometry,” Pub. Astronom. Soc. of the Pacific, vol. 123, no. 903, pp. 582–595, 2011. [15] C. Wang and J. G. Hartnett, “A vibration free cryostat using pulse tube cryocooler,” Cryogenics, vol. 50, pp. 336–341, 2010. [16] “Crystal oscillators 30 to 130 MHz Sprinter j OCXO,” Wenzel Assoc. Inc., Austin, TX. [Online]. Available: [Online]. Available: www.wenzel.com/pdffiles1/Oscillators/sprinter_30_to_130.pdf [17] E. N. Ivanov, S. A. Diddams, J. J. McFerran, and L. Hollberg, “Noise properties of microwave signals synthesized with femtosecond lasers,” IEEE Trans. Ultrason. Ferroelect. Freq. Control, vol. 54, no. 4, pp. 736–745, Apr. 2007. [18] S. Stein, “The Allan variance-challenges and opportunities,” IEEE Trans. Ultrason. Ferroelect. Freq. Control, vol. 57, no. 3, pp. 540–547, Mar. 2010. [19] E. Rubiola, Phase Noise and Frequency Stability in Oscillators. Cambridge, U.K.: Cambridge Univ. Press, 2009. [20] A. R. Thompson, J. M. Moran, and G. W. Swenson, Jr, Interferometry and Synthesis in Radio Astronomy, 2nd ed. New York: Wiley, 2004. [21] A. E. E. Rogers and J. M. Moran, Jr, “Coherence limits for very-longbaseline interferometry,” IEEE Trans. Instrum. Meas., vol. IM-30, no. 4, pp. 283–286, Dec. 1981. [22] S. Doeleman, J. Webber, R. Lacasse, R. Escoffier, M. Inoue, W. Alef, M. Honma, N. Nagar, A. Baudry, J. Kern, J. Greenberg, A. Saez, G. Crew, and R. Cappallo, “An ALMA beamformer for VLBI and phased array science,” in XXX Gen. Assembly and Sci. Symp. Int.–URSI, Istanbul, Turkey, Aug. 13–20, 2011. [Online]. Available: http://ursigass2011.org/abstracts/ursi/JP1-12.pdf, 4 pp.
>
>
Nitin R. Nand received the B.Sc. degree in physics and chemistry, a postgraduate diploma in physics, the M.Sc. degree in applied nuclear physics from the University of the South Pacific (USP), Suva, Fiji, in 1995, 1996, and 2002, respectively, the M.Phil. degree in theoretical condensed matter physics from Massey University, Massey, New Zealand, in 2007, and is currently working toward the Ph.D. degree at the University of Western Australia, Crawley, W.A., Australia. He is currently with the Frequency Standards and Metrology Research Group, University of Western Australia, where his project
concerns the development of an ultra-stable CSO based on a low-vibration pulse-tube cryocooler system and a low-noise frequency synthesizer for VLBI applications.
John G. Hartnett receivedthe B.S. (first-class hons) and Ph.D. (with distinction) degrees from the University of Western Australia (UWA), Crawley, W.A., Australia. He is currently a Research Professor with the Frequency Standards and Metrology Research Group, UWA. His research interests include the development of ultra-stable microwave oscillators based on sapphire resonators and tests of fundamental theories of physics such as special and general relativity using precision oscillators. Prof. Hartnett is an associate editor for the IEEE TRANSACTIONS ON ULTRASONICS, FERROELECTRICS, AND FREQUENCY CONTROL. He was the recipient of the 2010 IEEE Ultrasonics, Ferroelectrics, and Frequency Control (UFFC) Society W. G. Cady Award. He was a corecipient of the 1999 Best Paper Award presented by the Institute of Physics Measurement Science and Technology.
Eugene N. Ivanov received the Ph.D. degree in radiophysics from the Moscow Power Engineering Institute, Moscow, Russia, in 1987. In 1991, he joined the Physics Department, University of Western Australia, Crawley, W.A., Australia, where he was involved in construction of the cryogenic gravitational wave detector “Niobe.” Since 1994, he has been involved with applications of interferometric signal processing to generation of spectrally pure microwave signals and precision noise measurements. This research resulted in the development of ultra-low phase-noise microwave oscillators. It also enabled “real time” noise measurements with spectral resolution exceeding the standard thermal noise limit. From 2000 to 2011, he was a Visiting Scientist with the National Institute of Standards and Technology (NIST), Boulder, CO. He studied noise properties of microwave signals extracted from the optical sources and identified a number of noise mechanisms degrading fidelity of frequency transfer from the optical to the microwave domain. Dr. Ivanov was a recipient of the 1994 Japan Microwave Prize, the 2002 IEEE IEEE Ultrasonics, Ferroelectrics, and Frequency Control (UFFC) Society W. G. Cady Award, the 2005 European Frequency and Time Forum Best Paper Award, and the 2010 American Physical Society J. F. Keithley Award.
Giorgio Santarelli was born in Geneva, Switzerland, on April 7, 1965. He received the Laura in Ingegneria Elettronica degree from the University of Ancona, Ancona, Italy, in 1990, and the Ph.D. degree from the Université Pierre et Marie Curie, Paris VI, France, in 1996. Since 1991, he has been a member of the research staff of the Laboratoire National de Métrologie et d’Essais Sytèmes de Référence Temps Espace (LNE–SYRTE), Observatoire de Paris (formerly the Bureau National de Metrologie (BNM)–Laboratoire Primaire du Temps et des Fréquences), Paris, France. He has authored or coauthored over 70 papers. He holds two patents. His research interests are very-low phase-noise frequency synthesis, cold-atom frequency standards, long-distance optical-fiber frequency dissemination, ultra-stable lasers, and femtosecond laser combs. Dr. Santarelli is an associate editor for the IEEE TRANSACTIONS ON ULTRASONICS, FERROELECTRICS, AND FREQUENCY CONTROL. He is chair on the Executive Committee of the European Frequency and Time Forum.
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
2987
Frequency-Tunable Microwave Generation Based on Time-Delayed Optical Combs Montasir Qasymeh, Wangzhe Li, Student Member, IEEE, and Jianping Yao, Senior Member, IEEE
Abstract—A novel approach to generating a frequency-tunable microwave signal based on time-delayed optical combs is proposed and demonstrated. The fundamental principle is to generate multiple optical combs with identical comb profile, but with each optical comb carried by an optical carrier at a different wavelength. If the optical carriers are spaced with an identical wavelength spacing, the optical combs will be time delayed with an identical time delay after passing through a dispersive fiber. By applying these optical combs to a photodetector, microwave comb lines at the fundamental-order and higher order harmonic frequencies will be generated. For a well-designed time-delay structure, however, the desired microwave harmonic will have the highest output due to constructive interference, while the other harmonics will be suppressed. An analysis is performed, which is verified by a proof-of-concept experiment. A microwave signal that is tunable from 16.8 to 27 GHz is generated. The performance of the generated signal in terms of stability and phase noise is also evaluated. Index Terms—Microwave generation, microwave photonics, optical comb, terahertz generation.
I. INTRODUCTION HOTONIC microwave generation has several advantages over conventional electronic techniques [1], [2], such as high frequency and wide frequency tunability. In addition, due to the extremely low loss of the state-of-the-art fibers, a microwave signal can be distributed over an optical fiber over a long distance, which can find applications such as antenna remoting and broadband wireless access. Numerous techniques for generating microwave signals by optical means have been reported. Typically, the schemes are implemented to ultimately producing two optical waves of different wavelengths, and a microwave is generated by beating the two optical waves at a photodetector (PD). These include utilizing two different light sources [3], employing nonlinear devices [4], and utilizing external optical modulation [5]. It is known that utilizing two independent light sources will produce a microwave signal with a high phase noise. Several proposals were reported to solve this problem, including employing a
P
Manuscript received April 28, 2011; revised July 31, 2011; accepted August 15, 2011. Date of publication September 26, 2011; date of current version November 16, 2011. This work was supported in part by MITACS under the MITACS Elevate Program and by the Natural Science and Engineering Research Council of Canada (NSERC). The authors are with the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada K1N 6N5 (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2165963
dual-wavelength single-longitudinal-mode laser source and two phase-locked laser sources through an optical phase-locked loop [6] or through optical injection locking [7]. However, these approaches are expensive and complicated [1]. Optical nonlinear process, on the other hand, could also be utilized to generate high quality microwave signals. For example, microwave generation based on four-wave-mixing (FWM) utilizing a highly nonlinear fiber [4] or a semiconductor optical amplifier (SOA) [8] was proposed. Nonetheless, the associated ultra-low conversion efficiency imposes a severe limitation. Alternatively, external optical modulation is a promising solution for generating high-quality microwave signals, due to the high performance in terms of tunability, stability, and simplicity. However, the achieved multiplication factor, defined as the ratio between the generated and the input microwave frequencies, is limited. For instance, a frequency octupling (a multiplication factor of 8) was achieved based on two cascaded Mach–Zehnder modulators (MZMs) [9]. Furthermore, a multiplication factor of 12 was recently reported based on a joint operation of polarization modulation, four-wave mixing, and stimulated-Brillouin-scattering-assisted filtering [10]. On the other hand, a much greater multiplication factor could be achieved utilizing an optical comb [11]–[13], in which two narrowband optical filters were utilized to select two of the optical comb lines. The multiplication factor can be as large as 50, only limited by the bandwidth of the PD. The major limitation of this approach is that the two optical filters must be extremely stable with an ultra-narrow bandwidth to ensure a correct wavelength selection, which would make the system very complicated and costly. In addition, the tuning of the microwave frequency is achieved by tuning of the optical filters, which again increases the system complexity and cost. In this paper, we propose a novel approach to generating a microwave signal utilizing time-delayed optical combs without using optical or microwave filters. The proposed approach is frequency tunable, and can potentially achieve a very large multiplication factor. The fundamental principle is to generate multiple optical combs with an identical comb profile, but each optical comb is carried by an optical carrier at a different wavelength. If the optical carriers are spaced with an identical wavelength spacing, the optical combs will be time delayed after passing through a dispersive fiber, with each microwave comb copy at the output of the PD having different phase shift. Consequently, the microwave-comb copies will interfere. For a welldesigned time-delay structure, the desired microwave harmonic will have the highest output due to constructive interference while the other harmonics will be suppressed. An analysis is performed, which is verified by a proof-of-concept experiment.
0018-9480/$26.00 © 2011 IEEE
2988
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
optical comb lines, the carriers. For a flat comb with at the output of the optical comb is given by optical field
(2) Fig. 1. Structure for microwave or terahertz-wave generation based on time-delayed optical combs. Tunable laser source: TLS. Optical coupler: OC. Intensity modulator: IM. Phase modulator: PM. Photodetector: PD. RF phase shifter: PS.
A microwave signal that is tunable from 16.8 to 27 GHz is generated. The performance of the generated signal in terms of stability and phase noise is also evaluated. The frequency of the generated microwave signal can be extended to the sub-terahertz range by simply utilizing a faster PD. This paper is organized as follows. In Section II, a theoretical analysis is presented. The generation of a microwave signal with different numbers of optical carriers and comb lines are simulated. In Section III, a proof-of-concept experiment is presented. A tunable microwave signal from 16.8 to 27 GHz is generated and its phase-noise performance is evaluated. In Section IV, a conclusion is drawn.
The transfer function of the dispersive fiber is given by
where ps km and are the dispersion coefficient and the length of the fiber, respectively. at the output of the dispersive fiber is The optical field thus given by
(3) The current at the output of the PD is
II. PRINCIPLE The proposed structure is shown in Fig. 1. As can be seen, multiple optical carries, spaced with an identical wavelength spacing, are sent to an optical comb generator to generate multiple optical combs. Here, an intensity modulator (IM) and a phase modulator (PM) in cascade are used to form an optical comb generator [14]. A dispersive fiber is then used to provide a wavelength-dependant time delay. Consequently, the multiple time-delayed optical combs are sent to a PD to generate a microwave signal. Indeed, the proposed structure in Fig. 1 is similar to the structure of a photonic microwave delay-line filter [15]. It is known that a photonic microwave delay-line filter generates multiple time-delayed copies of the driving microwave signal [16], [17]. Due to constructive and destructive interferences, a periodic spectral response is generated. For the same filter structure, if the microwave signal is multiple time-delayed microwave combs, only the comb line with its frequency corresponding to the constructive interference will be obtained at the output of the system and the other comb lines will be suppressed. The key advantage of this system is that the photonic microwave delay-line filter is tunable, which enables the generation of a frequency tunable microwave or sub-terahertz wave. This is the key motivation of using a photonic microwave delay-line filter structure for frequency-tunable microwave generation. at the output of the optical coupler is The optical field given by
(4) where is the responsivity of the PD. Here, we consider a case where the frequency spacing between any two optical carriers is larger than the PD bandwidth. Thus, the beating between any two optical carriers will not be detected by the PD. We further consider that this condition is also applied to the comb lines of the optical combs carried by different optical carriers. Mathematically, this condition is expressed as (5) where , is again the number of the optical carriers, and is the bandwidth of the PD. It then follows that the PD current is given by
.. .
(1) and are the amplitude and frequency of the th where optical carrier, respectively, and is the total number of optical
(6)
QASYMEH et al.: FREQUENCY-TUNABLE MICROWAVE GENERATION
2989
As can be seen from (6), each optical carrier generates precisely the same microwave components, but with different phase shifts. The microwave components at the same frequency will interfere either constructively or destructively, depending on the relative phase shifts, which can be controlled by adjusting the wavelength spacing of the optical carriers or the dispersion of the dispersive fiber. Note that the number of the detected microwave components, for a given optical comb, is limited by the PD bandwidth. The structure shown in Fig. 1 is in fact a photonic microwave delay-line filter if the optical comb generator is replaced by an IM that is biased at the quadrature point (while holding all parameters, as in Fig. 1). The transfer function of the associated photonic microwave delay-line filter is given by (7) where is again the total number of the optical carriers, and and are the th optical carrier and input microwave frequencies, respectively. Note that the use of a multiwavelength source and a dispersive fiber to form a photonic microwave delay-line filter for the generation of an arbitrary waveform has recently been proposed [18]. A simulation of the proposed system is performed, in which , and the number the number of optical comb lines is 17 of optical carriers are one, three, and ten. In the simulation, the frequency of the input microwave drive signal is 6 GHz, the optical wavelength spacing is 0.171 nm, and the length of a dispersive fiber is 16 km and the dispersion coefficient is ps km. The amplitude distributions of the generated microwave harmonics are shown in Fig. 2. Assume that the microwave signal to be generated is the th harmonic, the electrical spurious suppression ratio (SSR), defined as the ratio between the amplitude of the th harmonic and the highest amplitude of the other harmonics, is the largest for the case where ten optical carriers are employed. The reason of the largest SSR is that the employment of ten optical carriers makes the photonic microwave delay-line filter have the best frequency selectivity. The frequency of the generated microwave signal can be tuned by adjusting either the modulation frequency or the carrier wavelength spacing. For example, in Fig. 2, considering the same modulation frequency of 6 GHz and ten optical carries, the multiplication factor can be tuned to be 15, 16, 14, 13, 12, and 6 by adjusting the wavelength spacing at 0.171, 0.1197, 0.1368, 0.1454, 0.1077, and 0.1112 nm, respectively. Note that the maximum multiplication factor can be further increased by increasing the number of the optical comb lines, which is readily achievable. Thus, the multiplication factor is limited only by the bandwidth of the PD. The frequency responses of the associated photonic microwave delay-line filter with three and ten optical carriers are shown in Fig. 3. It is clearly seen that the filter with ten optical carriers has better frequency selectivity than that with three optical carriers. By controlling the relative time delay via adjusting the wavelength spacing of the optical carriers or the dispersion of the
Fig. 2. Simulated amplitude distributions of the generated microwave harmonics. (top) Proposed system has one, three, or ten optical carriers, but with L . (top/middle) Proposed system has one optical carrier with L km. (bottom/middle) Three and (bottom) ten optical carriers both with L km. Each optical carrier carries 17 optical comb lines. The wavelength spacing is 0.171 nm, the dispersion coefficient is 20 ps =km, and the frequency of the microwave drive signal is 6 GHz.
=0
= 16 = 16
Fig. 3. Simulated frequency responses of the associated photonic microwave filter with three and ten optical carriers.
dispersive fiber, the peak of the spectral response of the microwave delay-line filter can be tuned to select the desired harmonic while suppressing other harmonics to ensure a largest SSR. It is possible to tune the wavelength spacing such as the first passband of the spectral response of the associated photonic microwave delay-line filter is located at the position as the desired harmonic, while other harmonics are within the stopband. This approach, however, is convenient only for a system incorporating a large number of optical carriers. For example, for an integrated version of the proposed system, in which a large number of semiconductor laser diodes can be integrated with a PM and an IM and cascaded with a PD via a dispersive waveguide. Nonetheless, for a discrete version of the system, the number of the laser sources is limited, and thus, a wide bandwidth is expected for a large free spectral range (FSR). In this case, an alternative solution to have a good selectivity of the desired harmonic is to use less optical carriers, but smaller FSR, as shown in Fig. 3. We choose the FSR to make one passband
2990
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Fig. 4. Photograph of the experimental system. Optical spectrum analyzer: OSA. Tunable laser sources: TLS. Polarization controllers: PLC. Intensity modulator and phase modulator: IM and PM. RF generator: RFG. Optical amplifier: OA. RF phase shifter: PS. Microwave network analyzer: MNA. Optical fiber: OF. Photodetector: PD. Microwave spectrum analyzer: MSA. Signal source analyzer: SSA.
Fig. 5. Experimentally generated optical comb at .
located at the frequency of the desired harmonic, but the nulls at the nondesired harmonics. This implies the following condition: (8) is the desired where is the number of the passband, and multiplication factor. For example, in the simulations presented GHz, it can be easily in Figs. 2 and 3, where shown that the only peak number and multiplication factor that and . This satisfy the condition given in (8) are means that the fifteenth harmonic is selected by the eighth passband and the other harmonics do not fall in any of the passbands and will be suppressed. Fig. 6. Optical combs at , , and .
III. EXPERIMENT A proof-of-concept experiment is performed based on the setup shown in Fig. 1. A photograph of the experimental system is shown in Fig. 4. In the experiment, the photonic microwave delay-line filter is implemented with three taps by using three optical carriers. nm, The wavelengths of the optical carriers are nm, and nm with a wavelength spacing of 3.7 nm. An IM and a PM (both with a bandwidth of 20 GHz) are cascaded to form an optical comb generator. A tunable RF phase shifter (PS) is utilized to ensure the generation of a flat optical comb. A single-mode fiber (SMF) of a length km and ps km is used as a dispersive of element. A PD with a bandwidth of 30 GHz is utilized to detect the microwave signal. The generated optical comb at the optical carrier is shown in Fig. 5. Here, the microwave drive signal with a frequency of GHz is applied to the IM and PM, as shown in Fig. 1. An RF amplifier is used to increase the power of the microwave drive signal to the IM to generate a higher number of optical comb lines. The optical combs carried by the three optical carriers at , , and are shown in Fig. 6. Since the wavelength spacing is
3.7 nm, which corresponds to a beat frequency of 461.6 GHz, it is too high to be detected by the PD. Therefore, the condition in (5) (i.e., the beating between any two different optical carriers or between any two optical comb lines from different optical carriers cannot be detected) is guaranteed. We first show the operation of the system when only a single optical carrier is employed. Since only a single carrier is employed, the photonic microwave delay-line filter has a single tap; therefore, the frequency response is flat with no frequency selectivity. Fig. 7 shows the generated microwave signal when only is on while the other two carriers a single optical carrier at are off. Here, limited by the bandwidth of the PD, up to the fifth harmonic are detected. In addition to the third-order harmonic at 16.8 GHz generated, other harmonics are also generated. As can be seen, despite the flat optical comb, the microwave harmonics do have a shape. This is because of the interference of the comb lines within an optical comb due to fiber dispersion. We then switch on all the three optical carriers. The photonic microwave delay-line filter has three taps. Fig. 8 shows the detected microwave signal when all the three optical carriers are on. As can be seen, only the third harmonic at 16.8 GHz is detected. This is because the frequency response of the filter is designed such that the frequency corresponding to the third-order
QASYMEH et al.: FREQUENCY-TUNABLE MICROWAVE GENERATION
2991
Fig. 7. Detected microwave signal when only one optical carrier is on. Fig. 9. Frequency response of the associated photonic microwave delay-line filter. The frequency response is designed such that the third-order harmonic is selected while the first- and the second-order harmonics are suppressed.
Fig. 8. Detected microwave signal when the three optical carriers are on.
harmonic has a resonance peak and the other harmonics are suppressed. To better explain the result shown in Fig. 8, we perform a measurement of the frequency response of the associated photonic microwave delay-line filter. To do the measurement, all parameters of the system are kept the same, except that the PM is removed, and the spectral response is measured by a vector network analyzer. As can be seen in Fig. 9, the frequency of the third-order harmonic is located at the peak of the frequency response of the associated photonic microwave delay-line filter, while the frequencies of the first- and the second-order harmonics are located at the nulls of the frequency response of the associated photonic microwave delay-line filter. This explains the suppression of the first- and the second-order harmonics, which is confirmed by the experimental result in Fig. 8. The measurement in Fig. 9 is limited to 20 GHz because of the limited bandwidth of the used IM. We note also that the used vector network analyzer has a dc block, which explains the drop in the frequency response at dc, and that the connecting wires have a large attenuation at high frequencies, which explains the attenuation at high frequencies. We note that the power of the generated microwave signal in Fig. 8 is a little lower than that of the same microwave signal in Fig. 7 where only one carrier is used. This lower power is due to
Fig. 10. Zoom-in view of the generated microwave when three optical carriers are employed. Here the frequency of the microwave drive signal is 5.6 GHz.
the frequency of the generated microwave signal is not precisely located at the peak of the frequency response of the associated photonic microwave delay-line filter. The quality of the generated microwave signal is evaluated. Since the generated microwave signal is generated by the beating of the optical comb lines within the same optical comb, the quality of the generated signal is determined by the quality of the microwave drive signal [19]. Fig. 10 shows a zoom-in view of the generated microwave signal. It can be seen that the 20-dB bandwidth is as small as 100 Hz, confirming the high quality of the generated microwave signal. To further evaluate the quality of the generated microwave signal, the phase noise is measured, as shown in Fig. 11. Here, the phase noise of the microwave drive signal is also shown as a comparison. It is known that the phase-noise degradation for is given by . Since the a multiplication factor of multiplication factor is 3, the degradation is 9.5 dB, which is confirmed by the phase-noise measurement in Fig. 11 [19]. Note that the phase-noise degradation of the generated microwave 10 Hz is more than signal at higher offset frequencies
2992
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
The stability of the operation is also studied. To do so, we allow the system to operate in a room environment for 3 h. No visible changes in the microwave power are observed. The excellent stability is mainly due to the fact that the system has a structure with a finite impulse response (FIR), which enables a stable operation. In addition, the IM is biased at the quadrature point, which makes the system less sensitive to bias drift. IV. CONCLUSION
Fig. 11. Phase noise of the generated signal (shown in Fig. 7) and the derive signals.
Fig. 12. Generated microwave signals. (a) One optical carrier and the frequency of the microwave drive signal is 7.8 GHz. (b) One optical carrier and the frequency of the microwave drive signal is 9 GHz. (c). Three optical carriers and the frequency of the microwave drive signal is 7.8 GHz. (d) Three optical carriers and the frequency of the microwave drive signal is 9 GHz.
9.5 dB, which has resulted due to the additional phase noise from the generation system. The tunability of the system is also investigated. The tunability can be realized by either adjusting the modulation frequency or the wavelength spacing. For fine tuning, both the modulation frequency and the wavelength spacing should be adjusted. Fig. 12 shows the generated microwave signals when the frequency of the microwave drive signal is tuned at 7.8 and 9 GHz, while all other parameters are kept unchanged. For the two cases, one optical carrier and three optical carriers are employed. As can be seen, when one optical carrier is employed, although a microwave signal at the third-order harmonic, 23.4 and 27 GHz, is generated, the SSR is small since other harmonics are not sufficiently suppressed, as shown in Fig. 12(a) and (b). When the three optical carriers are all on, due to the selectivity provided by the photonic microwave delay-line filter, a microwave signal at the third-order harmonic is generated and other harmonics are suppressed, as shown in Fig. 12(c) and (d). A high SSR is maintained.
We have proposed and experimentally demonstrated a novel method to generate a frequency-tunable microwave signal based on time-delayed optical combs. The fundamental operation of the system is to employ an optical delay-line structure to form a photonic microwave delay-line filter to select one of the beat frequencies without the need of optical or microwave filters. The key contribution of the work is that a tunable microwave signal with a large multiplication factor and high SSR can be generated with a greatly simplified structure. The frequency tunability was achieved by simply tuning the frequency of the microwave drive signal. The generation of a microwave signal at 24.3 and 27 GHz by applying a microwave drive signal at 7.8 and 9 GHz was demonstrated. The generated signal frequency can be extended to the sub-terahertz range by utilizing a fast PD. The quality of the generated microwave signal was also evaluated. It was shown that the phase noise of the generated microwave signal was determined by the phase noise of the microwave drive signal for an offset frequency smaller than 10 Hz. When the offset frequency is greater than 10 Hz, the phase noise introduced by the generation system will play a more important role. The use of a high-quality laser array and low-noise optical and electronic amplifiers will reduce the phase noise at higher frequency offset. REFERENCES [1] J. P. Yao, “Microwave photonics,” J. Lightw. Technol., vol. 27, no. 3, pp. 314–225, Feb. 2009. [2] A. J. Seeds and K. J. Williams, “Microwave photonics,” J. Lightw. Technol., vol. 24, no. 12, pp. 4628–4641, Dec. 2006. [3] U. Gliese, T. N. Nielsen, S. Nørskov, and K. E. Stubkjaer, “Multifunctional fiber-optic microwave links based on remote heterodyne detection,” IEEE Trans. Microw. Theory Tech., vol. 46, no. 5, pp. 458–468, May 1998. [4] A. Wiberg, P. P. Millan, M. V. Andres, and P. O. Hedekvist, “Microwave-photonic frequency multiplication utilizing optical four-wave mixing and fiber Bragg gratings,” J. Lightw. Technol., vol. 24, no. 1, pp. 329–334, Jan. 2006. [5] J. J. O’Reilly, P. M. Lane, R. Heidemann, and R. Hofstetter, “Optical generation of very narrow linewidth millimeter wave signals,” Electron. Lett., vol. 28, no. 25, pp. 2309–2311, 1992. [6] U. Gliese, T. N. Nielsen, M. Bruun, E. L. Christensen, K. E. Stubkjaer, S. Lindgren, and B. Broberg, “A wideband heterodyne optical phaselocked loop for generation of 3–18 GHz microwave carriers,” IEEE Photon. Technol. Lett., vol. 4, no. 8, pp. 936–938, Aug. 1992. [7] L. Goldberg, H. F. Taylor, J. F. Weller, and D. M. Bloom, “Microwave signal generation with injection locked laser diodes,” Electron. Lett., vol. 19, no. 13, pp. 491–493, Jun. 1983. [8] Q. Wang, H. Rideout, F. Zeng, and J. Yao, “Millimeter-wave frequency tripling based on four-wave mixing in a semiconductor optical amplifier,” IEEE Photon. Technol. Lett., vol. 18, no. 23, pp. 2460–2462, Dec. 2006. [9] W. Li and J. Yao, “Microwave generation based on optical domain microwave frequency octupling,” IEEE Photon. Technol. Lett., vol. 22, no. 1, pp. 24–26, Jan. 2010. [10] W. Li and J. Yao, “Microwave and terahertz generation based on photonically assisted microwave frequency twelvetupling with large tunability,” IEEE Photon. J., vol. 2, no. 6, pp. 954–959, Dec. 2010.
QASYMEH et al.: FREQUENCY-TUNABLE MICROWAVE GENERATION
[11] S. Fukushima, C. F. C. Silva, Y. Muramoto, and A. J. Seeds, “Optoelectronic millimeter-wave synthesis using an optical frequency comb generator, optically injection locked lasers, and a unitraveling-carrier photodiode,” J. Lightw. Technol., vol. 21, no. 12, pp. 3043–3051, Dec. 2003. [12] H. J. Song, N. Shimizu, T. Furuta, K. Suizu, H. Ito, and T. Nagatsuma, “Broadband-frequency-tunable sub-terahertz wave generation using an optical comb, AWGs, optical switches, and a uni-traveling carrier photodiode for spectroscopic applications,” J. Lightw. Technol., vol. 26, no. 15, pp. 2521–2530, Aug. 2008. [13] M. Musha, A. Ueda, M. Horikoshi, K. Nakagawa, M. Ishiguro, K. Ueda, and H. Ito, “A highly stable mm-wave synthesizer realized by mixing two lasers locked to an optical frequency comb generator,” Opt. Commun., vol. 240, no. 1–3, pp. 201–208, Oct. 2004. [14] R. Wu, V. R. Supradeepa, C. M. Long, D. E. Leaird, and A. M. Weinner, “Generation of very flat optical frequency combs from continuouswave lasers using cascaded intensity and phase modulators driven by tailored radio frequency waveforms,” Opt. Lett., vol. 35, no. 19, pp. 3234–3236, Oct. 2010. [15] B. Vidal, V. Polo, J. L. Corral, and J. Marti, “Efficient architecture for WDM photonic microwave filters,” IEEE Photon. Technol. Lett., vol. 15, no. 1, pp. 257–259, Jan. 2004. [16] J. Capmany, B. Ortega, and D. Pastor, “A tutorial on microwave photonic filters,” J. Lightw. Technol., vol. 24, no. 1, pp. 201–229, Jan. 2006. [17] R. A. Minasian, “Photonic signal processing of microwave signals,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 2, pp. 832–846, Feb. 2006. [18] V. Torres-Company and L. R. Chen, “Radio-frequency waveform generator with time-multiplexing capabilities based on multi-wavelength pulse compression,” Opt. Exp., vol. 17, no. 25, pp. 22553–22565, Dec. 2009. [19] G. Qi, J. Yao, J. Seregelyi, S. Paquet, C. Belisle, X. Zhang, K. Wu, and R. Kashyap, “Phase-noise analysis of optically generated millimeter wave signals with external optical modulation techniques,” J. Lightw. Technol., vol. 24, no. 12, pp. 4861–4875, Dec. 2006. Montasir Qasymeh received the B.Sc. degree in electrical engineering from Mutah University, Mutah, Jordan, in 2003, the M.Sc. degree in optical communication and photonic technologies from Politecnico di Torino, Turin, Italy, in 2005, and the Ph.D. degree in electrical engineering from Dalhousie University, Halifax, NS, Canada, in 2010. In 2010, he joined the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada, as a Postdoctoral Fellow. His current research interests include optical generation of microwave and terahertz signals. He is also active in the field of nonlinear optics and electrooptic devices. Dr. Qasymeh was the recipient of a MITACS Elevate Fellowship.
2993
Wangzhe Li (S’08) received the B.E. degree in electronic science and technology from Xi’an Jiaotong University, Xi’an, China, in 2004, the M.Sc. degree in optoelectronics and electronic science from Tsinghua University, Beijing, China, in 2007, and is currently working toward the Ph.D. degree in electrical and computer engineering from the University of Ottawa, Ottawa, ON, Canada. He is currently with the Microwave Photonics Research Laboratory, School of Electrical Engineering and Computer Science, University of Ottawa. His current research interests include photonic generation of microwave and terahertz signals.
Jianping Yao (M’99–SM’01) received the Ph.D. degree in electrical engineering from the Université de Toulon, Toulon, France, in 1997. In 2001, he joined the School of Electrical Engineering and Computer Science, University of Ottawa, Ottawa, ON, Canada, where he is currently a Professor, Director of the Microwave Photonics Research Laboratory, and Director of the Ottawa–Carleton Institute for Electrical and Computer Engineering. From 1999 to 2001, he held a faculty position with the School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore. He holds a Yongqian Endowed Visiting Chair Professorship with Zhejiang University, Hangzhou, China. In 2005, he spent three months as an Invited Professor with the Institut National Polytechnique de Grenoble, France. In 2007, he was named University Research Chair in Microwave Photonics. He has authored or coauthored over 320 papers, including over 180 papers in refereed journals and over 140 papers in conference proceeding. His research has focused on microwave photonics, which includes all-optical microwave signal processing, photonic generation of microwaves, millimeter waves, terahertz, radio-over-fiber, ultrawideband (UWB) over fiber, fiber Bragg gratings for microwave photonics applications, and optically controlled phased-array antennas. His research interests also include fiber lasers, fiber-optic sensors, and bio-photonics. He is an Associate Editor of the International Journal of Microwave and Optical Technology. Dr. Yao is a Registered Professional Engineer of the Province of Ontario. He is a Fellow of the Optical Society of America (OSA). He is a Senior Member of the IEEE Photonics Society and the IEEE Microwave Theory and Techniques Society (IEEE MTT-S). He is on the Editorial Board of the IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES. He was the recipient of the 2005 International Creative Research Award of the University of Ottawa, the 2007 George S. Glinski Award for Excellence in Research, and a 2008 Natural Sciences and Engineering Research Council (NSERC) Discovery Accelerator Supplements Award.
2994
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
Behavioral Modeling of MIMO Nonlinear Systems With Multivariable Polynomials Dhikra Saffar, Noureddine Boulejfen, Senior Member, IEEE, Fadhel M. Ghannouchi, Fellow, IEEE, Ali Gharsallah, Senior Member, IEEE, and Mohamed Helaoui, Member, IEEE
Abstract—This paper proposes a novel behavioral model for multiple-input single-output (MISO) and multiple-input multiple-output (MIMO) nonlinear transmitters based on multivariable polynomials (MVPs). The main source of nonlinearity in these transmitters is the RF power amplifier, which is commonly modeled using polynomial models. The proposed MVP model is capable of handling the nonlinear effects of the RF transmitters, as well as the linear and nonlinear crosstalk between the input signals. At the same time, the developed model was optimized for computing efficiency without compromising its accuracy. The model was tested for MISO and MIMO wireless transmitters. The simulations and measurement results revealed that the proposed model gives excellent accuracy when modeling MIMO transmitters with different branch coupling factors. Index Terms—Modeling, multiple input multiple output (MIMO), multiple input single output (MISO), nonlinearities, power amplifier (PA), RF crosstalk.
I. INTRODUCTION Y ADOPTING multiple-input multiple-output (MIMO) wireless systems, we can reach data rates up to several hundreds of megabits/second and achieve spectral efficiencies of several tens of bits/hertz/second, which are unattainable with conventional single-input single-output (SISO) systems [1]–[3]. The usage of MIMO topology introduces many problems that are similar to those encountered in SISO systems, such as transmitter nonlinearity, receiver dynamic range, and imbalance and leakage in mixers. Moreover, other issues specific to MIMO transceivers, particularly with integration, must be taken into account, including the crosstalk effects between the multiple
B
Manuscript received May 24, 2011; accepted August 02, 2011. Date of publication October 06, 2011; date of current version November 16, 2011. This work was supported by the Alberta Innovates, Technology Futures (AITF), the Natural Sciences and Engineering Research Council of Canada (NSERC), the Canada Research Chair (CRC) Program, and TRLabs. D. Saffar is with the iRadio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4, and also with the Faculté des Sciences de Tunis, University El-Manar, Tunis, Tunisia (e-mail: [email protected]). N. Boulejefen is with the Department of Electrical Engineering, King Faisal University, Al-Hasa, Saudi Arabia, and also with the iRadio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]). F. M. Ghannouchi and M. Helaoui are with the iRadio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada T2N 1N4 (e-mail: [email protected]; [email protected]). A. Gharsallah is with the Faculté des Sciences de Tunis, University El-Manar, Tunis, Tunisia (e-mail: [email protected]). Color versions of one or more of the figures in this paper are available online at http://ieeexplore.ieee.org. Digital Object Identifier 10.1109/TMTT.2011.2166977
input paths. In this context, the distortion at the output of wireless MIMO transmitters can be assigned to three basic physical phenomena, which are: 1) nonlinearity induced by the existing power amplifiers (PAs); 2) linear and nonlinear memory effects; and 3) crosstalk or coupling effects due to the interference between signals of different paths [4], [5]. The modeling of MIMO transmitters has been addressed in several published works. Most of the proposed MIMO models are based on conventional SISO models, such as the Volterra model [6], [7], the dynamic deviation reduction (DDR) model [8], and the orthogonal polynomial models [9]. In [10], a dual-input nonlinear model based on a real-valued Volterra series was proposed to model the in-phase/quadrature (I/Q) imbalance of the I/Q modulator. The number of coefficients in this model grows rapidly, becoming computationally impractical for wide signal bandwidths and/or strong nonlinear characteristics that require a higher memory depth and higher nonlinearity order. Further, dual-input memory polynomial and dual-input DDR-based Volterra series models [11] were proposed for real inputs, in order to reduce the complexity and size of the previously described model. Although the number of coefficients was reduced compared to the first model, it still inwith the nonlinearity order , thus creases exponentially by preventing practical use for realistic and wideband applications and strong complex nonlinear systems. A novel crossover digital predistorter (CO-DPD) model [12] was proposed to compensate for crosstalk and nonlinearity in MIMO radio systems. The effects of crosstalk and nonlinearity impairment on the performance of MIMO radio links were also considered. However, this model did not take into account all the high-order cross terms between the input signals in the polynomials, as it models the MIMO system by the sum of weighted nonlinear functions. Hence, it is anticipated that this model would not offer good accuracy, especially for strong crosstalk effects between the branches of the MIMO system. In fact, the sources of the nonlinear crosstalk occurring before the PAs may be the leakage of RF signal through the common local oscillator (LO) [5] and interference in the chipset. The importance of this crosstalk in MIMO transceiver and its effect on the quality of the signal were studied in [5] and the large- and small-signal coupling effects of dual silicon–germanium (SiGe) PAs on a single chip for MIMO applications were demonstrated in [4]. In [13], a reduced Volterra model for nonlinear MIMO systems was proposed. The kernels of the Volterra series were developed on the generalized orthogonal basis (GOB) functions [14] to reduce the number of parameters of the model. In practice, this model can only be used for narrowband input signals and/or in weakly nonlinear systems as the number of its coefficients still increases exponentially with the nonlinearity order.
0018-9480/$26.00 © 2011 IEEE
SAFFAR et al.: BEHAVIORAL MODELING OF MIMO NONLINEAR SYSTEMS WITH MVPs
2995
fects. In the discrete time domain, the passband memory model from [9] is given such that (1) and are the passband input and output signals, where is the polynomial order, and is the memory respectively, depth. It can be demonstrated that the corresponding baseband , and output signals, , representing the input signals, and , respectively, are related complex envelopes of by [9], [17] Fig. 1. Linear and nonlinear crosstalk in dual branch MIMO transmitter.
(2) In [15], a compensation method for high PA nonlinearity in MIMO orthogonal space-time block coding (OSTBC) systems is proposed. This compensation scheme does not consider any coupling effects in the transmitter and considered only a memoryless real nonlinear amplifier without any amplitude dependent phase distortion (AM/PM). In this paper, the effects of crosstalk and nonlinearity impairments on MISO and MIMO transmitters are studied through simulation and measurement; and, a new MIMO multivariable polynomial (MVP) based model that considers these effects is proposed. In Section II, two MISO models based on the conventional polynomial model are analyzed and their limitations are discussed. In Section III, a new nonlinear MIMO model, which overcomes the limitations of the previously discussed models and takes into account the crosstalk effects, is proposed. In Section IV, the simulation setup is described, and the results are given for different categories of crosstalk. Section V presents the experimental validation and performance assessment. Finally, a conclusion is given in Section VI. II. STATE-OF-THE-ART MODELS In this section, the crossover polynomial model [12] and the dual input nonlinear model [11] are presented, and their limitations are discussed. These models can be used to model MISO and MIMO transmitters suffering from PA nonlinearity and coupling effects between the input signals. A. Linear and Nonlinear Crosstalk Crosstalk in MISO and MIMO transceivers can be classified as linear or nonlinear depending on its effect on the desired output signal. The nonlinear crosstalk affects the signal before it passes through nonlinear components; while the signal affected by the linear crosstalk does not pass through nonlinear components. Since the PA is the main source of nonlinearity in the RF transmitter, crosstalk that occurs before the PA is the main source of nonlinear crosstalk. Antenna crosstalk is, on the other hand, considered as linear crosstalk [16]. The origin and nature of the linear and nonlinear crosstalk are illustrated in Fig. 1.
This model can be extended for MISO systems, where there are two input signals and one output signal. The expression in (2) can be used for the dual-input single output model as follows:
(3) This model can estimate the linear coupling at the output of the PAs, which is mainly produced by the antenna feeding network and the antenna system. However, it lacks cross terms that model nonlinear crosstalk due to the coupling of the input signals. This limitation reduces the accuracy of the model as the and as the nonlinear crosstalk increases. In fact, consider signals at the input of the PAs after crosstalk given by (4) The signals at the output of the PAs can be calculated using or as the input signal in (1) leading to several nonlinear cross terms ignored by the model described in (3). C. Dual-Input Nonlinear Polynomial Model The SISO polynomial model can be extended to the MISO one by using a Kronecker product to create cross terms between the different input signals. In the discrete time domain and for the dual-input case, the model is given by [11]
(5) where
and
are the passband input
and signals, and denote the Kronecker product defined as [18]
is used to
B. Crossover Polynomial Model The polynomial model has been shown to be a good model for characterizing nonlinear RF PAs with and without memory ef-
(6)
2996
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
This model takes into account the crosstalk effects, as it considers all cross terms between and input signals. Although the accuracy of the model is improved, the complexity of the problem is dramatically increased, and the number of coefficients is exponentially augmented with the polynomial order .
TABLE I CROSS TERMS OF THE PROPOSED MODEL IN THE CASE OF TWO INPUT SIGNALS
III. MIMO NONLINEAR MVP MODEL The modeling of MIMO transmitters is based on the modeling of the behavior of its different components and the consideration of the crosstalk effects. Hence, the model must include cross terms representing the coupling effect, which increases the model complexity. For this reason, a compromise between accuracy and complexity in the modeling of crosstalk effects in MIMO transmitters is needed. The proposed MIMO model is a nonlinear MVP based model that includes all cross terms between the input signals, while reducing the complexity encountered in the Kronecker model in (5) by removing repetitive cross terms and then reducing from to the number of complex coefficients to be calculated during the model identification process, for a given nonlinearity , the cross terms and order . For example, for generated by the Kronecker product are similar and are replaced by one term so only one coefficient is required. Simi, the cross terms and are generlarly, when ated threefold by the expression in (4). Therefore, by removing the repetitive cross terms, the number of complex coefficients in the model is considerably reduced as increases. By proceeding in such a manner, the model complexity is reduced during the identification phase, and the model validity remains intact and can handle dynamic nonlinear systems with any type of input and output crosstalk networks, which can exhibit nonsymmetrical properties and behaviors. A.
-Input Memoryless Model
1) Passband Model: The suggested passband static model for MISO transmitter with input signals is given by
model is developed in the Appendix, and can be described by
(8) and
where . B.
-Input Memory Model
For wideband applications, the bandwidth of the input signals triggers the electrical memory effects of the PA caused by the frequency response of the biasing network of the PA. The effects of the transistor’s self-heating phenomenon are more important under narrowband signals (e.g., EDGE GSM) than under signals with wide modulation bandwidths [e.g., multicarrier third-generation (3G) CDMA2000, Universal Mobile Telecommunications System (UMTS)]. The latter types of signals are more sensitive and influenced by electrical-induced memory effects than are thermal memory effects [19], [20]. Assuming a uniform sampling with sampling period , and introducing the memory effects in (8), we obtain
(7) and are the output and the th input where signals, respectively. This model is based on the SISO polynomial model, which has been augmented to include the cross terms between the dif. Table I shows all the polyferent input signals , nomial terms for a given nonlinearity order in the case of two input signals 2) Baseband Model: The baseband version of the proposed model considers only the frequency components of the output signal around the carrier frequency; therefore, only odd-order nonlinear terms are considered. The baseband memoryless
(9) , where and is the number of delay taps.
,
SAFFAR et al.: BEHAVIORAL MODELING OF MIMO NONLINEAR SYSTEMS WITH MVPs
C. Least Squares (LS) Based Parameter Estimation There are a number of LS based algorithms for estimating model coefficients that appear as linear weightings of nonlinear cross terms such as in (8) and (9). The problem can be formulated in matrix form as follows. as the Defining shifted input vector, as an vector representing samples of the output vector signal and as the vector of the polynomial coefficients, we can then rewrite (9) as (10) such that
with
and
2997
tion number as the nonlinearity order increases. This problem is more pronounced when modeling MIMO systems using multidimensional polynomials. In fact, this is due to the high correlation that can exist between the input data resulting in an ill-conditioned fitting matrix with high condition number. As a consequence, the model identification leads to nonrealistic estimated coefficients. Orthogonal polynomials can alleviate the problem of the LS solution by decreasing the condition number of the data matrix and providing more consistent LS estimates [22]. In addition to orthogonal basis, some sample-by-sample adaptive estimation algorithms are not adversely affected by the covariance matrix conditioning. Among the most popular adaptive coefficient estimators is the recursive least squares algorithm (RLS) [21], which updates the inverse covariance matrix with each new sample. In fact, the inverse of the correlation matrix is replaced for each sample by a simple scalar division. A faster adaptive algorithm is the least mean square (LMS) algorithm published in [21]. Although it is simple and fast, this algorithm suffers from poorly conditioned covariance matrices, which can lead to instability and divergence problems. In this paper, a recursive estimation based on the RLS estimator was employed to estimate the parameters of all the studied models in order to avoid ill conditioning of the fitting matrix related problems. D. MIMO Model The proposed model can be extended for the MIMO case, where there are input signals and output signals, such that (14) where
If
we
assume
the
presence of an error vector , the proposed PA’s model can
be written as (11) A conventional solution to this problem is the LS method, in that minimizes the model which is estimated as the value error criterion (12) Optimum solution to this problem is given by (13) denotes the Hermitian transpose. where The LS estimator has a unique solution given that the data has full column rank [21]. Full rank is guarmatrix anteed with all practical communications waveforms. However, even if the data matrix is of full rank, the sensitivity of the solution can depend on the condition number of the data matrix. The condition number is the measure of the linear dependency of the columns of the data matrix, and is generally related to the distribution of the input signal envelop, as well as the dimensionality of the problem. A conventional single-input polynomial model exhibits numerical instabilities and high condi-
We can solve for Section III-C.
for each output signal, , as shown in
IV. SIMULATION SETUP AND RESULTS In order to evaluate the performance of the proposed model, several topologies of transmitters (MISO and MIMO transmitters) must be considered with different kinds of coupling (linear and/or nonlinear coupling). Subsequently, different diagrams of MISO and MIMO transmitters were designed and simulated using Agilent’s Advanced Design System (ADS) software. The input and output signals of the simulated structures were then extracted from ADS as datasets and applied to the proposed model for model identification and validation purposes. A. Simulation Setup To give a quantitative measure of the accuracy of the model, we define the normalized mean squared error (NMSE) such that
(dB)
(15)
2998
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE II COMPARISON OF THE NMSE (dB) FOR DIFFERENT CASES OF MIMO TRANSMITTER WITH SINGLE-CARRIER WCDMA INPUT SIGNALS
K = 15;Q = 4)
(
Fig. 2. Simulation setup diagram for a MISO transmitter with nonlinear crosstalk.
Fig. 3. Simulation setup diagram for a MIMO transmitter with linear and nonlinear crosstalk.
where is the measured envelope (provided by the ADS simulator in this section), and is the predicted envelope using the proposed MIMO-MVP-based behavioral model, the crossover model, the Kronecker-based model or the conventional memory polynomial model. The simulations were carried out for 2 2 MIMO transmitters and dual input MISO transmitters. Figs. 2 and 3 are the simulation setup diagrams for a MISO transmitter with nonlinear crosstalk and a MIMO transmitter with linear and nonlinear crosstalk, respectively. The system-level simulations were performed using ADS’ Ptolemy simulator. In both topologies, the transmitter consists of two identical amplification branches. Each one is made of a highly nonlinear PA modeled by a polynomial model with a and a memory depth of . nonlinearity order of The NMSE of the SISO memory polynomial model for each PA is around 45 dB using a single-carrier wideband code division multiple access (WCDMA) input signal. and were chosen so that the NMSE of the model’s output converges to a pretty constant value. To introduce nonlinear crosstalk between the input signals, a directional coupler was inserted before each amplifier, and the coupled port of each coupler was connected to the isolation port of the other one. Depending on the coupling factor of the couplers, different crosstalk strengths can be obtained. Similarly, two couplers can be mounted in cascade with the PAs to introduce linear crosstalk between the branches upstream of the outputs of the amplifiers. In the case of a dual-input singleoutput transmitter, a power combiner was used to connect the two output signals of the PAs. B. Simulation Results In this section, the performance of the proposed model is evaluated for five topologies, which are: 1) a 2 2 MIMO transmitter with nonlinear crosstalk only; 2) a 2 2 MIMO trans-
mitter with linear crosstalk only; 3) a 2 2 MIMO transmitter with linear and nonlinear crosstalk; 4) a 2 2 MIMO transmitter with completely isolated branches; and 5) a dual-input singleoutput transmitter with nonlinear crosstalk only. The simulations were carried out using: 1) two single-carrier WCDMA input signals with a bandwidth equals to 5 MHz and an average power equals to 9 dBm and 2) two four-carrier WCDMA input signals in configuration 1111 and 1001 with an average power equal to 9 dBm and a bandwidth equal to 20 MHz (in these configurations, the digit “1” reflects an on channel, while the digit “0” reflects an off one). All the input signals are charac, terized by 180 000 samples and a sampling period MHz, where All the simulations were carried out using 20 dB as nonlinear coupling factor, 6 dB as linear coupling factor, a nonlinear polynomial order equals to 15, and a memory depth equals to 4. All the models were identified with a dataset of length 90 000 samples and then validated using a different dataset of the same length. In Table II, the performance of the proposed model was evaluated using a MIMO transmitter without crosstalk and a MIMO transmitter with nonlinear and linear crosstalk using a single-carrier WCDMA input signals. It can be noticed that the proposed model retains almost the same NMSE around 49 dB for the different topologies, which reveals its capability in handling different kinds of coupling before or after the PAs. The crossover model shows a good performance in the absence of nonlinear crosstalk with NMSEs equal to 45.7 dB and 47.45 dB for no crosstalk and linear crosstalk, respectively. However, the NMSE of this model deteriorates in the case of nonlinear coupling to as high as 36.58 dB. This result was expected as explained in Section II-B. It can be noticed from Tables III and IV that the proposed model offers the best NMSE compared to the other models for the MISO case and the MIMO one with linear and nonlinear crosstalk for single- and four-carrier WCDMA input signals. As expected, the polynomial model is unable to estimate the crosstalk effects introduced by the interference between the different paths of the transmitter as the output signal of the model depends only of one input signal. The accuracy provided by the crossover model is also limited compared to that of the MVP model, as it does not consider all the nonlinear crosstalk effects existing between the two paths of the transmitter. Figs. 4–6 show the error spectrum between the simulated and predicted outputs with the MVP, crossover, and conventional polynomial models in the case of the MIMO transmitter with
SAFFAR et al.: BEHAVIORAL MODELING OF MIMO NONLINEAR SYSTEMS WITH MVPs
2999
TABLE III COMPARISON OF THE NMSE (dB) FOR MISO TRANSMITTER = 4) WITH 20-dB NONLINEAR COUPLING ( = 15
K
0
;Q
TABLE IV COMPARISON OF THE NMSE (dB) FOR MIMO TRANSMITTER WITH NONLINEAR COUPLING AND 6-dB LINEAR COUPLING ( = 15
K
0
020-dB
;Q = 4)
Fig. 5. Power spectrum of the simulated output and the error spectrum between the estimated and the simulated output for different models in the case of MIMO transmitter with 20 dB nonlinear coupling. ( = 15 = 4).
K
0
Fig. 4. Power spectrum of the simulated output and the error spectrum between the estimated and the simulated output for different models in the case of MIMO transmitter with 20-dB nonlinear coupling and 6-dB linear coupling ( = 15 = 4).
;Q
0
0
K
linear and/or nonlinear coupling. It is clear that the MVP model offers a very small error as the signal-to-error ratio (SER) reaches up to 60 dB; however, it reaches up to 40 and 10 dB with the crossover and the conventional memory polynomial models, respectively, in the case of linear and nonlinear crosstalk. However, in the case of linear coupling only, the crossover and the MVP models show similar performance in the in-band and out-of-band regions. All the previous simulation results illustrate the accuracy and the superiority of the proposed model compared to the other published models, as well as its capability to model the behavior of the PAs in the in-band and out-of-band regions in the presence of crosstalk effects. The proposed model was also compared to the dual-input nonlinear polynomial model based on the Kronecker product. Both models were excited by the data extracted from the ADS simulation, performed with the MIMO transmitter shown in Fig. 3 with 20-dB coupling factor at the input of the PA
;Q
Fig. 6. Power spectrum of the simulated output and the error spectrum between the estimated and the simulated output for different models in the case of MIMO transmitter with 6-dB linear coupling. ( = 15 = 4).
0
K
;Q
and 6-dB coupling factor at its output, using seventh-order memoryless PAs. The numbers of coefficients in Table V were calculated based on the expressions given in Table VI. Table VI shows the performance of the two models. The results reveal that the proposed model reduced considerably the number of coefficients, as shown in Table VI, compared to the Kronecker-product-based model, for the same nonlinearity order and memory depth. This advantage is very important as the usability of high complexity models is restricted to a limited number of samples and weakly nonlinear PAs with short memory effects. It can be noticed in Fig. 7 that the NMSE . curves of the two models are almost matched for up to Above this nonlinearity order, the Kronecker-product-based model becomes computationally impractical. The proposed MVP model and the dual-input polynomial model (with Kronecker product) considers only inputs with the
3000
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
TABLE V COMPARISON BETWEEN MVP AND KRONECKER-PRODUCT-BASED MODEL FOR MIMO MEMORYLESS TRANSMITTER WITH LINEAR AND NONLINEAR COUPLING
Fig. 8. Measurement setup for a MIMO transmitter with nonlinear coupling.
V. MEASUREMENT SETUP AND RESULTS A. Measurement Setup TABLE VI NUMBER OF COEFFICIENTS OF THE DIFFERENT MODELS
For more validation, the proposed model was tested with measured data. The measurement setup is shown in Fig. 8. It consists of two identical signal generators (ESG4438C) used to excite the MISO or MIMO transmitter. These sources were connected to the computer through a general-purpose interface bus (GPIB) connection. The two WCDMA signals generated using ADS were downloaded to each source. To model the nonlinear crosstalk that appears in the RF paths of MISO or MIMO transmitters, two directional couplers (ARIA C2045) were used. Each signal at the output of the coupling block was used to feed the branch of amplification, which was composed of two amplification blocks mounted in cascade and designed using a PTF 10107 devices from Ericsson (a driver biased in class A and a PA biased in class AB). Each branch of amplification (cascade of the driver and PA) provided 2 W of output power at saturation and 39 dB of small-signal gain at 1.96 GHz. The average powers of the PA’s input signals were around 9 dBm, which is equivalent to 6-dB input backoff for the amplification branches. The output signals of the PAs were connected to the power combiner (Emeca P2S-1.900 V) in the case of the MISO transmitter. For the MIMO transmitter with linear and nonlinear coupling, two directional couplers were used at the output of the PA(s) to model the linear crosstalk. The output signals of the PAs were attenuated and then captured with the vector signal analyzer (VSA) 89650S. B. Measurement Results
Fig. 7. Simulated NMSE for a memoryless MIMO transmitter with linear and nonlinear crosstalk ( 20-dB nonlinear coupling and 6-dB linear coupling).
0
0
same time delay; however, the dual-input DDR-based Volterra series model [11] with the Kronecker product contains cross terms between inputs with different and nonuniform time delay. Therefore, the comparison of the MVP model with the DDR model is beyond the scope of this paper. In addition, it is anticipated that the dual-input DDR model with nonuniform time delay will generate much significant number of unknowns, and hence will be limited to weakly nonlinear systems, as shown for the dual input polynomial model with Kronecker products in Fig. 7 where the maximum nonlinear order was limited to 7.
The performance of the proposed model was experimentally evaluated using single-carrier WCDMA input signals and fourcarrier WCDMA input signals (described in Section IV-B). To model each branch of the MIMO transmitter, the output signal and the two input signals were employed to estimate the parameters of the different studied models. Therefore, the output signals of the MIMO transmitter were captured separately and each one was aligned offline with the input signals to make sure that it represents the output for the same time period of the two input signals. All the models considered have a nonlinear polynomial order equal to 15 and a memory depth equal to 3 and 5 for singlecarrier WCDMA input signals and four-carriers WCDMA input signals, respectively. The models were identified with a dataset of a length of 90 000 samples and then validated using different dataset of the same length.
SAFFAR et al.: BEHAVIORAL MODELING OF MIMO NONLINEAR SYSTEMS WITH MVPs
3001
TABLE VII COMPARISON OF THE NMSE (dB) FOR MISO TRANSMITTER WITH 20-dB NONLINEAR COUPLING
0
TABLE VIII COMPARISON OF THE NMSE (dB) FOR MIMO TRANSMITTER WITH 20-dB NONLINEAR COUPLING
0
Fig. 9. Power spectrum of the measured output of branch 1 of the MIMO transmitter with nonlinear crosstalk ( 20-dB coupling at the input of the transmitter) and the error spectrum between the estimated and the measured output for dif= 3). ferent models ( = 15
K
;Q
0
TABLE IX COMPARISON OF THE NMSE (dB) FOR MIMO TRANSMITTER WITH 20-dB NONLINEAR COUPLING AND 6-dB LINEAR COUPLING
0
0
in order to have a good tradeoff between complexity and accuracy. This simplification reduces the complexity of the model without affecting much its performance. VI. CONCLUSION Fig. 10. Power spectrum of the measured output of the MISO transmitter with nonlinear crosstalk ( 20-dB coupling at the input of the transmitter) and the error spectrum between the estimated and the measured output for different = 3). models ( = 15
K
0
;Q
In Tables VII–IX, the MVP, crossover, and conventional polynomial models were evaluated for different categories of transmitters. The MVP model has the best NMSE for all the cases considered, which validates the results found in the simulation part. It can be noticed from Figs. 9 and 10 that the MVP model offers the smallest error for the in-band and out-of-band frequencies. The SER reaches up to 50 dB with the MVP model; however it reaches up to 40 and 20 dB with the crossover and the polynomial models, respectively, in the case of the MIMO transmitter with nonlinear crosstalk. Based on the numerical and experimental validation results, and compared to the crossover model, it can be concluded that the MVP model offers the best performance in modeling different MIMO and MISO transmitters, as it includes all the cross terms necessary to estimate the linear and nonlinear crosstalk effects while maintaining a moderate complexity and computation time. In fact, the proposed MVP model can be considered as a simplified formulation of the Volterra series for MIMO systems
In this paper, a new reduced-complexity MVP model for MIMO RF transmitters was proposed and developed. ADS simulations and measured data have been used to compare the proposed model to the dual-input nonlinear Kronecker-product-based model, crossover model, and conventional polynomial model. The models have been used to simulate MISO and MIMO transmitter topologies with linear and/or nonlinear crosstalk. The results revealed that the proposed model offers a good tradeoff between complexity and accuracy. In fact, it results in a very acceptable NMSE for all the simulated and measured configurations, while enormously reducing the problem size for fixed nonlinearity order and memory depth. APPENDIX The passband memoryless model for by (7)
input signals is given
3002
IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 59, NO. 11, NOVEMBER 2011
where and are the passband input and output signals, respectively. as the complex repreDefining sentation of and as the complex en, can be written as follows: velope of
Equating term by term in (A.3), we obtain
(A.4) (A.1) Replacing the new expressions of binomial theorem, we can rewrite (7) as
A simple manipulation of (A.4) leads to (8) where
in (7) and using the and
REFERENCES
(A.2) To obtain the envelop model, we consider only the terms cenfrom the inner summation of (A.2) tered on
[1] A. J. Paulraj, D. A. Gore, R. U. Nabar, and H. Bolcskei, “An overview of MIMO communications—A key to gigabit wireless,” Proc. IEEE, vol. 92, no. 2, pp. 198–218, Feb. 2004. [2] I. E. Telatar, “Capacity of multi-antenna Gaussian channels,” Eur. Trans. Tel., vol. 10, no. 6, pp. 585–595, Nov./Dec. 1999. [3] G. J. Foschini and M. J. Gans, “On limits of wireless communications in a fading environment when using multiple antennas,” Wireless Pers. Commun., vol. 6, no. 3, pp. 311–335, Mar. 1998. [4] W.-C. Hua, P.-T. Lin, C.-P. Lin, C.-Y. Lin, H.-L. Chang, C. W. Liu, T.-Y. Yang, and G.-K. Ma, “Coupling effects of dual SiGe power amplifiers for 802.11n MIMO applications,” in IEEE Radio Freq. Integr. Circuits Symp., Jun. 2006, p. 4. [5] Y. Palaskas, A. Ravi, S. Pellerano, B. R. Carlton, M. A. Elmala, R. Bishop, G. Banerjee, R. B. Nicholls, S. K. Ling, N. Dinur, S. S. Taylor, and K. Soumyanath, “A 5-GHz 108-Mb/s 2 2 MIMO transceiver RFIC with fully integrated 20.5-dBm P1dB power amplifiers in 90-nm CMOS,” IEEE J. Solid-State Circuits, vol. 41, no. 12, pp. 2746–2756, Dec. 2006. [6] A. Zhu, M. Wren, and T. J. Brazil, “An efficient Volterra-based behavioral model for wideband RF power amplifiers,” in IEEE MTT-S Int. Microw. Symp. Dig., 2003, pp. 787–790. [7] A. Zhu and T. J. Brazil, “Behavioral modeling of RF power amplifiers based on pruned Volterra series,” IEEE Microw. Wireless Compon. Lett., vol. 14, no. 12, pp. 563–565, Dec. 2004. [8] A. Zhu, J. Pedro, and T. Brazil, “Dynamic deviation reduction-based Volterra behavioral modeling of RF power amplifiers,” IEEE Trans. Microw. Theory Tech., vol. 54, no. 12, pp. 4323–4332, Dec. 2006. [9] R. Raich, H. Qian, and G. T. Zhou, “Orthogonal polynomials for power amplifier modeling and predistorter design,” IEEE Trans. Veh. Technol., vol. 53, no. 5, pp. 1468–1479, Sep. 2004. [10] H. Cao, A. S. Tahrani, C. Fager, T. Eriksson, and H. Zirath, “I/Q imbalance compensation using a nonlinear modeling approach,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 3, pp. 513–518, Mar. 2009. [11] H. Cao, A. S. Tahrani, C. Fager, T. Eriksson, and H. Zirath, “Dualinput nonlinear modeling for I/Q modulator distortion compensation,” in IEEE Wireless Radio Symp., Jan. 2009, pp. 39–42. [12] S. A. Bassam, M. Helaoui, and F. M. Ghanouchi, “Crossover digital predistorter for the compensation of crosstalk and nonlinearity in MIMO transmitter,” IEEE Trans. Microw. Theory Tech., vol. 57, no. 5, pp. 1119–1128, May 2009. [13] B. A. Aouar and M. Hassani, “Reduced Volterra model of nonlinear MIMO systems with decoupled outputs,” in ISCCSP 2008, St. Julians, Malta, Mar. 2008, pp. 430–433. [14] B. Ninnes and F. Gustafsson, “A unifying construction of orthonormal bases for system identification,” IEEE Trans. Automat. Control, vol. 42, no. 4, pp. 515–521, Apr. 1997. [15] J. Qi and S. Aissa, “Analysis and compensation of power amplifier nonlinearity in MIMO transmit diversity system,” IEEE Trans. Veh. Technol., vol. 59, no. 6, pp. 2921–2931, Jul. 2010. [16] S. A. Bassam, M. Helaoui, S. Boumaiza, and F. M. Ghannouchi, “Experimental study of the effects of RF front-end imperfection on the MIMO transmitter performance,” in IEEE MTT-S Int. Microw. Symp. Dig., Atlanta, GA, Jun. 2008, pp. 1187–1190.
2
where
must be odd. Thus,
can be written as
(A.3) where
and
SAFFAR et al.: BEHAVIORAL MODELING OF MIMO NONLINEAR SYSTEMS WITH MVPs
3003
[17] G. A. L. Ding and G. T. Zhou, “Effects of even-order nonlinear terms on power amplifier modeling and predistortion linearization,” IEEE Trans. Veh. Technol., vol. 53, no. 1, pp. 156–162, Jan. 2004. [18] W. H. Steeb and Y. Hardy, Matrix Calculus and Kronecker Product, a Practical Approach to Linear and Multilinear Algebra. Sinapore: World Sci., 2011. [19] O. Tornblad, B. Wu, W. Dai, C. Blair, G. Ma, and R. W. Dutton, “Modeling and measurements of electrical and thermal memory effects for RF power LDMOS,” in IEEE MTT-S Int. Microw. Symp. Dig., Jun. 2007, pp. 2015–2018. [20] S. Boumaiza and F. M. Ghannouchi, “Thermal memory effects modeling and compensation in RF power amplifiers and predistortion linearizers,” IEEE Trans. Microw. Theory Tech., vol. 51, no. 12, pp. 2427–2433, Dec. 2003. [21] S. Haykin, Adaptive Filter Theory, ser. Inform. Syst. Sci.. Englewood Cliffs, NJ: Prentice-Hall, 2001. [22] R. Raich and G. T. Zhou, “Orthogonal polynomials for complex Gaussian processes,” IEEE Trans. Signal Process., vol. 52, no. 10, pp. 2788–2797, Oct. 2004.
Fadhel M. Ghannouchi (S’84–M’88–SM’93–F’07) is currently an iCORE Professor and Senior Canada Research Chair with the Electrical and Computer Engineering Department, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada, and Director of the Intelligent RF Radio Laboratory. He has held several invited positions with academic and research institutions in Europe, North America, and Japan. He has provided consulting services to a number of microwave and wireless communications companies. He has authored or coauthored over 400 publications. He holds ten U.S. patents with three pending. His research interests are in the areas of microwave instrumentation and measurements, nonlinear modeling of microwave devices and communications systems, design of power- and spectrum-efficient microwave amplification systems, and design of intelligent RF transceivers for wireless and satellite communications. Prof. Ghannouchi is a Fellow of the Institution of Engineering and Technology (IET). He is a Distinguish Microwave Lecturer for the IEEE Microwave Theory and Techniques Society (IEEE MTT-S).
Dhikra Saffar received the Engineering degree from the National Institute of Applied Sciences and Technology, Tunis, Tunisia, in 2005, the Master degree from the National Institute of Engineering, Tunis, Tunisia, in 2006, and is currently working toward the Ph.D. degree at the University El-Manar, Tunis, Tunisia. She is also associated with the iRadio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, Schulich School of Engineering, University of Calgary, Calgary, AB, Canada. Her current research interests are digital signal processing, modeling, and optimization of wireless transmitters.
Ali Gharsallah (SM’08) received the Radio Frequency Engineering degree from the Higher School of Telecommunication of Tunis, Tunis, Tunisia, in 1986, and the Ph.D. degree from the Engineering School of Tunis, Tunis, Tunisia, in 1994. Since 1991, he has been with the Faculté des Sciences de Tunis, Department of Physics, University El-ManarFaculty of Sciences of Tunis, Tunis, Tunisia. He is also a Full Professor of electrical engineering and Director of Engineering with the Higher Ministry Education of Tunisia, Tunis, Tunisia. He has authored or coauthored approximately 55 papers published in scientific journals and 80 conference papers. He has also supervised over 20 theses and 50 masters. His current research interests include smart antennas, array signal processing, multilayered structures, and microwave integrated circuits.
Noureddine Boulejfen (M’07–SM’09) received the B.S. degree in electrical engineering from the École Nationale des Ingenieurs de Monastir, Monastir, Tunisia, in 1993, and the M.S. and Ph.D. degrees from the École Polytechnique de Montreal, Montreal, QC, Canada, in 1996 and 2000, respectively, both in microwave engineering. He then joined the Microelectronics Group, Fiber Optic Department, Nortel Networks Inc., Ottawa, ON, Canada, where he was an Engineer with the On-Wafer Test and Characterization Laboratory. From 2002 to 2010, he was with the Electrical Engineering Department, University of Hail, Hail, Saudi Arabia, as an Assistant Professor. He is currently an Associate Professor with the Department of Electrical Engineering, King Faisal University, Al-Hasa, Saudi Arabia. He is also with iRadio Laboratory (iRadio Lab), Department of Electrical and Computer Engineering, University of Calgary, Calgary, AB, Canada. His research interests are in the characterization, modeling and linearization of RF PAs and wireless transmitters, design of microwave passive and active components/subsystems, and design and calibration of microwave multiport measurement systems.
Mohamed Helaoui (S’06–M’09) received the M.Sc. degree in communications and information technology from the École Supérieure des Communications de Tunis, Tunis, Tunisia, in 2003, and the Ph.D. degree in electrical engineering from the University of Calgary, Calgary, AB, Canada, in 2008. He has authored or coauthored over 50 publications. He holds seven patents (five pending). His current research interests includes digital signal processing, power-efficiency enhancement for wireless transmitters, switching mode PAs advanced transmitter design for software-defined radio (SDR) applications, and wideband millimeter-wave front-ends. Dr. Helaoui has been the IEEE Microwave Theory and Techniques Society (IEEE MTT-S) executive member of the COMMTTAP Chapter of the IEEE Southern Alberta section since 2008.
Editor-in-Chief George E. Ponchak, Ph.D, FIEEE IEEE Transactions on Microwave Theory and Techniques Editorial Office c/o Mrs. Kim Tanger, Editorial Assistant Ohio Aerospace Institute 22800 Cedar Point Road Cleveland, Ohio 44142 E-mail: [email protected] or [email protected] Phone: 440-962-3023 Fax: 440-962-3057
Information for Authors The IEEE TRANSACTIONS on MICROWAVE THEORY and TECHNIQUES is published monthly with a focus on that part of engineering and theory associated with microwave/millimeter-wave technology and components, electronic devices, guided wave structures and theory, electromagnetic theory, and Radio Frequency Hybrid and Monolithic Integrated Circuits, including mixed-signal circuits, from a few 100 MHz to THz. I. Paper Submission in Electronic Form Authors need to visit the website http://www.mtt.org/transactions/34-author-information-transactions.html for the author instructions. To reduce time from submission to publication of papers, the editorial office accepts manuscripts only in electronic form as .pdf files and all communications with authors will be via email. The files must not be larger than 1MB and no *.zip files are accepted. Submissions should be submitted through the Manuscript Central site at: http://mc.manuscriptcentral.com/tmtt-ieee and use the templates provided under http://www.ieee.org/publications_standards/publications/authors/authors_journals.html (Template for all Transactions (except IEEE Transactions on Magnetics), two-column template; can also be requested from the editorial office). Figures, graphs and all other necessary information for reviewing the manuscript must be included in this file (as opposed to being attached to it as separate files) and placed at appropriate locations within the text rather than at the end: • • • • • • • • • •
Figures should be large enough to be easily readable on a computer screen and on paper when printed out. A photograph of any component or circuit presented must be included. If, at the decision of the Editor, the component or circuit can be fabricated, measured characteristics must be included. All papers with theoretical contributions must have independent verification with measurement-based validation strongly preferred. Instrument screen captures are not suitable for publication and the data should be replotted. The print version of the paper will be in black and white, but color figures may be used in the electronic version of the paper. Axes should be labeled with large lettering. Whenever possible, theory and corresponding experimental results should be printed on the same graph for easy comparison. Follow the Guidelines for Author-Supplied Electronic Text and Graphics available for download at the above website. The minimum paper length is 4 pages, excluding the authors’ photos and biographies. Short papers of three pages or less should be sent to the IEEE MICROWAVE AND WIRELESS COMPONENTS LETTERS. The font size is specified in the templates. TeX and LaTeX users must use scalable fonts rather than bitmapped fonts to allow easy reading of .pdf files on the computer screen. Note: Manuscripts that are related to material submitted to or published at conferences are considered only if the content is significantly updated or contains material of substantially complementary nature. Authors must reference all of their previous papers that are similar. Please attach .pdf files of previous papers and clearly state (on a separate page) the difference with respect to the current submission. Failure to disclose prior papers by the authors that are similar will be rejected. II. Final Submission Format After a manuscript has been accepted for publication, the author will be requested to provide an electronic copy of the final version of the manuscript in pdf format; Microsoft Word is the preferred format for this final submission, although TEX and LATEX formats are also acceptable. Note: Although we require a .pdf file of the manuscript for the review process, this format is not acceptable (neither is .ps) for the final submission. Some additional guidelines must, however, be followed for the submission of the final manuscript in electronic form: • Include all macros (/def) that are required to produce your manuscript (TEX and LATEX). • IEEE Transaction/Journal style dictates a 21-pica (3.5 inch) column width. If mathematical expressions are produced with this in mind, they are more aesthetically pleasing in the final version. • Figures and tables must be submitted as separate files in .ps, .eps, .doc or .tiff format III. Page Charge Papers will be reviewed for their technical merit, and decisions to publish will be made independently of an author’s ability to pay page charges. Page charges of $110 (U.S.) per printed page will be requested on papers of six printed pages or less. Overlength page charges of $200 per page are mandatory for each page in excess of six pages. If the author’s organization agrees to honor the total page charge, which includes the page charges on the first six pages plus the mandatory overlength charge, the author will receive 100 reprints. If the supporting organization honors only the mandatory charge, no free reprints will be sent.
Digital Object Identifier 10.1109/TMTT.2011.2175029
EDITORIAL BOARD Editor-in-Chief: GEORGE E. PONCHAK Associate Editors: H. ZIRATH, W. VAN MOER, J.-S. RIEH, Q. XUE, L. ZHU, K. J. CHEN, M. YU, C.-W. TANG, J. PAPAPOLYMEROU, N. S. BARKER, C. D. SARRIS, C. FUMEAUX, D. HEO, B. BAKKALOGLU The following members reviewed papers during 2010
P. Aaen A. Abbaspour-Tamijani A. Abbosh D. Abbott A. Abdipour M. Abe M. Abegaonkar R. Abhari A. Abramowicz M. Acar L. Accatino R. Achar E. Ackerman J. Adam K. Agawa M. Ahmad H.-R. Ahn B. Ai M. Aikawa J. Aikio C. Aitchison M. Akaike T. Akin S. Aksoy I. Aksun A. Akyurtlu G. Ala L. Albasha A. Alexanian W. Ali-Ahmad F. Alimenti R. Allam K. Allen A. Alphones A. Alu A. Álvarez-Melcon A. Al-Zayed S. Amari H. Amasuga R. Amaya H. An D. Anagnostou M. Andersen K. Andersson M. Ando Y. Ando P. Andreani M. Andrés W. Andress K. Ang C. Angell I. Angelov Y. Antar G. Antonini H. Aoki V. Aparin F. Apollonio R. Araneo J. Archer F. Ares F. Ariaei T. Arima M. Armendariz L. Arnaut F. Arndt E. Artal H. Arthaber F. Aryanfar U. Arz M. Asai Y. Asano A. Asensio-Lopez K. Ashby H. Ashoka A. Atalar A. Atia S. Auster I. Awai A. Aydiner M. Ayza K. Azadet R. Azaro A. Babakhani P. Baccarelli M. Baginski I. Bahl S. Bajpai J. Baker-Jarvis B. Bakkaloglu M. Bakr A. Baladin C. Balanis S. Balasubramaniam J. Balbastre J. Ball P. Balsara Q. Balzano A. Banai S. Banba R. Bansal D. Barataud A. Barbosa F. Bardati I. Bardi J. Bardin A. Barel S. Barker F. Barnes J. Barr G. Bartolucci R. Bashirullan S. Bastioli A. Basu B. Bates R. Baxley Y. Bayram J.-B. Bégueret N. Behdad F. Belgacem H. Bell D. Belot J. Benedikt T. Berceli C. Berland M. Berroth G. Bertin E. Bertran A. Bessemoulin M. Beurden A. Bevilacqua A. Beyer M. Bialkowski
E. Biebl P. Bienstman S. Bila D. Blackham R. Blaikie M. Blank P. Blockley P. Blondy P. Blount D. Boccoli G. Boeck L. Boglione R. Boix G. Bonaguide F. Bonani G. Bonmassar O. Boos B. Borges V. Boria-Esbert O. Boric-Lubecke A. Borji S. Borm J. Bornemann W. Bosch R. Bosisio H. Boss G. Botta N. Boulejfen S. Boumaiza J. Bouny C. Boyd C. Bozler M. Bozzi R. Bradley D. Braess N. Braithwaite M. Brandolini G. Branner T. Brazil J. Breitbarth M. Bressan K. Breuer B. Bridges D. Bridges J. Brinkhoff E. Brown S. Brozovich E. Bryerton D. Budimir G. Burdge P. Burghignoli N. Buris C. C. Galup-Montoro B. Cabon P. Cabral L. Cabria C. Caloz C. Camacho-Peñalosa V. Camarchia E. Camargo R. Cameron M. Camiade C. Campbell M. Campovecchio F. Canavero A. Cangellaris A. Cantoni C. Cao F. Capolino F. Cappelluti G. Carchon J. Carmo K. Carr F. Carrez R. Carrillo-Ramirez P. Carro R. Carter N. Carvalho P. Casas R. Castello J. Catala M. Cavagnaro R. Caverly D. Cavigia J. Cazaux M. Celuch Z. Cendes D. Chadha M. Chae S. Chakraborty C. Chan C. Chang H. Chang K. Chang S. Chang T. Chang W. Chang E. Channabasappa H. Chapell W. Chappell C. Charles M. Chatras I. Chatterjee G. Chattopadhyay S. Chaudhuri S. Chebolu A. Cheldavi A. Chen C. Chen H. Chen J. Chen K. Chen M. Chen N. Chen S. Chen Y. Chen Z. Chen Z.-N. Chen H. Cheng K. Cheng M. Cheng Y. Cheng C. Cheon C. Chi M. Chia Y. Chiang J. Chiao A. Chin K. Chin H. Chiou Y. Chiou C. Chiu
H. Chiu A. Chizh C. Cho K. Cho T. Cho A. Choffrut C. Choi J. Choi W. Choi C. Chong M. Chongcheawchamnan C. Chou D. Choudhury E. Chow Y. Chow C. Christodoulou C. Christopoulos Q. Chu T. Chu H. Chuang M. Chuang Y. Chun S. Chung Y. Chung D. Chye A. Cidronali T. Cisco C. Cismaru O. Civi S. Clavijo M. Clénet D. Cogan P. Colantonio M. Cole J. Coleman J. Collantes R. Collin C. Collins B. Colpitts R. Compton G. Conciauro M. Condon D. Consonni A. Constanzo M. Converse K. Cools F. Cooray I. Corbella A. Costanzo S. Cotton C. Courtney G. Coutts J. Cowles J. Craninckx C. Crespo-Cadenas J. Cressler S. Cripps T. Crowe J. Cruz T. Cui E. Cullens T. Cunha W. Curtice J. Dabrowski W. Dai G. Dambrine P. Dankov F. Danneville I. Darwazeh A. Darwish N. Das M. Davidovich L. Davis D. Dawn J. Dawson H. Dayal F. De Flaviis D. De Zutter B. Deal A. Dearn J. Deen M. Dehan C. Dehollain C. Deibele G. Dejean M. DeLisio N. Deltimple S. Demir V. Demir J. Deng A. Dengi T. Denidni W. DeRaedt H. Deshpande Y. Deval R. Dey T. Dhaene L. Diaz A. Diaz-Morcillo L. Ding M. Dionigi C. Diskus A. Djordjevi T. Djordjevic J. Dobrowolski H. Dogan S. Donati X. Dong A. Dounavis P. Draxler R. Drayton A. Dreher J. Drewniak J. Duchamp A. Duffy L. Dunleavy J. Dunsmore S. Durden L. Dussopt C. Duvanaud J. East J. Ebel K. Eccleston I. Ederra R. Egri I. Ehrenberg N. Ehsan T. Eibert H. Eisele W. Eisenstadt G. Eleftheriades
F. Ellinger G. Ellis T. Ellis M. El-Nozahi M. Elsbury S. Elschner M. El-Shenawee T. Enoki K. Entesari L. Epp I. Erdin O. Ergul T. Eriksson C. Ernst D. Erricolo I. Eshrah M. Essaaidi H. Esteban C. Eswarappa W. Eyssa A. Ezzeddine C. Fager M. Fahmi Y. Fan D. Fang M. Farina A. Fathy M. Faulkner P. Fay A. Fazzi E. Fear P. Fedorenko D. Feld Y. Feng A. Feresidis A. Fernandez T. Fernandez M. Fernández-Barciela M. Ferndahl F. Fernez P. Ferrari E. Ferre-Pikal A. Ferrero M. Ferriss H. Fetterman J. Fiedziuszko S. Fiedziuszko G. Fikioris J. Fikioris I. Filanovsky F. Filicori D. Filipovic R. Fletcher B. Floyd H. Foltz N. Fong B. Fornberg F. Fortes K. Foster P. Foster P. Franzon A. Frappe J. Freire M. Freire A. Freundorfer F. Frezza I. Frigyes R. Frye J. Fu O. Fu R. Fujimoto O. Fujiwara C. Fumeaux C. Furse V. Fusco D. Gabbay E. Gad M. Gadringer N. Gagnon J. Gajadharsing A. Gala C. Galbraith B. Galwas J. Gambini A. Gameiro O. Gandhi B. Gao J. Gao S. Gao C. Gaquiere H. Garbe J. Garcia M. Garcia P. Garcia-Ducar F. Garcia-Vidal K. Gard P. Gardner P. Garland P. Gaudo J. Gautier S. Gedney B. Geelen F. Gekat B. Geller R. Genov A. Georgiadis N. Georgieva J. Gerdes W. Gerhard S. Gevorgian H. Ghali M. Ghanevati F. Ghannouchi K. Gharaibeh R. Gharpurey G. Ghione M. Ghovanloo F. Giannini A. Gibson I. Gil P. Gilabert B. Gimeno D. Ginste A. Goacher E. Godshalk A. Goel C. Goldsmith M. Golio M. Golosovsky R. Gómez-García A. Goncharenko X. Gong
R. Gonzalo S. Goodnick S. Gopalsami A. Gopinath A. Görür K. Gosalia M. Gouker K. Goverdhanam W. Grabherr J. Graffeuil L. Gragnani J. Grahn J. Grajal V. Granatstein A. Grbic A. Grebennikov I. Gresham A. Griol D. Grischowsky S. Grivet-Talocia E. Grossman S. Gruszczynski T. Grzegorczyk S. Guenneau T. Guerrero S. Gunnarsson J. Guo Y. Guo C. Gupta M. Gupta R. Gupta R. Gutmann W. Gwarek R. Habash S. Hadjiloucas D. Haemmerich M. Hagmann S. Hagness A. Halappa P. Hale D. Ham E. Hamidi O. Hammi H. Han T. Hancock A. Hanke G. Hanson Y. Hao Z. Hao R. Harjani L. Harle H. Harris P. Harrison O. Hartin J. Hasch H. Hashemi K. Hashimoto J. Haslett G. Hau S. Hauptmann L. Hayden L. He Y. He R. Heath E. Hegazi G. Hegazi S. Heinen W. Heinrich G. Heiter M. Hella R. Henderson F. Henkel B. Henning D. Heo K. Herrick F. Herzel J. Hesler J. Hesthaven K. Hettak H. Heuermann P. Heydari A. Hietala A. Higgins A. Hirata J. Hirokawa M. Ho K. Hoffmann R. Hoffmann E. Holzman V. Hombach J. Hong S. Hong W. Hong K. Honjo G. Hopkins Y. Horii J. Horng T.-S. Horng J. Horton K. Hosoya M. Hotta J. Hoversten J. Howard M. Høyerby H. Hsieh L. Hsieh C. Hsu H. Hsu J. Hsu C. Hsue R. Hu C. Huang F. Huang H. Huang P. Huang T. Huang J. Hubert W. Huei A. Hülsmann A. Hung C. Hung J. Hung I. Hunter I. Huynen H. Hwang J. Hwang K. Hwang R. Hwang G. Iannaccone K. Ikossi M. Isaksson T. Ishizaki
Digital Object Identifier 10.1109/TMTT.2011.2175030
S. Islam M. Ito K. Itoh T. Itoh Y. Itoh A. Ittipiboon F. Ivanek D. Iverson M. Iwamoto D. Jablonski D. Jachowski C. Jackson D. Jackson R. Jackson A. Jacob K. Jacobs S. Jacobsen D. Jaeger J. Jaeger S. Jagannathan N. Jain G. James M. Janezic S. Jang M. Jankovic D. Jansen L. Jansson H. Jantunen H. Jardon-Aguilar J. Jargon N. Jarosik B. Jarry P. Jarry A. Jastrzebski B. Jemison W. Jemison S. Jeng A. Jenkins S. Jeon D. Jeong J. Jeong Y. Jeong A. Jerng T. Jerse T. Jiang X. Jiang G. Jianjun D. Jiao J. Jin J. M. Jin J. Joe T. Johnson B. Jokanovic U. Jordan K. Joshin J. Joubert S. Jung T. Kaho S. Kanamaluru K. Kanaya S. Kang P. Kangaslahti B. Kapilevich I. Karanasiou M. Karim T. Kataoka A. Katz R. Kaul R. Kaunisto T. Kawai S. Kawasaki M. Kazimierczuk L. Kempel P. Kenington P. Kennedy A. Kerr D. Kettle A. Khalil W. Khalil S. Khang A. Khanifar A. Khanna R. Khazaka J. Khoja S. Kiaei J. Kiang B. Kim C. Kim D. Kim H. Kim I. Kim J. Kim S. Kim T. Kim W. Kim N. Kinayman R. King N. Kinzie S. Kirchoefer A. Kirilenko M. Kishihara T. Kitazawa J. Kitchen T. Klapwijk E. Klumperink D. Klymyshyn L. Knockaert R. Knoechel M. Koch K. Koh N. Kolias J. Komiak A. Komijani G. Kompa A. Konanur A. Konczykowska H. Kondoh B. Kopp B. Kormanyos J. Korvink P. Kosmas Y. Kotsuka S. Koziel A. Kozyrev V. Krishnamurthy H. Krishnaswamy C. Krowne J. Krupka D. Kryger H. Ku H. Kubo A. Kucar
A. Kucharski C. Kudsia A. Kudymov D. Kuester B. Kuhn W. Kuhn T. Kuki A. Kumar J. Kuno C. Kuo J.-T. Kuo H. Kurebayashi F. Kuroki L. Kushner S. Kusunoki D. Kuylenstierna Y. Kwon G. Kyriacou A. Lacaita J. Lamb P. Lampariello U. Langmann T. Larsen L. Larson J. Laskar C. Lau K. Lau A. Lauer D. Lautru P. Lavrador A. Lavrinenko A. Lazaro G. Lazzi R. Lech B. Lee C.-H. Lee C. Lee H. Lee J. Lee J.-H. Lee K. Lee R. Lee S. Lee T. Lee Y. Lee D. Leenaerts Z. Lei G. Leizerovich K. Leong Y. Leong R. Leoni C. Ler G. Leuzzi B. Levitas R. Levy C. Li L. Li M. Li X. Li L. Lianming C. Liao S. Liao D. Lie E. Lima E. Limiti F. Lin J. Lin K. Lin T. Lin Y. Lin S. Lindenmeier A. Lindner F. Ling D. Linkhart P. Linnér D. Linten D. Linton D. Lippens F. Little V. Litvinov C. Liu H. Liu J. Liu K. Liu Q. Liu S. Liu Y. Liu Z. Liu A. Llewandowski O. Llopis I. Lo L. Locht A. Loke K. Lonngren T. Lopetegi N. Lopez U. Lott G. Lovat D. Lovelace Z. Low C. Lu L. Lu S. Lu Y. Lu V. Lubecke S. Lucyszyn D. Ludwig N. Luhmann M. Lui J. Luy G. Lyons A. M. Niknejad K. Ma Z. Ma S. Maas P. Maccarini G. Macchiarella J. Machac B. Machiels M. Madihian A. Madjar G. Magerl S. Magierowski R. Mahmoudi I. Maio F. Maiwald A. Majedi H. Majedi M. Majewski M. Makimoto R. Makinen D. Malocha J. Manges
R. Mansour D. Manstretta J. Mao S. Mao F. Maradei A. Margomenos D. Markovic E. Márquez-Segura J. Martens F. Martin E. Martini K. Maruhashi J. Marzo D. Masotti A. Massa G. Massa F. Mastri J. Mateu A. Matsushima M. Mattes G. Matthaei K. Mayaram M. Mayer U. Mayer W. Mayer J. Mazeau S. Mazumder A. Mazzanti G. Mazzarella K. McCarthy G. McDonald I. McGregor M. McKinley J. McLean D. McQuiddy A. Mediano F. Medina M. Megahed I. Mehdi K. Mehrany A. Melcon R. Melville F. Mena D. Mencarelli C. Meng R. Menozzi W. Menzel P. Mercier B. Merkl F. Mesa R. Metaxas A. Metzger P. Meyer P. Mezzanotte E. Michielsen A. Mickelson D. Miller P. Millot J. Mingo F. Miranda D. Mirshekar A. Mirzaei S. Mitilineos R. Miyamoto K. Mizuno J. Modelski W. Moer M. Moghaddam A. Mohammadi S. Mohammadi A. Mohammadian P. Mohseni E. Moldovan M. Mollazadeh M. Mongiardo P. Monteiro J. Montejo-Garai G. Montoro J. Monzó-Cabrera J. Morente T. Morf D. Morgan M. Morgan A. Morini A. Morris J. Morsey A. Mortazawi M. Moussa M. Mrozowski Q. Mu J.-E. Mueller J. Muldavin K. Murata S.-S. Myoung M. Myslinski B. Nabet V. Nair K. Naishadham Y. Nakasha M. Nakatsugawa M. Nakhla J.-C. Nallatamby I. Nam S. Nam J. Nanzer T. Narhi A. Nashashibi A. Natarajan J. Nath A. Navarrini J. Navarro J. Nebus R. Negra J. Neilson B. Nelson P. Nepa A. Neri H. Newman G. Ng D. Ngo E. Ngoya C. Nguyen E. Nicol A. Nicolet S. Nicolson E. Niehenke M. Nielsen K. Nikita P. Nikitin N. Nikolova M. Nisenoff K. Nishikawa T. Nishino
G. Niu B. Noori C. Nordquist B. Notaros K. Noujeim D. Novak I. Novak G. Nusinovich K. O I. Obeid J. Obregon R. O’Dea M. O’Droma M. Odyniec J.-E. Oh T. Ohira E. Öjefors H. Okazaki V. Okhmatovski A. Oki M. Okumura G. Olbrich S. Olson F. Olyslager A. Omar K. Onodera B.-L. Ooi S. Ootaka H. Oraizi G. Orengo A. Orlandi R. Orta J. Ortega-Gonzalez S. Ortiz S. Otaka B. Otis K. Ozdemir T. Ozdemir O. Ozlem P. Paco R. Paknys S. Pal Y. Palaskas D. Palmer S. Pamarti G.-W. Pan S.-K. Pan A. Panariello K. Pance J. Papapolymerou S. Parisi C.-S. Park E. Park J.-S. Park M.-J. Park S. Park W. Park A. Parker T. Parker D. Pasquet M. Pastorino H. Pau S. Paulotto A. Pavio D. Pavlidis W. Pearson J.-C. Pedro S. Peik S. Pellerano G. Pelosi M. Pelosi D. Pelz R. Pengelly J. Pereda F. Pereira A. Perennec B. Perlman D. Peroulis L. Perregrini K. Per-Simon M. Persson M. Petelin A. Peterson A. Petosa O. Peverini U. Pfeiffer A.-V. Pham J. Phillips H. Pickett M. Pieraccini L. Pierantoni B. Pillans S. Pinel Z. Ping M. Pirola S. Pisa G. Pisano D. Pissoort D. Plant C. Plett J. Plumridge C. Pobanz A. Poddar F. Podevin R. Pogorzelski G. Ponchak A. Poon D. Popovic Z. Popovic J. Portilla M. Pospieszalski A. Pothier K. Pourvoyeur J. Powell H. Powen R. Prabhu L. Pradell S. Prasad D. Prather A. Priou S. Pruvost Y. Qian R. Qiang J. Qiu T. Quach X. Quan R. Quay C. Queck C. Quendo R. Quéré F. Quesada F. Raab V. Radisic
M. Raffetto A. Raffo T. Rahkonen R. Raich A. Raisanen O. Ramahi M. Ramdani R. Ranson P. Rantakari L. Ranzani P. Ratajczak H. Rategh C. Rauscher J. Rautio T. Rautio B. Rawat J. Rayas-Sanchez G. Rebeiz J. Rebollar M. Reddy J. Reid R. Reid J. Reina-Tosina S. Reising B. Rembold K. Remley R. Renaut S. Rengarajan D. Resca P. Reynaert S. Reynolds A. Rezazadeh E. Rezek S. Ricci A. Riddle L. Rienzo D. Ritter E. Rius J. Rizk V. Rizzoli M. Roberg I. Robertson P. Roblin A. Roden C. Rodenbeck W. Rodriguez F. Rodriguez-Morales M. Rodwell A. Rofougaran R. Rogers H. Rogier U. Rohde V. Rokhlin Y. Rolain J.-M. Rollin R. Romanofsky S. Romisch G. Romo Y. Rong D. Rönnow D. Root N. Rorsman M. Rosario L. Roselli A. Rosen U. Rosenberg M. Rosker T. Roste F. Rotella E. Rothwell R. Rotman P. Rovati J. Roy L. Roy M. Roy T. Rozzi T. Rubaek J. Rubio D. Rudolph M. Rudolph A. Ruehli C. Ruppel A. Rydberg J. Ryynänen C. Saavedra F. Sabath K. Sachse B. Sadler N. Safari A. Safarian A. Safavi-Naeini A. Safwat P. Saha K. Saito I. Sakagami S. Sakhnenko T. Samaras J. Sambles C. Samori A. Sanada J. Sanchez S. Sancho K. Sano A. Santarelli H. Santos S. Sanyal K. Sarabandi T. Sarkar C. Sarris H. Sato P. Saunier M. Sawan H. Sayadian A. Sayeed W. Scanlon E. Schamiloglu J. Schellenberg M. Schindler E. Schlecht E. Schmidhammer L.-P. Schmidt S. Schmidt D. Schmitt F.-J. Schmueckle J. Schoebel D. Schreurs D. Schrijver A. Schuchinsky P. Schuh L. Schulwitz K. Schünemann J. Schutt-Aine
J. Scott F. Sechi K. Sellal V. Semenov E. Semouchkina K.-S. Seo J. Sercu A. Serebryannikov J. Sevic O. Sevimli F. Seyfert L. Shafai A. Shameli O. Shanaa Z. Shao I. Shapir A. Sharma S. Sharma J. Sharp D. Sheen T. Shen Z. Shen Y. Shestopalov J. Shi Y.-Q. Shi H. Shigematsu Y. Shih H. Shin S. Shin S.-H. Shin N. Shino W. Shiroma S. Shitov K. Shu D. Shyroki D. Sievenpiper C. Silva D. Silveira M. Silveirinha K. Silvonen W. Simbuerger G. Simin R. Simons C. Simovsky J. Simpson V. Simulik D. Simunic H. Singh D. Sinnott Z. Sipus C. Siviero H. Sjöland M. Slazar-Palma R. Sloan P. Smith C. Snowden R. V. Snyder M. Sobhy A. Sodagar N. Sokal K. Solbach J. Sombrin Y.-K. Song R. Sorrentino A. Soury E. Sovero J. Sowers R. Sperlich B. Spielman K. Stadius P. Staecker D. Staiculescu D. Stancil A. Stancu A. Stanitzki S. Stapleton J. Staudinger P. Stauffer B. Stec D. Steenson P. Steenson M. Steer G. Stegmayer J. Stenarson B. Stengel K. Stephan C. Stevens N. Stevens M. Steyaert J. Stiens I. Stievano S. Stitzer M. Straayer B. Strassner A. Street W. Struble M. Stubbs M. Stuchly B. Stupfel A. Suárez G. Subramanyam T. Sudo N. Suematsu T. Suetsugu C. Sullivan F. Sullivan A. Sulyman N. Sun S. Sun X. Sun R. Sutton K. Suzuki J. Svacina M. Swaminathan D. Swanson B. Szendrenyi W. Tabbara A. Taflove Y. Tajima T. Takagi M. Takahashi I. Takenaka T. Takenaka V. Talanov S. Talisa K.-W. Tam B. Tan E. Tan J. Tan T. Tanaka C.-W. Tang W.-C. Tang
X.-H. Tang T. Taris R. Tascone P. Tasker J. Taub J. Tauritz V. Tavares S. Taylor D. Teeter R. Temkin M. Tentzeris V. Teppati J.-P. Teyssier N. Thakor H. Thal J. Tham M. Thumm M. Tiebout E. Tiiliharju M.-R. Tofighi P. Tognolatti T. Toifl T. Tokumitsu A. Tombak A. Topa E. Topsakal H. Torres-Silva G. Town S. Tretyakov R. Trew P. Troyk C. Trueman A. Truitt C.-M. Tsai Z.-M. Tsai J. Tsalamengas C.-H. Tseng T. Tsiboukis J. Tsui M. Tsutsumi S. H.-L. Tu W.-H. Tu N. Tufillaro V. Turin G. Twomey C.-K. Tzuang T. Ueda V. Urick K. U-Yen N. Uzunoglu T. Vähä-Heikkilä R. Vahldieck A. Valdovinos G. Vandenbosch K. Vanhille D. Vanhoenacker-Janvier G. Vannini L. Vardapetyan G. Vasilescu C. Vaucher J. Vaz L. Vegni G. Vendelin S. Verdeyme M. Vérez A. Verma J. Verspecht P. Vial H.-O. Vickes A. Victor L. Vietzorreck C. Vittoria S. Vitusevich R. Voelker S. Voinigescu J. Volakis A. Vorst M. Vossiek M. Vouvakis B. Vowinkel L. Vreede K. Vryssas C. Wagner B. Waldmann P. Waldow A. Walker P. Wambacq S. Wane B.-Z. Wang C. Wang C.-F. Wang C.-J. Wang E. Wang F. Wang H. Wang J. Wang K.-C. Wang N. Wang X. Wang Y. Wang Y.-H. Wang Z.-G. Wang C. Ward J. Ward W. Wattanapanitch J. Webb D. Webster R. Webster S. Wedge J. Weem X. Wei D. Weide R. Weigel R. Weikle C. Weil T. Weiland D. Weile S. Weinreb M. Weiss S. Weiss T. Weller C. Wen G. Wen S. Wentworth D. Wentzloff R. Wenzel J. Whelehan J. Whitaker J. White J. Wiart M. Wickert
A. Wiesbauer J. Wight D. Willems B. Willemsen D. Williams A. Williamson J. Wilson J. Wiltse T. Winkel K. Wise D. Wisell M. Wolf E. Wollack G. Wollenberg F. Wong K. Wong M. Wong S. Wong K. Woo J. Wood G. Woods D. Woolard C. Wu J.-M. Wu K.-L. Wu K. Wu L. Wu R.-B. Wu T. Wu T.-L. Wu R. Wylde T. Wysocki M. Xia S. Xiang J. Xiao Y. Xiao C. Xie J. Xu S. Xu Q. Xue M. Yagoub T. Yakabe A. Yakovlev K. Yamamoto K. Yamauchi W. Yan C.-L. Yang F. Yang N. Yang X. Yang Y. Yang Z. Yang F. Yanovsky H.-W. Yao J. Yao A. Yarovoy Y. Yashchyshyn K. Yashiro K. Yasumoto J. Yau S. Ye J. Yeh K.-S. Yeo S.-P. Yeo K.-W. Yeom L.-K. Yeung W.-Y. Yin X.-S. Yin S. Yngvesson D. Yongsheng D. Yoo H.-J. Yoo J.-G. Yook E. Yoon J.-B. Yoon R. York S. Yoshikado A. Young B. Young D. Young P. Young W. Young H.-K. Yu M. Yu P. Yu R. Yu W. Yu Y. Yu M. Yuan M. Yuce S.-W. Yun F. Zabini J. Zaeytijd K. Zaki P. Zampardi J. Zapata L. Zappelli C. Zelley P. Zhai C. Zhang F. Zhang G. Zhang H. Zhang J. Zhang N. Zhang Q.-J. Zhang R. Zhang Y. Zhang A.-P. Zhao Y.-J. Zhao Y. Zhao Y. Zheng Q. Zhiguo H. Zhou A. Zhu L. Zhu N.-H. Zhu X. Zhu J. Zhuang H. Zirath