Lithography [1 ed.] 9781118621233, 9781118557662

Lithography is now a complex tool at the heart of a technological process for manufacturing micro and nanocomponents. A

222 35 9MB

English Pages 405 Year 2010

Report DMCA / Copyright

DOWNLOAD PDF FILE

Recommend Papers

Lithography [1 ed.]
 9781118621233, 9781118557662

  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

Lithography

Lithography Main techniques

Edited by Stefan Landis

First published 2011 in Great Britain and the United States by ISTE Ltd and John Wiley & Sons, Inc. Adapted and updated from Lithography published 2010 in France by Hermes Science/Lavoisier © LAVOISIER 2010 Apart from any fair dealing for the purposes of research or private study, or criticism or review, as permitted under the Copyright, Designs and Patents Act 1988, this publication may only be reproduced, stored or transmitted, in any form or by any means, with the prior permission in writing of the publishers, or in the case of reprographic reproduction in accordance with the terms and licenses issued by the CLA. Enquiries concerning reproduction outside these terms should be sent to the publishers at the undermentioned address: ISTE Ltd 27-37 St George’s Road London SW19 4EU UK

John Wiley & Sons, Inc. 111 River Street Hoboken, NJ 07030 USA

www.iste.co.uk

www.wiley.com

© ISTE Ltd 2011 The rights of Stefan Landis to be identified as the author of this work have been asserted by him in accordance with the Copyright, Designs and Patents Act 1988. Library of Congress Cataloging-in-Publication Data Landis, Stefan. Lithography / Stefan Landis. p. cm. Summary: "Lithography is now a complex tool at the heart of a technological process for manufacturing micro and nanocomponents. A multidisciplinary technology, lithography continues to push the limits of optics, chemistry, mechanics, micro and nano-fluids, etc. This book deals with essential technologies and processes, primarily used in industrial manufacturing of microprocessors and other electronic components"-- Provided by publisher. Includes bibliographical references and index. ISBN 978-1-84821-202-2 (hardback) 1. Microlithography. I. Title. TK7872.M3L36 2010 621.3815'31--dc22 2010040731 British Library Cataloguing-in-Publication Data A CIP record for this book is available from the British Library ISBN 978-1-84821-202-2 Printed and bound in Great Britain by CPI Antony Rowe, Chippenham and Eastbourne.

Table of Contents

Foreword. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Jörge DE SOUSA NORONHA

xi

Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Michel BRILLOUËT

xvii

Chapter 1. Photolithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE

1

1.1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . 1.2. Principles and technology of scanners . . . . . . . . . 1.2.1. Illumination . . . . . . . . . . . . . . . . . . . . . . 1.2.2. The mask or reticle . . . . . . . . . . . . . . . . . . 1.2.3. Projection optics . . . . . . . . . . . . . . . . . . . 1.2.4. Repeated projection and scanning projection . . 1.3. Lithography processes . . . . . . . . . . . . . . . . . . 1.3.1. Anti-reflective coating . . . . . . . . . . . . . . . . 1.3.2. Resists . . . . . . . . . . . . . . . . . . . . . . . . . 1.3.3. Barrier layers or “top coating” . . . . . . . . . . . 1.4. Immersion photolithography . . . . . . . . . . . . . . . 1.4.1. Immersion lithography . . . . . . . . . . . . . . . . 1.4.2. Resolution improvement. . . . . . . . . . . . . . . 1.4.3. Relevance of immersion lithography . . . . . . . 1.4.4. Immersion liquids. . . . . . . . . . . . . . . . . . . 1.4.5. Immersion scanners . . . . . . . . . . . . . . . . . 1.4.6. Immersion specific constraints and issues . . . . 1.5. Image formation . . . . . . . . . . . . . . . . . . . . . . 1.6. Lithography performances enhancement techniques. 1.6.1. Off axis illumination (OAI) . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . .

1 3 3 4 5 7 8 9 10 12 12 12 13 15 16 19 22 25 27 28

vi

Lithography

1.6.2. Optical proximity corrections (OPC) 1.6.3. Phase shift masks (PSM) . . . . . . . 1.7. Contrast . . . . . . . . . . . . . . . . . . . . 1.7.1. Polarized light contrast. . . . . . . . . 1.7.2. Influence of contrast on roughness. . 1.8. Bibliography . . . . . . . . . . . . . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . .

29 30 31 31 34 38

Chapter 2. Extreme Ultraviolet Lithography . . . . . . . . . . . . . . . . . . . Maxime BESACIER, Christophe CONSTANCIAS and Jean-Yves ROBIC

41

2.1. Introduction to extreme ultraviolet lithography . . . . . . . . . . . 2.1.1. Chapter introduction . . . . . . . . . . . . . . . . . . . . . . . . 2.1.2. Extreme ultraviolet lithography: the successor of optical lithography at 248 nm and 193 nm wavelengths . . . . . . . . . . . 2.1.3. The spectral range of extreme ultraviolet . . . . . . . . . . . . 2.1.4. Choice of wavelength and resolution limit for EUV lithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2. The electromagnetic properties of materials and the complex index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2.1. Wave vector and complex index . . . . . . . . . . . . . . . . . 2.2.2. Scattering and absorption: the electromagnetic origin of the refractive index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.2.3. Light propagation and refractive index . . . . . . . . . . . . . 2.2.4. Reflection and transmission of a monochromatic wave . . . 2.3. Reflective optical elements for EUV lithography . . . . . . . . . 2.3.1. The interferential mirror principle: Bragg structure . . . . . . 2.3.2. Reflective optics: conception and fabrication . . . . . . . . . 2.3.3. Projection optics for EUV lithography . . . . . . . . . . . . . 2.4. Reflective masks for EUV lithography. . . . . . . . . . . . . . . . 2.4.1. Different mask types . . . . . . . . . . . . . . . . . . . . . . . . 2.4.2. Manufacturing processes for EUV masks . . . . . . . . . . . 2.4.3. Mask defectivity . . . . . . . . . . . . . . . . . . . . . . . . . . 2.5. Modeling and simulation for EUV lithography . . . . . . . . . . . 2.5.1. Simulation, a conceptional tool . . . . . . . . . . . . . . . . . . 2.5.2. Simulation methods . . . . . . . . . . . . . . . . . . . . . . . . 2.6. EUV lithography sources . . . . . . . . . . . . . . . . . . . . . . . . 2.6.1. Constitutive elements of a plasma source . . . . . . . . . . . . 2.6.2. Specifications for an EUV source . . . . . . . . . . . . . . . . 2.6.3. EUV sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.7. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.8. Appendix: Kramers–Krönig relationship . . . . . . . . . . . . . . 2.9. Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . .

. . . . . .

. . . . . .

. . . . . . . .

41 41

. . . . . . . .

42 44

. . . .

44

. . . . . . . .

46 47

. . . . . . . . . . . . . . . . . . . . .

48 53 57 61 61 63 70 72 72 76 78 79 79 82 90 90 91 92 95 96 97

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

Table of Contents

Chapter 3. Electron Beam Lithography . . . . . . . . . . . . . . . . . . . . . . Christophe CONSTANCIAS, Stefan LANDIS, Serdar MANAKLI, Luc MARTIN, Laurent PAIN and David RIO 3.1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2. Different equipment, its operation and limits: current and future solutions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.2.1. Gaussian beam . . . . . . . . . . . . . . . . . . . . . . . . 3.2.2. Shaped electron beam . . . . . . . . . . . . . . . . . . . . 3.2.3. Multi-electron beam . . . . . . . . . . . . . . . . . . . . . 3.3. Maskless photolithography. . . . . . . . . . . . . . . . . . . . 3.3.1. Optical lithography without a mask . . . . . . . . . . . . 3.3.2. Charged particle maskless lithography . . . . . . . . . . 3.4. Alignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.5. Electron-sensitive resists . . . . . . . . . . . . . . . . . . . . . 3.6. Electron–matter interaction . . . . . . . . . . . . . . . . . . . 3.7. Physical effect of electronic bombardment in the target . . 3.7.1. Polymerizing, chemical bond breaking . . . . . . . . . . 3.7.2. Thermal effect . . . . . . . . . . . . . . . . . . . . . . . . . 3.7.3. Electrical effect . . . . . . . . . . . . . . . . . . . . . . . . 3.8. Physical limitations of e-beam lithography . . . . . . . . . . 3.8.1. Fundamental limit of electrons . . . . . . . . . . . . . . . 3.8.2. Resist-related limitations . . . . . . . . . . . . . . . . . . 3.8.3. Limitations linked to tooling and electronic optics . . . 3.8.4. Diameter of the crossover . . . . . . . . . . . . . . . . . . 3.8.5. Optical geometrical aberrations . . . . . . . . . . . . . . 3.8.6. Chromatic aberrations . . . . . . . . . . . . . . . . . . . . 3.8.7. Space charge aberration . . . . . . . . . . . . . . . . . . . 3.9. Electrons energy loss mechanisms . . . . . . . . . . . . . . . 3.9.1. The notion of cross-section . . . . . . . . . . . . . . . . . 3.9.2. Elastic scattering on the nuclei . . . . . . . . . . . . . . . 3.9.3. Inelastic electron–electron collisions . . . . . . . . . . . 3.9.4. Electromagnetic braking of electrons: Bremsstrahlung . 3.9.5. Energy distribution in the resist . . . . . . . . . . . . . . 3.9.6. Monte Carlo simulation . . . . . . . . . . . . . . . . . . . 3.10. Database preparation . . . . . . . . . . . . . . . . . . . . . . 3.10.1. Database preparation process . . . . . . . . . . . . . . . 3.10.2. Input formats . . . . . . . . . . . . . . . . . . . . . . . . . 3.10.3. Proximity effects . . . . . . . . . . . . . . . . . . . . . . 3.11. E-beam lithography equipment . . . . . . . . . . . . . . . . 3.11.1. Principle of electron-beam writing . . . . . . . . . . . . 3.11.2. Examples of Gaussian beam tools . . . . . . . . . . . . 3.12. E-beam resist process . . . . . . . . . . . . . . . . . . . . . .

vii

101

. . . . . . .

101

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

106 106 106 109 109 109 110 118 120 121 123 123 124 124 125 126 127 129 130 132 133 134 136 136 138 139 142 143 144 146 146 148 149 156 156 168 168

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

viii

Lithography

3.12.1. The resist . . . . . . . . . . . . . . . . . . 3.12.2. The nature of the substrate . . . . . . . . 3.12.3. Proximity effects . . . . . . . . . . . . . 3.12.4. Development . . . . . . . . . . . . . . . . 3.12.5. The energy of the electrons . . . . . . . 3.12.6. Thickness of the resist film . . . . . . . 3.12.7. Summary . . . . . . . . . . . . . . . . . . 3.12.8. Chemically Amplified Resists (CARs) 3.12.9. Non-CARs . . . . . . . . . . . . . . . . . 3.12.10. Evacuation of charges on a dielectric. 3.13. Bibliography . . . . . . . . . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

169 170 170 171 172 173 174 176 176 178 179

Chapter 4. Focused Ion Beam Direct-Writing . . . . . . . . . . . . . . . . . . Jacques GIERAK

183

4.1. Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1.1. A little history . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.1.2. So why did it take so long to implement that suggestion? . . . 4.2. Main fields of application of focused ion beams . . . . . . . . . . . 4.2.1. Scanning ion microscopy . . . . . . . . . . . . . . . . . . . . . . 4.2.2. Ion lithography on sensitive resists . . . . . . . . . . . . . . . . 4.2.3. Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.4. Localized etching . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.2.5. Reactive gas and metal precursor injection . . . . . . . . . . . . 4.3. From microfabrication to nanoetching . . . . . . . . . . . . . . . . . 4.3.1. Principles and properties of liquid metal ion sources . . . . . . 4.3.2. Principles and properties of an ion column for focused ion beam generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.3. Calculation of the optical properties of an electrostatic system . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.3.4. Optimization: a very high resolution FIB column (NanoFIB) . 4.3.5. Architecture of FIB instruments . . . . . . . . . . . . . . . . . . 4.4. The applications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.1. Thin membrane preparation for Transmission Electron Microscopy (TEM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.2. Exploration of the ultimate nanostructuring potential of a focused ion beam . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.5. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.6. Acknowledgements . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.7. Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . .

183 183 184 185 185 187 188 189 191 193 194

. . .

199

. . . .

. . . .

210 212 216 216

. . .

216

. . . .

219 225 226 226

. . . . . . . . . . .

. . . . . . . . . . .

. . . . . . . . . . .

. . . .

. . . .

. . . .

Table of Contents

ix

Chapter 5. Charged Particle Optics . . . . . . . . . . . . . . . . . . . . . . . . . Peter HAWKES

233

5.1. The beginnings: optics or ballistics? . . . . . . . . . . . . . . . . . . 5.2. The two approaches: Newton and Fermat . . . . . . . . . . . . . . . 5.3. Linear approximation: paraxial optics of systems with a straight optic axis, cardinal elements, matrix representation . . . . . . . 5.4. Types of defect: geometrical, chromatic and parasitic aberrations 5.4.1 Geometrical aberrations . . . . . . . . . . . . . . . . . . . . . . . 5.4.2 Chromatic aberrations . . . . . . . . . . . . . . . . . . . . . . . . 5.4.3 Parasitic aberrations . . . . . . . . . . . . . . . . . . . . . . . . . . 5.5. Numerical calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.5.1. Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.6. Special cases . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.6.1. Guns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.6.2. Aberration correctors . . . . . . . . . . . . . . . . . . . . . . . . . 5.6.3. Further reading . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.7. Appendix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5.8. Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

. . . . . .

233 234

. . . . . . . . . . . . .

. . . . . . . . . . . . .

237 245 247 252 253 253 257 257 257 264 268 269 269

Chapter 6. Lithography resists . . . . . . . . . . . . . . . . . . . . . . . . . . . . Amandine JOUVE, Michael MAY, Isabelle SERVIN and Julia SIMON

275

6.1. Lithographic process . . . . . . . . . . . . . . . . . . . . 6.1.1. Substrate preparation . . . . . . . . . . . . . . . . . . 6.1.2. Resist coating using centrifugation . . . . . . . . . 6.1.3. Post coating thermal bake/post apply bake (PAB) 6.1.4. Exposure . . . . . . . . . . . . . . . . . . . . . . . . . 6.1.5. Post exposure bake (PEB) . . . . . . . . . . . . . . . 6.1.6. Development step . . . . . . . . . . . . . . . . . . . . 6.2. Photosensitive resists . . . . . . . . . . . . . . . . . . . . 6.2.1. Resist types . . . . . . . . . . . . . . . . . . . . . . . 6.2.2. PAC resist . . . . . . . . . . . . . . . . . . . . . . . . 6.2.3. Chemically amplified resists (CAR) . . . . . . . . . 6.2.4. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . 6.3. Performance criteria . . . . . . . . . . . . . . . . . . . . . 6.3.1. Sensitivity/contrast . . . . . . . . . . . . . . . . . . . 6.3.2. Process window . . . . . . . . . . . . . . . . . . . . . 6.3.3. Line roughness . . . . . . . . . . . . . . . . . . . . . 6.3.4. Resist outgassing . . . . . . . . . . . . . . . . . . . . 6.3.5. Reflectivity control . . . . . . . . . . . . . . . . . . . 6.3.6. Pattern collapse . . . . . . . . . . . . . . . . . . . . . 6.3.7. Thin film effect . . . . . . . . . . . . . . . . . . . . . 6.3.8. Etch resistance . . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

. . . . . . . . . . . . . . . . . . . . .

275 276 278 281 282 282 284 286 286 288 290 315 316 316 317 319 321 326 333 341 346

x

Lithography

6.3.9. Implantation resistance . . . . . . . . . . . . . . . . . . . . . . . . . . 6.4. Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.5. Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

355 358 359

List of Authors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

369

Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

373

Foreword

“An image is a pure creation of spirit.” (Pierre Reverdy) Today, in a world of eternal representation, we are the observers of the theater of the grand image for as far as the eye can see, a theater which incessantly unfolds in the marvelous recording box that is our brain. Though we see them, the touch and even the substance of illustrations sometimes escape us completely, so much so that we can almost not differentiate between representative illusion and the physical reality of beings and things. Yet, the representation of the world in our eyes is not the same as the one that we want to transpose, to put into images. There, the reality of that which is visible is captured by our brains, which makes copies which are sometimes faithful, sometimes untrue. To produce these images we have, since the dawn of mankind, resorted to sometimes extremely complex alchemies, where invention has struggled with various materials, as a result of which we have been able to leave behind our illustrated drawings, the prints of our lives and of our societies. For some 32,000 years man has not stopped etching, doodling, drawing, copying, painting, reproducing – for nothing, for eternity – producing millions of infinite writings and images which are the imperishable memory of his genius. How did he do it, with which materials, on what, and why? The alchemy of representation, in its great complexity, deserves to be slowed down, so that we can try to understand, for example, how today’s images reach us in a kind of gigantic whirlwind, whereas 200 years ago these things were still rather sober. Or how else could we go from an image that we can look at, to an image that is difficult to see, or to one that we cannot even see with the naked eye? Whereas now we throw things away, in the past images were preciously preserved. Are the images which we do try to preserve today not the same as the ones we were preserving yesterday?

Foreword written by Jörge DE SOUSA NORONHA.

xii

Lithography

It is amongst the cavemen that that which I call the image maker can first be outlined. Collating their visions, their dreams, their beliefs on cave walls, these first imagicians undoubtedly bequeathed to us the only widely known account of this period. In their wake, we will be able to better evaluate the formal evolution of the visual representation of nature and things, this inevitable invention in which we endeavor to capture the spirit through an artefact. Man had to train long and hard to finally tame and durably transmit the images of the world which surrounded him. The techniques employed across the ages to make and convey these images, the materials, the pigments, the bindings, the instruments and the mediums, either natural, chemical or manufactured, not only conditioned the appearance of the image itself but also its durability. Cave paintings, coins, palaces, churches, are just some of the mediums which have left us with invaluable visual evidence of more or less remote pasts, sometimes essential for putting together the history of humanity. If we consider the manufacturing and the trading of images from the beginning, and in its totality, we can distinguish two major periods: the longest, the pre-photographic; and the postphotographic, which began in the first half of the 19th Century, and which is therefore extremely recent. Admittedly, our eyes can see but they cannot take photographs. The images that they collect are transitory fragments in a “bandwidth”, a time kept in the memory, often lost, far from any material existence, and for which any attempt at verbal transcription is on this side of reality. For other animals, sight is part of a sub-conscious effort to survive. For man, by contrast, sight is a conscious irreplaceable instrument, appreciating the outside world, which is an integral part of his own physical and mental development. For us, to see is natural. However, representing what we see calls upon a certain kind of initiation. How were the first painters of history introduced to engraving and drawing? How were they able to find or invent the tools and materials needed to succeed? The tools, materials and shapes are precisely the three essential ingredients needed to build, needed to formalize the representation of the visible. Footprints on sand, for example, undoubtedly the first prints left by man, were already kinds of natural images of the body, and most probably were the root of the original idea to make images. The tool here was man’s own foot, with its shape, using a soft and flexible material, a support able to keep an image. Thus, without any doubt, the earth and sand were among the first image mediums, even before other sketches came to cover other materials, and other surfaces. The various attempts leading to the reproduction and spreading of visible images or texts, little by little, drove man to develop very clever techniques, sometimes born out of chance, or sometimes by increasingly elaborate research. The first stone engravings (from before 600 BC) precede, by a long time, the first examples of

Foreword

xiii

wood engravings (c. 200 AD), or metal engravings made by a direct method, then etchings, or the invention of typographical characters, and, finally, lithography itself, which has been, from the 19th Century onwards, a practically irreplaceable means of reproduction, and remains an essential part of the book and publicity industries, even today. The document media have also diversified and evolved incessantly since the beginning. Stone, bone or ivory, terracotta, glass, skins, leaves, wood, parchment, paper, celluloid, vinyl, are just some of the aids bequeathed to us, with greater or lesser clarity or brittleness, the precious evidence of life and the history of mankind. In 1796, 43 years before the invention of photography, the lithographic reproduction technique was invented by Aloïs Senefelder in Germany. Developed through the first half of the 20th Century, it brought, without question, the most important graphic revolution in the worlds of text reproduction and printed images. In this respect, we can consider two very great periods in the history of print: one, the pre-lithographic period, and the other which began with lithography in all of its forms. Here, two distinct lithographic fields start to truly develop: on one side, the advanced forms of the graphics industry (and the photolithographic industry); and, on the other side, a completely innovative form of artistic expression, now freed from the technical constraints of engraving and now able to devote itself with joy to those much freer forms of graphics, with drawings made (or transferred) directly onto the lithographic support itself. These two domains participated, together, in the technical developments which led finally to the offset printing methods used overwhelmingly today and which profit from these most advanced technologies. As far as the photographic reproduction of images was concerned, one major challenge was the faithful reproduction of half-tones. This problem was solved in 1884 by Meisenbach, the inventor of the linear screen which was quickly applied to typographical image reproduction and then successively to photo-lithography and to offset printing. This photographic support itself already contained the seeds and the “secret” of the visibility of half-tones, incorporating the smoothness of the granular nature even of photosensitive emulsions. But to print them, it was necessary to find a way of transcribing them in a printing matrix, initially in black and white, and then later in color. An interesting characteristic is that the various screens which we have just alluded to, in particular the finest or ultra-fine (higher than 80 lines/cm) or the most recent digital grids forming an ultra-fine grid of random dots, have always tried to more or less blend in, until made invisible to the naked eye. The printed images our eyes can see are actually optical illusions. Today, if we look closely at a beautiful reproduction of an engraving by Durer, or at a painting by Vélasquez, for example, it is impossible to distinguish the dots from the printing screens which they are made from. Already in the 19th Century, commercial chromolithography had used clever methods to create half-tones, either with the proper matrix granulation

xiv

Lithography

(stones or granulated metal), or by dots, drawn very finely with a feather, which simultaneously allowed the ranges and mixtures of the colors, of which there are some sublime examples. In the art field, it is nowadays necessary to use a microscope with a magnification of ×30 to determine the true nature of a printing technique. Even in the first half of the 20th Century, we saw the first steps of a very new aid to knowledge. Indeed, 1936 and the publication of a founding article by Alan Turing, “On computable numbers with an application to the Entscheidungsproblem”, is the true starting point of the creation of programmable computers. But it was especially from the 1980s that the use of computers was democratized and, little by little, became essential to the world of information and imagery. From then on, texts and images have been created by each and everyone, with no need to be preserved in a physical, material way, but instead held on other media which we would not have dared to even imagine 30 years earlier. The image, which is still the product of another optical illusion, while keeping its own graphic originality, from now on needs no hardware support to be visible. It has its own light, can be modified at will, engraved, printed, and sent to the entire world with the single touch of a button. The image, in this case, is created in all its subtleties of color and light, not by a material screen, but by something which replaces it virtually, a succession of dots invisible to the eye (pixels) which are now at the origin of texts and images digitally recorded on our computers. During the second half of the 20th Century, the American Jack Kilby invented the very first printed circuit (in 1958), another artefact in the service of knowledge transmission which is at the root of modern data processing, and the mass production of electronic chips with integrated transistors began not much later. For his work and his some 60 patents, Kilby received the Nobel Prize for Physics in 2000. All these circuits are used in a more or less direct way nowadays, in information recording and image handling and storage. The big family of integrated circuits and microprocessors continues to move forward, and with them has come another new technology, microscopic photolithography, which makes new plate sensitization techniques possible and, thanks to the use of masks and light beams, the engraving of circuit supports in smaller and smaller micro-relief (such as, for example, the various chip-cards with integrated circuits, whether analog or digital). At the beginning of the third millennium, another “image” architecture was already on the horizon, in a nanosphere with still vague contours, which curiously made us swing from a visible optical illusion towards an invisible physical reality. Indeed, from micro-photolithography to polymeric nanostructured materials by nanolithographic printing, the miniaturization of three-dimensional engraved spaces took a giant leap forward. micro-dimensions are already virtually invisible to the

Foreword

xv

naked eye; those of nano-dimensions will need a scanning electron microscope to be seen. Lithography has thus exceeded the old domains of printed texts and of the “macro-image” with which we were more familiar, to reach other limits, in a new nano-imagery resolutely emerging from a dream world. Ultra-miniaturized circuits, texts and images can, from now on, be conceived in infinitesimal spaces, and it may even be possible to think that millions of images, for example, could in the future easily be stored in less than one square meter of recording space. However, we still know little about the stability and perennial nature of these digital media. How will the enormous mass of documentation recorded each day, all the images and mixed texts, be preserved? What will become of them in the coming centuries? We, who have already benefitted from many “recordings” of the past, also have a shared responsibility for the way in which we leave our imprints for future generations. From now on, we dare to hope, copying and the successive multiplication of documents will allow a kind of systematic and unlimited preservation of writings and images for the future.

Jörge DE SOUSA NORONHA

Introduction

Implications of Lithography

The microelectronic industry is remarkable for its exponential growth over recent decades. At the heart of this success is “Moore’s law”, a simple technical and economic assessment according to which it is always possible to integrate more and more functions into a circuit at reduced costs. This observation, made in the mid1960s, has been transformed into a passionate obligation to fulfill its own prophecy, and has focused the efforts of an entire generation of microelectronics researchers and engineers. Anyone talking about greater integration density is thinking about increasing our capacity to precisely define and place increasingly smaller components, building and using materials to support them. Lithography is succeeding in this arena, using increasingly sophisticated techniques, and is essential to the progress of the semiconductor industry because it allows a reduction in the size of patterns as well as an increase in the integration density of the integrated circuits at an economically acceptable cost. The issue of dimension is considered so central to all microelectronic improvements that the industry calls each generation of the process, or each technological node, after a dimension which characterizes the technology; often, the half-pitch of the most dense interconnection is used. For a 45 nm technology for example, the minimum period of the interconnection pattern is 90 nm. Doubling the integration density of a circuit means decreasing its linear dimensions by 0.7: the nominal typical dimensions of advanced technologies follow one another at this rate, from 90 nm to 65 nm then 45 nm, 32 nm, 22 nm, etc. Introduction written by Michel BRILLOUËT.

xviii

Lithography

From a very simplistic point of view, the fabrication of integrated circuits concatenates and alternates two types of processing on the wafer (Figure I.1); either: – a functional layer is deposited by a lithographic process. The material is localized by removing the extra material in the non-selected areas (subtractive process): this is the case, for example, for contact holes through an isolating layer; or – a specific area is defined where a technological process is locally applied, the confinement system being removed at the end of the step (additive process): this is the case for ionic implantation or localized electro-deposition. The efficiency of the lithographic process depends on only a few fundamental parameters: – the capability of printing even the smallest patterns, or resolution; – the precise alignment of each layer of a circuit; – the capacity to obtain repeatable patterns, of a controlled geometrical shape; – the capacity to control fabrication costs as a function of the products’ typology. A greater integration density implies that the very smallest patterns must be able to be manufactured, hence the focus on ultimate resolution for lithography techniques. Patterns of just a dozen nanometers do not surprise anyone anymore, and even atomic resolutions are now achievable, with today’s more sophisticated experimental conditions. Optical lithography remains the preferred production choice. Despite inevitably being abandoned once the physical limits of the micron, and then of the 100 nm, are crossed, it remains today the preferred technique for mass production for 32 nm, thanks to the numerous innovations of the past 20 years. In optical lithography, a polymer layer called a photosensitive resist is deposited on a wafer. This resist is composed of a matrix which is transparent to the exposure wavelength and contains photosensitive compounds. When the image of the patterns from a mask is projected onto the wafer (and onto the photosensitive resist), the areas exposed are submitted to a photochemical reaction which, if completed correctly, enables the dissolution of the resist in those areas (in the case of positive resists), or prevents dissolution (in the case of negative resists). We can therefore obtain perfectly delimited areas for which the substrate is bare, and have areas still protected by the resist, allowing a subsequent local treatment. At the end of the process, the resist is removed from the wafer. During the fabrication of integrated circuits, this step is repeated several dozen times, hence the central role of lithography in microelectronics.

Introduction

xix

(a)

(b) Figure I.1. A localized process using lithography can be (a) subtractive (by locally removing non-functional material), or (b) additive (by forcing the local treatment of the wafer where it is required)

In order to understand simply how this technique reaches its highest resolution, we can refer to the standard formula giving the resolution, R: R = k1 × λ/ NA in which λ is the wavelength of the exposure light, NA the numerical aperture of the projection optics and k1 a factor depending on the technological process. Each of these factors corresponds to a way of improving the image resolution.

xx

Lithography

Improvements were first made by decreasing the exposure wavelength λ. This was why, in the 1980s, the first tools started using different radiations from a mercury lamp (λ = 436 nm, called g-line radiation; 405 nm, or h-line; and then 365 nm, or i-line), usually using reduction projection optics based on quartz. Each wavelength change was accompanied by process changes that were major at the time, but which, in retrospect, could now be qualified as minor. The first transition came in the 1990s with the use of deep ultraviolet excimer lasers, first with 248 nm (with a KrF laser) and then 193 nm (with an ArF laser), and allowed feature size resolution below the 0.1 µm limit to be reached. However, this evolution required major changes in either projection optics (use of CaF2 in addition to quartz) or in the choice of the transparent matrix of the photosensitive resist. The normal evolution would have moved towards F2 lasers (λ = 157 nm) which need projection optics made mainly out of CaF2, a material whose bi-refringence has proven to be a major obstacle: in the decade after 2000, after many years of development, industry finally concluded that it was illusory to continue down this path for mass production. Reducing the k1 parameter then appeared very promising. This is achieved by first improving the resist process, for example by increasing its contrast with nonlinear phenomena or by controlling the diffusion of the photosensitive compound. By optimizing illumination techniques (annular, quadripolar, etc.), it is also possible to gain resolution and process control but often by promoting certain shapes or pattern orientations. It has been, above all, by mastering diffraction phenomena, and thus influencing the exposure light phases, that progress has been the most spectacular: it has been acknowledged that it is now possible to go beyond the Rayleigh criterion and print patterns even smaller than the exposure wavelength. From laboratory curiosities, these techniques have now become the workhorse of the microelectronics industry and are now known under the name “Resolution Enhancement Techniques”. In a very schematic manner, and for a certain illumination and resist process, we will try to calculate what the patterns and phase-differentiated areas on a mask should be in order to achieve an image on a wafer which matches an image initially conceived by circuit designers. The reverse calculations are extremely complex and demand very powerful computers in order to obtain the result (in some cases taking up to several days, which affects the cycle time of prototypes of new circuits). In the end, the goal is to take proximity effects between close patterns (thus a combinational explosion of the calculation time) into account, by in turn taking into account the most precise possible optical models (and, as the technologies improve, it is important to not only take into account intensity and phase but also light

Introduction

xxi

polarization). The resulting pattern on a mask becomes particularly complex, and the cost of a mask set for a new circuit can exceed several million dollars for the most advanced technologies, which can become a major obstacle for small production volumes. Despite this complexity, it is increasingly difficult to find a solution for arbitrary patterns (called random logic patterns, even though this term is inappropriate). The idea arose to simplify the problem by grouping patterns with the most periodicities (and therefore easier to process) and obtain the desired design on a wafer by multiple exposures. This approach, despite its significant production costs, has become common in the most advanced technologies. Additionally, the numerical aperture (NA) of the projection tool has been studied, even though we know that an increase of the NA can only be made to the detriment of the depth of field. Of course, NA has increased over recent years, thus decreasing the size of the exposed field. This is why print patterns were “photorepeated” by repeating the exposure of a field a few centimeters in size over the entire wafer (the tool used is called a photo-repeater or “stepper”), then the area exposed was reduced a little more by scanning a light-slit over the exposure field (using a tool called a “scanner”). Unfortunately lithography was limited by the numerical aperture, which could not exceed 1. Researchers then returned to their old optical knowledge: by adding a layer of liquid (with a higher index than air) between the first lens of the exposure tool and the resist, the limit could be overrun. This “immersion lithography” has not been established without difficulties. The defect density generated by this process was at first high, not to mention there being an increased complexity of the lithographic tool. The conjunction of these major difficulties encountered in 157 nm lithography and the need to decrease the dimensions made this technique viable and it is starting to be used for mass production. The next step was to increase the refraction index of the liquid to above that of water, and that of the projection systems (the lenses) to above that of quartz. However, in the case of 157 nm, this approach is blocked by major material problems, and the future of this path beyond that of the resist-water-quartz system seems highly endangered. Many believe that a major decrease of the exposure wavelength would significantly relax the constraints that apply to lithography. Hence there has been a unique worldwide effort to develop Extreme UltraViolet lithography (EUV) using the 13.5 nm wavelength. However, despite an enormous effort during the past two decades, this technology stays blocked by major problems of source power and industrial facilities able to produce defectless masks. Initially foreseen to be

xxii

Lithography

introduced for 90 nm technologies, it has difficulties addressing 22 nm technologies. As a result, initially peripheral aspects, such as high numerical aperture optics, come back to the forefront, even though other technological problems are still unresolved for industrial manufacturing. Complexity has considerably increased the cost of lithography for the fabrication of integrated circuits for the most advanced technologies. The newest immersion scanners, in addition to their environment (resist coating track, metrology) easily cost over $50 million each, and it would not be surprising if a price of $100 million was reached with EUV, hence the large amount of research into alternative technologies to optical lithography in order to either significantly decrease the cost or to address very specific applications that do not necessarily need the most advanced lithographic tools. One alternative technique was established a long time ago: electron beam (often called “e-beam”) lithography. This technique is not limited by wavelength or by depth of field, thus making it very attractive. The absence of a mask is an additional advantage when looking at the never ending increase of mask prices, especially in the case of small volume production. The disadvantage of this technique is that pattern printing can only be achieved sequentially (the electron beam writes in the resist pixel after pixel), which does not allow high enough productivity for mass production. In addition, e-beam can no longer claim its superiority in terms of resolution and alignment precision because of the continuous progress of optical lithography. However, new projects are being developed, among which is the idea of massively multiplying the number of independently controlled beams (tens of thousands of beams is the number stated): productivity would then increase significantly, with the prospect of it being applied to small volume production. In addition to this application, electron beam lithography remains a preferred tool for research activities that can combine flexibility, dimension control and affordable price. It can also be used to precisely repair circuits (or to print specific patterns on demand), using either an electron or an ion beam. Other alternative techniques offer interesting prospects for precise applications: – nanoimprint lithography, similar to the techniques used to fabricate CDs or DVDs from a master. This enables nanoscale resolutions to be achieved, and could emerge as a contender technology if there were only one lithographic level. It has also been shown that this technique could be used to print three-dimensional patterns. The stacking of dozens of layers in integrated circuits is still to be demonstrated industrially, in particular in terms of alignment precision and defect density due to fabrication; – near-field lithography is still the perfect tool when aiming for ultimate resolution (potentially positioning atoms one by one). Its current state suffers from

Introduction

xxiii

the same intrinsic limitations as electronic lithography (small productivity) as well as a difficult setting when reaching ultimate resolutions, but this technique could open up to real prospects with tip-matrices of the millipede type; – X-ray lithography was, for a long period after the major efforts of the 1980s, not considered adequate to become an industrial technique. Source weakness (even if synchrotrons are huge experimental systems), the difficulty of fabrication of transparent masks and the absence of reduction optics have heavily handicapped the future of this technique. However, it remains useful for specific applications (such as the LIGA technique1) given its great field depth that can be used in microsystems. A special note should be made about self-organizing techniques. These rely on a simple fact: nature seems to be able to generate complex structures from apparently simple reactions. More specifically, local interactions can induce unexpected or even complex, emerging behaviors: this is called self-organization. Convincing examples of periodic structures generated by these techniques are regularly submitted to the scientific literature; however, it is hard to find an idea to exploit this technique in order to produce future low cost microprocessors. Thus, two directions now exist: – the use of these phenomena to locally improve process quality. For example, the use of resists based on copolymers could help improve the line roughness of lithographic patterns; and – the notion of “directed self-assembly” or “emplated self-assembly”, which is the most important direction for more complex structures. This is about defining and implementing limit conditions that, using local self-organization forces, could generate the complex structures desired. Finally, it is important to remember that the fabrication cost aspect of these emerging technologies remains completely speculative, since the technical solutions to be implemented on an industrial scale are still unknown. This focus on ultimate resolution as the connecting thread of this book should not hide other technical elements that are also critical for lithography’s success. Thus, popular literature often forgets that the capacity to stack two patterns greatly contributes to the capacity to integrate many compounds in a circuit. Indeed if patterns are misaligned, an area around the pattern would have to be freed to ensure the functionality of the circuit, thus reducing the integration density (Figure I.2). Achieving alignment with a precision equal to a fraction of the minimum size of the pattern (a few nm), and measuring it, represents a challenge that lithography has so far been able to meet.

1 LIGA is a German acronym for Lithographie, Galvanoformung, Abformung (Lithography, Electroplating, Molding).

xxiv

Lithography

Figure I.2. The precise alignment of patterns printed at different lithographic levels influences the compound integration density of a circuit. For example, in the case of the command electrode of a transistor: (a) with significant misalignment, the command electrode of a transistor could possibly no longer control the active zone of the compound. (b) In order to avoid this situation, the electrode’s size is increased. As a result, those electrodes which are close must be moved, thus inducing a degradation of the integration density

The functionality of a circuit will depend on the precision at which the patterns on the wafer are printed. Metrology is a key element in mastering the production yield, whereas the demands regarding precision, information integrity and measurement speed keep growing. Previously, optical microscopy techniques were enough to measure, in a relative way, the two critical parameters of a lithographic step, meaning the dimension of its pattern and alignment in relation to the underlying layers. As dimensions have decreased, standard optical techniques were replaced by different approaches: – the use of an electron beam microscope (and more recently near-field techniques) enabled a natural extension to the smallest dimensions; – light scattering of periodic patterns (for example scatterometry) gave access to more complete information on the dimensions and shape of the patterns, even though the interpretation of the results remains unsure. A move towards shorter wavelengths (for example SAXS for X-rays) opens up new perspectives (as well as some advantages, for example with substrate transparency). However, the challenges to be fulfilled keep increasing. A relative measurement is no longer sufficient to guarantee a circuit’s performance and the possibility of an absolute metrology on a nanometric scale still remains. In addition, the shape of the

Introduction

xxv

pattern is increasingly: a three-dimensional measurement is essential, at least when considering mass production, even if the techniques used are still in the embryonic stages. Finally, the proximity effects between patterns make the measurement indicators less representative of the complexity of a circuit: the metrology of a statistical collection of meaningful objects in a complex circuit is a field of research that is still wide open. It is important to mention a technical field which, even if not part of lithography in the strictest sense, is connected to it to a large extent: the measurement of physical defects in a production process. Indeed, the analysis and measurement of defectivity is interesting in two different aspects: – for defects with an identified physical signature, techniques similar to lithography can be applied because it concerns acquiring an image with optical techniques (in the broad meaning, including charged particle beams) and treating it in order to extract meaningful information; and, additionally, – lithography is unique in the way that, in the case of the detection of a defect during this step, it is usually possible to rework the wafer and thus avoid the permanent etching of the defect into the circuit. In conclusion, lithography has undergone several decades of unimaginable progress, by-passing unproven physical limits thanks to the ingenuity of microelectronics researchers and engineers. Even if questions emerge about the economic viability of dimension decrease at all costs, major steps forward are expected during the coming years, either in terms of the solutions reached, the integration density or capacity to produce cheap complex structures.

Chapter 1

Photolithography

1.1. Introduction Since the beginning of the microelectronics industry, optical lithography has been the preferred technique for mass fabrication of integrated circuits, as it has always been able to meet the requirements of microelectronics, such as resolution and high productivity. In addition, optical lithography has adapted to technology changes over time. Moreover, it is expected to be able to be used up to the 45 nm, 32 nm [ITR] and maybe even the 22 nm technology nodes (Figure 1.1). The principle of this technique is to transfer the image of patterns inscribed on a mask onto a silicon wafer coated with a photoresist (Figure 1.2). The image is optically reduced by a factor M, where M is the projection optics reduction factor, which generally equals 4–5. The different elements of a lithography tool are detailed below. However, due to the continuous decrease of chip dimensions, the tools used in optical lithography have now become very complex and very expensive. It is thus necessary to consider using low-cost alternative techniques in order to reach the resolutions forecast in the International Technology Roadmap for Semiconductors (ITRS) (Figure 1.1).

Chapter written by Philippe BANDELIER, Anne-Laure CHARLEY and Alexandre LAGRANGE.

2

Lithography

Figure 1.1. ITRS 2007 roadmap for photolithography [ITR]

An optical projection lithography tool consists of a light source, a mask (or reticle) containing the drawing of the circuit to be made and an optical system designed for projecting the reduced image of that mask onto the photoresist coated on the substrate (Figure 1.2).The purpose of this chapter is to introduce the principle and performances of optical lithography, as well as alternate techniques called “new generation” techniques. During exposure, the resist is chemically altered, but only in the areas where light is received. It then undergoes a baking process which makes the exposed zones either sensitive or insensitive to the development step. In the case of a “positive” photoresist, the exposed part is dissolved. There are also “negative” photoresists for which only the non-exposed zones are soluble in the developer solution. The resist is therefore structured like the patterns present on the mask: this will define the device’s future process level.

tiq scannerueoptics sc an ne Pl aq wafer Si ue t te Si

3

Op

ccondenser on de ns eu ma reticle r sq ue

so Source ur ce

Photolithography

Figure 1.2. Diagram of a scanner

Thus the patterns defined can then be transferred to the material underneath during an etch process step. The resist that remains after the development step is used as an etch mask: the areas protected by the resist will not be etched. This is also used for selective ion implant in the open areas. All these steps are shown in Figure 1.3. Resist Underlying stack

(a) Resist coating

(b) Insulation

(c) Development

(d) Etch pattern transfer

Figure 1.3. Sequence of lithography and etch technology steps

1.2. Principles and technology of scanners 1.2.1. Illumination Illumination consists of a source and a condenser. The source must be powerful as it settles the exposure time for a given dose; it helps determine the tool’s throughput, which is a major economic factor. It must work at a wavelength for which photoresists have been optimized. Furthermore, it has to be quasimonochromatic as the optics are only efficient within a very narrow spectral range.

4

Lithography

In order to improve performances (such as resolution) of lithography tools, as discussed below, it is necessary to reduce the sources’ wavelength. To meet these criteria, different sources were originally used, from mercury vapor lamps (436 nm g-line, 405 nm h-line and 365 nm i-line) to ultraviolet-emitting lasers and, further on to the present day, deep ultraviolet radiation at 248 nm and 193 nm. The source is followed by a condenser made of a set of lenses, mirrors, filters and other optical elements. Its role is to collect and filter the light emitted from the source and to focus it at the inlet pupil of the projection optics (Figure 1.2). This type of illumination, called “Köhler” illumination, has the characteristics of projecting the image at the lens rather than at the mask, as is the case with critical or Abbe-type illumination. This ensures good lighting uniformity on the mask. It will be seen later that the illumination geometry (circular, annular, bipolar) of such a projection lithography system can vary to improve the imaging performance. This is the widely used concept of partial coherence which is part of the imageshaping process. 1.2.2. The mask or reticle The mask is a critical part of the lithography tool, as the patterns defined on it are to be reproduced on the wafer. The quality of the integrated circuits directly depends on the mask set used, in terms of dimensions, flatness, drawing precision and defect control. The mask manufacturing process is an important aspect of the technology. As stated (Figure 1.4) in the ITRS for 32 nm technology node masks (expected in 2013), it is predicted that CD uniformity (in other words the achieved size of the patterns) will have to be controlled within 1 nm and that the defect size will have to be minimized so that it does not exceed 20 nm. In addition, pattern drawing on the mask becomes increasingly complex as the diffraction limit gets closer.

Figure 1.4. Extract from the ITRS recommendations for masks (http://www.itrs.net)

Photolithography

5

These days, in order to improve the performances of lithography, Optical Proximity Corrections (OPCs) are made by optimizing the patterns’ shape on the mask. As will be mentioned later, this is part of a whole set of reticle enhancement techniques (RETs). Thus the cost of a mask becomes an important parameter that must not be neglected in the final cost of a chip. As many masks as there are levels (several dozens) are required, and this is why much effort has been put into developing new maskless lithography techniques. The simplest masks used in lithography are binary masks. They consist of a substrate made of a material that is transparent at the exposure wavelength, typically 6 inch-long and ¼ inch-thick melted silica squares for 193 nm and 248 nm wavelengths. The patterns are etched a few dozens of nanometers into a chrome layer, which is absorbent at those wavelengths. The mask is composed of either transparent or absorbent areas, hence the term “binary”. It is an amplitude mask, that is to say it only alters the amplitude of the wave going through it. That way, the electric field amplitude that goes through the silica does not change, whereas the field amplitude going through the chrome equals zero after the mask. There is another type of mask that uses both the amplitude and phase of the wave in the image-shaping process: the phase shift mask (PSM). This type of mask was first introduced in 1982 to improve lithographic performances [LEV 82]. Like those of a binary mask, the patterns of a PSM are made out of chrome on a transparent melted silica substrate. In the case of a PSM, a material is added, the goal of which is to shift the phase of the incidental wave. There are two types of phase shift masks: an alternating phase shift mask for which the phase shifting material and the chrome coexist, and the attenuated phase shift mask for which the pattern is designed to attenuate the amplitude and shift the phase of the wave going through it. The attenuating PSM is typically used as an RET. How this type of mask impacts lithographic performances is explained later. 1.2.3. Projection optics Projection lithography was developed in the 1970s along with the development of efficient refractive lenses, in other words the optical elements which use transmission. Previously, images were made by contact or by proximity with scale 1 masks. The projection reduction factor M was introduced thanks to projection lithography. Today, typically, M equals 4. Having a reduction factor greater than 1 is an advantage, as it does not require the mask patterns to be the same size as the

6

Lithography

actual printed patterns. This releases some of the constraints of the mask manufacturing process. Since their creation, projection optics have become increasingly complex in order to improve their performance, whilst increasing their numerical aperture: they are now composed of more than 40 elements and can be up to 1 m high and with a weight of approximately 500 kg (Figure 1.5). In fact, just like the wavelength, the numerical aperture is an important parameter which, as will be studied later, preconditions the resolution of the lithography tool.

Figure 1.5. Examples of projection optics and of a typical scanner (open)

Let us introduce here the concept of a numerical aperture. The numerical aperture of a lens or an imaging device is defined as follows: NAim = nim × sin θmax

where nim is the refractive index of the medium on the object side, and θmax the maximum half angle of the light cone on the image or object side, depending on whether the numerical aperture is seen from the object or the image side, as represented in Figure 1.6. Indeed, an optical element has two numerical apertures linked to each other by the lens magnification: one on the image side and one on the object side. The object and image numerical apertures are proportional. Their ratio equals M, the reduction factor of the projection optics:

NAim = M × NAobj

Photolithography

7

θmax θobj

nobj nim Figure 1.6. Definition of object and image numerical apertures

When the lens is in the air, according to the relationship above, its numerical aperture is only determined by its collection angle and, therefore, it depends on its diameter. It is a genuine technological challenge for optical engineers to make high quality lenses without aberrations and transparent to the illumination wavelengths. Many improvements have been achieved in this field and it is now possible to find very efficient lenses with a very high numerical aperture (greater than 0.8). In the next chapter, it will be shown that the emergence of immersion lithography encouraged the development of even more complex lenses with higher and higher refraction indexes, leading to higher numerical apertures. 1.2.4. Repeated projection and scanning projection A 200 mm wafer usually holds about 70 exposure fields, each one corresponding to the image of the mask. To cover a whole wafer, it is necessary to reproduce the image of the mask several times. This is called “photorepeating”. There are two kinds of lithography tool used for the photorepeating step. The first, known as a “stepper”, reproduces the reduced image of the mask on the field. The wafer is then moved in two directions to expose the other fields. The second tool, called a “scanner”, was invented later. This is the tool used today. With this type of tool, the mask image is projected through a slit during the synchronous scanning of the mask and the substrate. It allows large dimension fields in the scanning direction without needing to change the optical system (Figure 1.6).

8

Lithography

However, this system can produce some difficulties, such as vibration and synchronization issues between the mask and the wafer. The typical features of the most evolved scanners are summed up in Table 1.1. Projection optics Numerical aperture 0.85-1.35

Field size

Maximum resolution 38 nm

26 x 33 mm

Alignment error 16 point alignment 4 nm

Wafer throughput 300 mm 30 mJ/cm² (125 tirs) 150/h

Table 1.1. Typical scanner features

1.3. Lithography processes One should not forget that all the considerations about theoretical resolution shrinkage do not take into account the technological feasibilities of the lithographic process. In fact, the lower the resolution, the harder it is to control CDs. In practice, defocusing has a lot of impact on the patterns and makes sensitivity to other process errors higher. In the same way, a dose setting error degrades the patterns and can bring them out of specification. A process tolerance criterion is usually defined, for instance with the “on wafer” CD varying at a maximum ±10% around the target CD. This defines a focus range, the depth of focus, and the dose range, the exposure latitude. In microelectronics, an imaging process is usually determined by simultaneously changing focus and dose in order to evaluate the process depth of focus (DOF) and exposure latitude. The focus-dose matrix obtained can be visualized using Bossung curves [BOS 77]. These curves represent the printed critical dimension as a function of the focus for different exposure doses. Figure 1.7 shows an example of that type of curve for dense lines and a 120 nm target CD in the following illumination conditions: a binary mask, where NA = 0.75, σ = 0.6. From these curves, the process window can be deduced, that is, the focus and dose ranges for which the CDs obtained meet the predefined specifications. Plotting the exposure latitude as a function of the DOF or the defocus gives a good representation of the coupled effects of defocus and dose on the lithography process. The best configuration is obtained with a wide exposure latitude and a high DOF, as this ensures a larger process window. However, decreasing the dimensions makes the process window shrink. At first this problem was avoided by improving focus control or substrate flatness. Now, parameters that influence the imaging process have to be modified to get past such constraints. Improving the photoresists

Photolithography

9

helped improve the process windows at first but, as the process becomes less tolerant, resolution or reticle enhancement techniques must be used. The lithographic stack is usually made of several layers: in addition to the photoresist coating, an extra anti-reflective layer must sometimes be coated to prevent stationary waves from forming inside the resist. Also, a protective top coating is sometimes added onto the resist film to optimize coupling of the light in the photoresist.

defocus

Figure 1.7. Example of Bossung curves for a 120 nm pattern made with 193 nm lithography

1.3.1. Anti-reflective coating The anti-reflective layer, also called a Bottom Anti-Reflective Coating (BARC), is very often an organic layer intended to minimize the reflectivity of the underlying stack. Indeed, silicon reflectivity in UV is very high, which is why light going through the resist during exposure is reflected and can interfere with the incident light, creating stationary waves inside the resist film that degrade the pattern profiles. Moreover, that layer is also used as an adhesion promoter, which makes the photoresist adhere better on the substrate during the develop and etch steps. This layer also levels the topography of the substrate. On bare silicon without BARC, the adhesion promoter generally used is hexamethyldisilazane (HMDS).

10

Lithography

1.3.2. Resists Photoresists are organic polymers sensitive to the radiation of the exposure tool. During the lithography step, they undergo, consecutively: – a spin coating on the substrate. Film thickness is determined by the spin speed and the viscosity of the resist dilution in a solvent; – baking after coating, or a PAB (Post Apply Bake), the goal of which is to evaporate the residual solvent out of the resist film and to compact the film; – exposure; – baking after exposure, or PEB (post exposure bake), the aim of which is to trigger the deprotection reaction for “chemical amplification” resists. It is also used to reduce the impact of stationary waves, whichever resist type is used; – development, carried out in a basic aqueous solution. Most resists are made of a copolymer matrix, a photo-acid compound, a basic compound limiting the effects of acid diffusion, and a solvent affecting the resist viscosity. Two categories of photoresist, with different chemistry and ways of working, can be distinguished: – “novolack” resists, which contain a novolack polymer soluble in aqueous and basic media (NaOH, KOH, TMAH) and diazonapthoquinone (DNQ), a compound that is insoluble in those media. This mix is therefore not very soluble in its natural state. However, after exposure at a wavelength between 300 and 450 nm, the DNQ generates after several intermediate reactions, producing an acid compound that is soluble in a basic solution. The insulated resist is revealed by the developer. This type of resist used to be the one used for g-line and i-line generation scanners; – acid catalyst DUV resists. With the outbreak of deep ultraviolet light emitting sources, more sensitive and less absorbent photoresists had to be developed. This was when the concept of “chemical amplification” resists was invented [ITO 97]. These resists contain a polymer matrix, protective groups that prevent the nonexposed polymer from dissolving in the developer solution, a photosensitive compound called a photo acid generator (PAG) and a basic compound, the role of which is to limit the effects of acid diffusion during the PEB. During exposure, the PAG produces an acid which, under the effect of a high temperature baking step, catalyzes a chemical reaction that suppresses the protective groups of the polymer matrix. This way, the polymer becomes soluble in a basic aqueous developer solution. This reaction is called a deprotection reaction.

Photolithography

11

Bake Protective Groups

Protective Groups

Figure 1.8. Drawing of the principle of a chemical amplification positive resist

Resist thickness

That reaction is said to be “catalytic”, as the acid regenerates itself during the reaction (Figure 1.8). Because of a longer baking step, the acid is capable of diffusing and catalyzing the deprotection of a large number of protective groups. In order to have a better lithography performance and better resistance to etching, these resists must meet strict requirements in terms of transparency, resistance to etching and substrate adhesion; this is why they are composed of different functional groups that separately meet those requirements. This type of resist has a major advantage compared to novolack resists: it is more sensitive and has a higher contrast.

Figure 1.9. Response curve of a positive resist

A photoresist can be characterized by its contrast, which represents the remaining resist thickness after development as a function of the energy provided during exposure. An example of such a curve is shown in Figure 1.9. For low energy values, the thickness remains about constant and equals the initial thickness. The energy value E0, namely “dose to clear”, is the energy over which all the resist film is removed. We can note that, around E0, the curve is linear and the slope of that line is the resist’s contrast, given by:

12

Lithography

E TE ( E ) = γ.ln( 0 ) T0 E where TE is the resist thickness, T0 the initial thickness, E the energy provided . γ, which generally varies between 4 and 6, is a criterion expressing the ability of the resist to print a quality image. Finally, it is worth pointing out that very little acid is generated during exposure. This is why, before the deprotection reaction, some of the acid on the resist surface can be neutralized by amines in the air, thus preventing developing the whole superficial resist, which creates T profiles. This problem can be solved by filtering the clean room air or by using a protective layer on top of the resist. 1.3.3. Barrier layers or “top coating” A barrier layer is an organic film coated directly after the resist. It can be developed in water during a pre-developing step or directly with the developer. This layer’s role is to protect the photoresist from amine contamination, but it can also be used as an anti-reflective layer or Top Anti-Reflective Coating (TARC). The next chapter explains why this layer plays such an important role in immersion lithography. However, today’s resist manufacturers are seeking to formulate resists capable of working without these protective barrier layers that make the process more complex, add process steps and, furthermore, can be a major source of defects, degrading the efficiency of the fabricated device. 1.4. Immersion photolithography 1.4.1. Immersion lithography Immersion lithography is an optical lithography technique that consists of filling the void between the projecting optic of the scanner and the wafer with a fluid that has a refraction index greater than air. This adaptation index minimizes interface refraction phenomena. In this section, we will see how this improves the performances of a “dry” 193 nm lithography tool. Immersion lithography is now said to be the next generation technique for the upcoming 45 and 32 technological nodes. The use of an immersion fluid in optics has been known for more than 150 years in the field of microscopy. It actually began in the 1840s when Amici [BLA 82] invented the immersion technique with water, then with glycerin and cedar oil; by

Photolithography

13

filling the space between the object and the glass plate with a fluid having an index similar to that of glass, Amici could observe better quality images. The index adaptation between the three media reduces interface refraction phenomena and allows more light in. In 1880, Abbe developed the first immersion objective microscope. Immersion for lithography applications was only considered 100 years later by Takanashi [TAK 84] in 1984, and in 1985 by Taberelli [TAB 85] who imagined a lithography tool in which the lens-to-wafer void is filled with a liquid with a refraction index similar to that of the resist. In 1987, Lin considered immersion as a way of increasing the depth of focus of already existing lithography tools, rather than as a way of improving resolution [LIN 87]. In 1989, the first experimental immersion tests were demonstrated. Kawata et al. [KAW 89, KAW 92] showed the printing of sub-200 nm wide lines with a laboratory lithography system based on an inverted microscope, working in the visible spectrum with oil immersion. A few years later, in 1992, Owen et al. [OWE 92] suggested widening 193 nm lithography by using a 0.7 numerical aperture optical system in an oil immersed configuration, thus bringing the numerical aperture up to 1.05; they predicted that up to 125 nm lines would be printed thanks to this technique. Apart from numerous works on interferometric immersion lithography at various wavelengths, development in the 1980s and at the beginning of the 1990s hardly progressed until the major contribution of Lin, who put immersion lithography back on track for industrial applications in 2002 [LIN 02] and demonstrated the first immersion lithography tool concept in 2003 with ASML and Nikon. 1.4.2. Resolution improvement Since the development of the first transistor, players in the microelectronics field have wanted to increase the number of transistors per chip to improve the performances of integrated circuits. Optical lithography has a major involvement in the pursuit of that goal, as it is the pattern defining step. All the next steps depend on it. Increasing transistor density on a chip leads to diminishing the period of the patterns, therefore decreasing the resolution limit given by the Rayleigh equation:

R = k1

λ

NA

.

The main trend in lithography is to reduce the k1 constant, the wavelength, and to increase the numerical aperture of the optical device. Since the beginning of lithography, the wavelength went from the visible to ultraviolet, which is today used in manufacturing. In this way, mercury lamps were replaced by excimer lasers which allowed the wavelength to keep on decreasing. Many improvements were made to those lasers, particularly in terms of spectrum bandwidth and repetition rate increase, which allowed them to be introduced in production lithography systems. Today’s manufacturing uses a wavelength of 193 nm.

14

Lithography

The next generation technology in terms of wavelength was logically expected to be 157 nm optical lithography, targeting 100 nm and 70 nm generation components, and which, in the late 1990s, was expected to make the connection between the “new generation techniques”. However, it was given up in spite of these expectations, as the development of the technology had too many blocking points concerning the performances of existing fluorine lasers, the transparency of optical materials at that wavelength, reticles, resists, and the numerical aperture of scanners working at that wavelength [ROT 99]. The industrialization of 193 nm immersion technology made such a development useless. Improvement in transistor integration was also achieved by an increase in the numerical aperture of scanners. The development of highly efficient projection objectives (in terms of aberrations) enabled their diameter to be increased, therefore increasing the numerical aperture. The pattern period decrease was achieved by reducing the k1 factor. This constant, which is dependent on the imaging process, dimished as the diffraction limit got closer and thanks to the use of more resolving resists allowing high quality patterns to be imaged, regardless of the diffraction limit. Figure 1.10 shows the evolution of the k1 factor as a function over time.

Figure 1.10. Evolution of the k1 factor over the past 25 years [BRU 97]

According to the second Rayleigh equation, it is preferable to keep on improving the resolution by lowering the wavelength rather than by increasing the NA or by reducing k1, so as not to reduce the DOF which would make the process more difficult.

Photolithography

15

However, today it is becoming increasingly difficult to keep diminishing the wavelength of the UV. Indeed, currently the envisaged technology is extreme UV technology, but it needs important modifications in terms of tools (source, vacuum optical projection systems, reticles) and materials (optics, resists). There is an alternative that could allow us to get round these difficulties: immersion lithography. By introducing an index fluid between the optical system and the silicon wafer, it is possible to enhance the system’s numerical aperture while keeping the exposure tools infrastructure at 193 nm. It will be seen later that this is a promising technique towards which everybody is leaning, and which is currently already being used industrially. 1.4.3. Relevance of immersion lithography The aim of this section is to explore the capacities of immersion lithography and to describe the consequences for lithographic performance when introducing an index fluid. Special attention must be paid to the definitions given for resolution and depth of focus for immersion lithography. These two quantities are typically determined by Rayleigh equations, which express resolution and depth of focus as functions of the wavelength λ and the output numerical aperture (NA) of the projection lens. They are given by the following equations:

R = k1

λ0 NA

and DoF = k2 ×

λ0 NA2

where R is the resolution, and DoF is depth of focus; k1 and k2 are constants determined by imaging parameters such as the illumination type, the mask type, and the resist. NA is defined as:

NA = n sin θ where n is the refractive index of the output medium and θ the maximum half angle of the light cone exiting the lens. NA depends on the opening of the output pupil and the pupil image distance. The numerical aperture is a critical imaging parameter as, according to the previous equation, it gives a definition to the most essential system property: its resolution. With the introduction of immersion, new expressions of resolution and DoF were calculated by Lin [LIN 04]. The resolution remains unchanged, but the depth of focus was modified:

16

Lithography

DoF = k3 ×

λ0 n(sin θ / 2)2

We can see that immersion has the potential of improving resolution. Indeed, sinθ equals 1 at maximum. k1 and λ0 being fixed by the process and illumination conditions, R is ultimately limited to k1λ0 and k1λ0/n, respectively, in “dry” and “immersion” cases. Thanks to immersion, it is possible to improve pattern resolution by using a larger optics design and taking into account the aberrations and distortions of the whole optical/fluid system. For a given pattern period and constant numerical aperture, immersion can also increase the depth of focus. Immersion can increase the maximum numerical aperture of the system, as the air between the last lens and the silicon wafer used to limit it to 1. With immersion in water, with an index n = 1.44 at 193 nm, it is possible to design systems with an NA up to 1.35. To go past this, even higher index glass and fluids are necessary. Nowadays there are fluids of index n = 1.65 that are not good enough to reach an NA of up to 1.65, which is necessary to go on to the next node, namely the 45 nm node. This will be possible only with third generation fluids (n = 1.8 at minimum) and Lutecium Aluminium Garnet (LuAG) glasses, with an index greater than 2.1. As soon as it was introduced, immersion also enabled process windows to be widened by increasing the depth of focus, without necessarily having a numerical aperture greater than 1. 1.4.4. Immersion liquids As soon as immersion was considered for scanners, certain constraints for the liquids were identified. They must be transparent at 193 nm and their index should not vary much with temperature and pressure. There must also be as little interaction as possible between liquids and photoresist. Water quickly turned out to be an excellent contender as a first generation fluid for 193 nm immersion lithography. Besides its advantages such as easy handling and very low cost, ultra pure water has a low absorbence (0.1–1 cm at 193 nm). Its refraction index is high at 193 nm (1.44). Immersion in water reasonably allows bringing the numerical aperture up to about 1.35, thus reaching a resolution corresponding to half a 45 nm step for dense arrays of lines and spaces. To replace water and reach an index greater than n = 1.44, conceivable second and third generation fluids must bring optical advantages that highly compensate for

Photolithography

17

other inevitable drawbacks. The main drawback is the necessity to recycle and purify the fluid instead of eliminating it in an open loop, as can be done with water. The index jump must be significant enough to justify that change. The criteria required from those new generation fluids are summed up in Table 1.2. Refractive index Index variation dn/dT Transparency Viscosity Hydrodynamic properties Solubility of air Interaction with the resist Stability Corrosivity Security Supply and cost

> 1.6 at 193 nm < 500 ppm/K > 90%/mm (absorbance < 0.46 cm-1) ≈ 10-3 Pa.s (close to water) Must have low wettability in relation to the resist without generating trouble during lens movement above the wafer As low as possible to maintain transparency As weak as possible. Must not interact with the process in any case Stable under UV flux Non-corrosive for optical elements and everything in contact Safe for people, equipment and the environment Ready for production at an acceptable cost (< 1 US$/lithography/wafer)

Table 1.2. Specifications for immersion fluids coming after water

In order to keep the benefits related to the use of water, doped aqueous solutions were initially studied. These were saline or acid solutions but their maximum index could not exceed 1.55. Then, organic fluids were considered. Cyclic saturated hydrocarbons are the best in terms of index and transparency (see Figures 1.11 and 1.12). The index increases in a quasi-linear way with hydrocarbon density, up to a limit given by their viscosity and solidification temperature. Very few hydrocarbons meet both index and transparency criteria. Decahydronaphthalene, also known as decalin (n = 1.64-1.65 at 193 nm), has been established as a possible fluid, provided that it is thoroughly purified in order to maintain a good transparency. Given the properties of possible fluids and the difficulty of their implementation, it is highly uncertain that 193 nm immersion, which has already reached the industrial stage, will be extended by second or third generation fluids. Using water or other fluids with added charged nanoparticles was also considered for increasing the index. The first results obtained were unconvincing and led to this path being given up. However, this option might be considered again after encouraging advances in the nanoparticle domain.

18

Lithography

Figure 1.11. Transparency and index of different families of fluids [FRE 05]

Figure 1.12. 193 nm refraction index of hydrocarbons [LOP 07]

Photolithography

19

Figure 1.13. Materials and indexes required to reach a given numerical aperture [SEW 07]

Bringing a scanner’s numerical aperture to values higher than expected when using water also influences the choice of the material of the system’s last lens. Its index should not be less than the numerical aperture. Consequently, silica (n = 1.561 at 193 nm), which is currently used, will soon be not adopted. Other materials such as LuAG (Garnet family) are now being studied, but their use is conditioned by their purity, which has a strong influence on their transparency and their intrinsic and residual bi-refringence under stress. Fabrication of large lenses that meet the specifications is a complex problem. Figure 1.13 gives the achievable numerical aperture expected for given fluids and materials. 1.4.5. Immersion scanners The equipment used is the same as that used for dry lithography but suppliers have upgraded them so that a meniscus of liquid can be maintained between the last optical projection lens and the wafer to be processed. The main advantage of immersion is the ability to keep the same technology already used for dry lithography.

20

Lithography

Figure 1.14. Local immersion head device (source: Nikon)

Three different technologies were considered to introduce an immersion liquid in scanners. The first of them is called local immersion: a liquid meniscus is kept by capillarity between the last lens of the projection optics and the wafer (Figure 1.14). There is a high risk of trapping bubbles inside the liquid or of tearing off the liquid film during rapid scanning of the optics.

Figure 1.15. Wafer immersion system [LIN 93]

The second technology is called wafer immersion. The wafer and its support are immersed into the fluid, as well as several other elements, in order to control the fluid’s circulation and properties (Figure 1.15). Edge exposure is facilitated. Film tearing and bubble trapping issues are eliminated but high velocity movements (500mm/s) are delicate due to inertia.

Photolithography

21

The third technique consists of immersing both the wafer and the whole wafer moving device (Figure 1.16).

Figure 1.16. Total immersion system

Figure 1.17. Shower immersion head (ASML source)

22

Lithography

Of all three techniques, only the first was picked as it is much simpler and eliminates all the inertia problems of the moving water mass. Two suppliers, ASML (NL) and Nikon (JP), sell immersion lithography tools. Each of them has developed their own technology, ensuring immersion without bringing any drawbacks, such as defects generated by immersion or wafer throughput loss (from 100 to 150 wafers/h for 300 mm). The principle chosen by ASML is a “shower” circulating the water under the lens, while an air curtain confines the meniscus formed and ensures its stability (Figure 1.17). This device works while the wafer to be exposed is scanned at about 500 mm/s. The risk is trapping air bubbles and tearing off the film at the edge of the meniscus, in the scan direction. To avoid this, the surface properties of the photoresists or “top-coats” used were adjusted so as to maintain their wettability in a specified range. Wettability is characterized by static and dynamic contact angles of water (moving forward and retracting). The distance between the lens and the wafer varies between 0.1 and 1 mm according to the chosen options. Nikon chose the same meniscus mechanism, kept under the lens by capillarity, but they do not use a confinement device. 1.4.6. Immersion specific constraints and issues Even though introducing water under the lens of a tool of which the design conception had evolved may seem simple, additional issues had to be solved to achieve equipment industrialization: – An internal water conditioning system must produce degassed ultra-pure water, temperature-controlled within 0.01°C. – The hydraulic system under the lens must form the liquid meniscus at the filling step and stabilize it, even dynamically during the wafer scan. It must not generate any vibrations or any mechanically or thermally induced index gradients. – The wafer edge exposure must not lead to any liquid loss. – Given that the point of immersion is to achieve high numerical aperture machines, the new large diameter optics combine both refractive and catadioptric technologies. – Real time focus (wafer–optics distance) must be implemented through the water meniscus with the same accuracy as in the dry mode.

Photolithogrraphy

23

– Givven the high numerical n aperrtures, the usee of polarizatioon is necessarry but has to be achhieved withouut energy loss so as not to in ncrease expossure time and lower the machinee’s throughputt. – Thhe interaction between the water and ph hotoresist muust be small eenough to have a negligible n efffect on the lens (corrosion n, depositions)), on the phootoresist’s performaances and on the amount of o defects gen nerated on thee wafer. Speccifications for chem mical compouund extractionn by water frrom resists were w set: less than 1.6 pmols/cm m².s for the phhoto-acid gennerator (PAG) and less thann 5 pmols/cm²².s for the quencherr (see details about a the natuure of those co ompounds in Chapter C 6). – Whhatever their origin, o air bubbble formation n under the leens must be aavoided at all costss. Bubbles cann form underr the effect off the hydrodyynamics of thhe system during scanning, wateer degassing, or resist degaassing. Bubblee size dependds on how t the deviatinng or diffusing of light they are generated, buut in every caase they lead to g deffects on the litthographed waafer. going thrrough them, generating

Figure 1.188. Different typees of immersion n specific defectts [GRO 06]: (a) micro-bubbbles; (b) waterrmarks; (c) micrro-bridging; annd (d) particles

Aparrt from defectts generated by b bubbles traapped in wateer, several othher defect categoriees were obserrved in immeersion lithograaphy (Figure 1.18). Waterr droplets sticking onto the resiist surface leaave traces on the wafer aftter they sponttaneously disappeaar by evaporration. Microo-bridging bettween lines, already seenn in dry lithograpphy, are moree numerous inn immersion. These defects seem related to the ability of resists to leaach compoundds into water, mostly the PA AG. Some of these can e of the watermarks w left by dropleets after they dry out. also be seen at the edge y come from residues left by water Particless can also be seen on the surface. They droplets,, from the liithographic sttack (BARC, resist, top-ccoating) and from the scanner itself. i The operating priinciple of phhotoresists is described in Chapter 6. Only the specificiities of immerrsion are introoduced in this chapter. Just as for dry lithhography, the lithoographic stacck has an annti-reflective layer (a BA ARC) as welll as the photoressist itself. In order to seccure the proccess by minim mizing the innteraction between the water andd resist, a thirrd layer utilizeed as a barrierr is used (a “ttopcoat”).

24

Lithography

This barrier is sometimes directly integrated into the resist. During coating and baking, the superficial layer of the resist gains a polymer barrier by segregation. A “topcoat” consists of a polymer which is non-soluble in water. Thus, it completely disappears during resist development. “Topcoats” developable in a specific solvent are also suggested. This type of “topcoat” is more efficient as a barrier but it is harder to implement, since an extra step is needed to remove it after exposure. The aim of immersion is to increase the numerical aperture of exposure systems in order to improve the resolution. Consequently, the angle of incidence of the light on a lithographic stack becomes very low and reflection phenomena occur at the interface. Calculation of the reflectivity in the resist layer shows that reflectivity increases along with the numerical aperture and that its minimum value is much greater than the acceptable values (Figure 1.19). Therefore, stationary waves appear in the stack, leading to profi1e deterioration. Adjusting the index of the BARC would partially compensate for this effect but it is more advantageous to use two BARC layers, each with different index values. That way, reflectivity is minimized by adjusting the thickness of each layer.

Mono BARC AR40 Liquid / Top Coat / Resist / BARC1 / BARC2 / Si wafer / 80

Reflectivity in Resist layer (%)

70 60 50 40 30

Increasing NA

20 10 0

0

20

40 60 80 BARC1 thickness in nm

100

Figure 1.19. Optimization of BARC thickness

120

Photolithography

25

1.5. Image formation In order to understand the imaging phenomena with a lithography tool, a simplified approach can be taken, by considering the system outlined in Figure 1.20, composed of a condenser and a reticle modeled by a diffraction grating. This approach may be generalized in the case of a mask by replacing the diffraction orders of the grating by the Fourier components of the reticle diffraction.

Figure 1.20. Simplified mask illumination schematic

A plane monochromatic wave coming from the condenser is diffracted by the grating in m orders, propagating in the directions defined by the angles θm as follows:

sin θ m − sin θi = m

λ p

m = 0, ± 1, ± 2

where m is the diffraction order, θm the diffraction angle, θi the angle of incidence on the grating, λ the wavelength and p the grating’s period. Each order corresponds to a specific spatial frequency in the Fourier plane. The diffraction orders overlay and interfere at the image plane where the wafer is placed, to form the mask’s image. This double diffraction phenomena, one at the mask level and the other at the projection optics level, reproduces the image of the mask at the image plane level with a certain magnification factor fixed by the projection optics. However, the mask cannot be identically reproduced on the wafer, since the diffraction orders will not all be caught because of the limited numerical aperture of the projection lens: this lens spatially filters the image frequencies. During his work on optical

26

Lithography

microscopy, Ernst Abbe (1840-1905) discovered that a large aperture on an imaging system would imply better resolution [HEC 98]. Indeed, the highest diffraction orders contain most of the information concerning the image details. Therefore, it is the finite numerical aperture of the system that limits the resolution power of the lithography tool by receiving the smallest spatial frequencies. Moreover, it is known that at least two diffraction orders must interfere in order to form an image. The resolution limit is expressed by the ability of the system to receive 0 and 1 diffraction orders. In the simplest case where the mask is illuminated under normal incidence (Figure 1.21, left), each diffraction order is a Dirac peak in the Fourier plane. As a consequence, resolution can be expressed through p, the pattern’s period on the mask, allowing the orders −1, 0 and 1 to go through the spatial filter that is the lens. This is expressed as follows: Plim =

λ0 NA

As will be explained later, this equation can be generalized to express the resolution of a lithography tool, taking into account tool parameters such as mask type, illumination type and resist type. Incidental wave Mask

Diffraction pattern Fourier plane Aperture of the objective’s input pupil

Figure 1.21. Principle of diffraction by a periodical object applied to image formation

In a case where the mask is illuminated under oblique incidence (Figure 1.21, right), diffraction orders in the Fourier plane are translated. This obviously has a big impact on the image. Depending on the pattern period, this can sometimes be useful since extra orders can enter the pupil. But in other cases, the image can be degraded as some orders are left out by the lens. This type of illumination, called “off-axis”, is often used as a resolution enhancement technique and is actually achieved by modifying the source’s geometry, for example by offsetting the source from the rest of the optical system. In lithography, this is called partial coherence. The different

Photolithography

27

types of partial coherence seen in lithography as well as their influence on imaging performances will be studied later on. To summarize, the imaging system of an optical projection lithography tool works in such a way that diffraction at the mask level forms the Fourier transform of the mask, and that the projection lens forms a new Fourier transform of the diffraction pattern, thus recreating the inverted image of the mask on the wafer. However, because of the finite size of the wafer, only a part of the diffraction pattern enters the lens and the mask’s patterns are not identically reproduced. In an extreme case, only two or three orders (if the 0 order is present) take part in the image formation process and the aerial image of a rectangular-patterned mask is a sinusoid (Figure 1.22). The use of “threshold” resists such as chemical amplification resists is mandatory to compensate for these optical limitations. Thresholding enables the mask’s patterns to be reconstituted as shown in Figure 1.22. Detailed operation of such a resist is explained in Chapter 6.

Mask Lens

Diffraction pattern

Resist threshold

Image in the resist Figure 1.22. Optical transfer function of system with threshold photoresist

1.6. Lithography performances enhancement techniques Within the range of reticle enhancement techniques (RET), there are three main techniques for widening the process window: off-axis illumination (OAI), optical proximity correction (OPC) and phase shift masks (PSM).

28

Lithography

1.6.1. Off-axis illumination (OAI) Illumination is said to be off-axis when the mask is illuminated with oblique incidence beams. That type of illumination is characterized by a factor which is called the partial coherence factor, σ. This parameter is defined as the ratio between Φs (the image source diameter in the inlet pupil plane) and Φp (the diameter of the inlet pupil), as shown in Figure 1.23. It is also the ratio between the sine of the maximum half angle of incidence and the numerical aperture of the inlet pupil. The following particular cases must be distinguished: in the case of a point source, σ is equal to zero since Φ equals zero (the image of the source is also a point).When the source is of infinite size, σ is infinite since Φs is infinite. In the case of a lithography tool, σ is between 0 and 1 since the image’s diameter is at maximum equal to the pupil’s diameter. Thus, there are three cases: σ = 0: the illumination is coherent (a point source). σ = 1: the illumination is non-coherent. 0 < σ < 1: the illumination is partially coherent. In lithography, the different illuminations described in Figure 1.23 are commonly used, with σ from 0.4 and 0.7.

Figure 1.23. Illumination strategies described for the output pupil of the projection optics

We now explain what happens in the case of a circular-type illumination. When the mask is illuminated in oblique incidence, the diffraction orders are translated in the Fourier plane (see Figure 1.21). In the case of circular illumination, the diffraction orders are spread throughout a circle centered around an order corresponding to the normal incidence. Figure 1.24 represents the diffraction order’s distribution in the inlet pupil, for two configurations of σ period.

Photolithography

29

Figure 1.24. Distribution of diffraction orders in the inlet pupil for two illumination configurations

In the inlet pupil, each point collected interacts with its counterpart coming from the same source point caught by the pupil. It is quite easy to understand that, depending on the patterns’ period and σ’s value, there is interference between 0/+1 orders or -1/0 orders with a continuous background, or between three orders -1/0/+1 or more. Depending on the patterns to be imaged (orientation, period), one type of illumination is preferable in terms of depth of focus and contrast. 1.6.2. Optical proximity corrections (OPC) With the density increase of transistors on chips, optical effects occur due to the interaction of patterns lying close to one another. These effects result in CD variations around the target CD. They come from the fact that information contained in the mask diffraction orders that are not transmitted by the projection optics of a limited numerical aperture do not take part in the image formation [LIE 97]. These effects very much depend on the pattern size and become increasingly frequent as the dimensions decrease and the diffraction limit gets closer. Optical proximity correction techniques are based on geometrical modifications at mask level to compensate for those proximity effects. There are three main types of OPC, which are characterized by: 1. changing the pattern geometry on the mask. This pre-distortion allows the desired image to be approached; 2. extending line ends with “hammerhead” patterns to correct rounded corners, or adding small sized patterns or “serifs” at corners [MAC 00]; 3. adding non-resolved patterns called sub-resolution assist features (SRAFs) allowing the latitude on isolated patterns to be increased.

30

Lithography

1.6.3. Phase shift masks (PSM) In the same way as for binary masks, PSM patterns are made of chrome on a transparent melted silica substrate. However, for a PSM, either an extra material is added or the substrate is etched in order to shift the phase of the wave going through it. There are two types of PSMs: alternated PSMs, for which the phase shift material alternates with the chrome, and attenuated PSMs, in which the pattern attenuates the amplitude, shifting the phase of the wave that goes through it. Unlike binary masks, PSMs modulate the amplitude and phase of the wave in the image formation. Both mask types are described in Figure 1.25. Binary mask

Alternating phase shift mask

Silica

Silica

Attenuated phase shift mask Silica

phase shift material Electric field at the mask

Electric field at the mask

Electric field at the wafer

Electric field at the wafer

Field amplitude at the wafer

attenuation + phase shift

Field amplitude at the wafer

Figure 1.25. Different types of masks used in photolithography

Figure 1.25 details the effect of phase shifting and attenuation on the electric field, and the intensity at the wafer in both cases. The amplitude intensity and therefore the contrast is higher than in the case of binary masks. PSM masks are attractive for improving lithography performances (enhanced resolution, depth of focus, dose latitude) and, depending on the desired application, it is preferable to use a binary mask, an alternated PSM or attenuated PSM [LIN 93]. In the end, the three parameters must be optimized at the same time and there is one type of OPC and one type of illumination better suited for each type of pattern, in order to make the process as tolerant as possible [KRI 95, OP 97, PAR 93, NOG 92].

Photolithography

31

1.7. Contrast 1.7.1. Polarized light contrast With the introduction of immersion scanners with a high numerical aperture (NA>1), images are formed from rays that are inclined to the optical axis. Thus, scalar approximation is not sufficient and a vectorial description of the light’s electromagnetic field must be used, for preference. In particular, with this vectorial approach, light polarization has to be taken into account. Indeed, for transverse magnetic (TM) polarization, also called p (standing for parallel to the incidence plane), an important component appears on the optical axis when the numerical aperture is high. This is why s and p polarizations have to be studied separately. Consider the system described in Figure 1.26. It represents a case where the incident light on the

r

r

interferometer has been polarized. Two waves are interfering: E1 and E 2 of wave

r

r

r

r

r

r

vectors k1 = k.sin θx − k.cos θy and k2 = −k.sin θx − k.cos θy respectively, θ being the angle of incidence to the resist.

r r In the case of s polarization, E1 and E2 vibrate parallel to the z axis and are r r given by E1 = E0 .ei.( k . x.sin θ− k . y.cos θ) and E2 = E0 .ei.( − k .x.sin θ− k . y.cos θ) . The intensity distribution of the interference figure can be written as I s = 2 E02 (1 + cos(2.k.x.sin θ)) .

y p

s ¡!

k1

p

!¡ s k2

θ

z

x

Figure 1.26. Two-wave interference with polarized waves

In the case of p polarization, the vibrations are no longer parallel and the intensity of the interference figure can be written as:

I p = 2 E02 (1 + cos 2θ cos( 2kx sin θ ))

32

Lithography

Hence, in both cases, the fringe period remains unchanged but the contrast, given I −I by C = max min , is constant and equal to 1 in the case of two linearly sI max + I min polarized waves and depends on the angle of incidence θ in the case of two linearly p-polarized waves in the following manner:

C = cos 2θ

Contrast of the aerial image

In Figure 1.27, the contrast of the aerial image in s and p polarization was plotted as a function of the numerical aperture. We recall here that, in the case of two-wave interferometry, the numerical aperture is defined by the angle of the two beams that take part in the formation of the fringes. It is increasingly invariant when it gets through the layer but can only be higher than 1 in the case of immersion. In s polarization, the electric field vectors of the two waves are colinear and independent of the numerical aperture. Consequently, the two waves can interfere perfectly: contrast is constant and equals 1 (see the circles in Figure 1.27(a)).

s contrast p contrast

(a)

(b) Figure 1.27. Two-wave interference contrast fringe with polarized waves. a) Distribution of s and p intensities with Cs = 1 and Cp = 18% in air. b) Evolution of contrasts as a function of the numerical aperture in air (it is worth noting that Cp becomes negative, this is called contrast reversal)

In p polarization, the waves cannot perfectly interfere since the electric fields are not parallel. Thus, contrast decreases when NA gets higher until the vectors are perpendicular, in which case it reaches zero (crosses in Figure 1.27(b)). In the present conditions where the fringe contrast in the air is being studied, contrast reaches zero at NA = 0.7. For NA > 0.7, contrast is negative: this is contrast reversal. The image is recreated in negative from positive contrast fringes. Figure 1.27(a) represents the effect of p-contrast loss on the intensity distribution at NA =

Photolithography

33

0.65. This is true for two-wave interference but more generally for different types of masks, and consequently different distributions of the diffraction orders in the optics pupil of a lithography scanner. The same trend can be seen for contrast of p polarization (Cp), which dramatically decreases when NA increases [BRU 02]. The aerial image was considered here, that is to say the image formed in the air. However, in lithography, the image is formed in the resist of which the index is greater than that of air, typically n = 1.7. Descartes’ laws for the angles of propagating light as a function of the index indicate that the angle of propagation in a medium of which the index is greater than that of air, such as a photoresist, is less tilted. In this way, the influence of increasing the numerical aperture on contrast loss will be less in the resist than in air. Figure 1.28 shows the contrast variation in the resist of index n = 1.7 in p polarization, as a function of the numerical aperture. NA’s values are here extended over 1 to take immersion into account. P-contrast becomes equal to zero this time for a numerical aperture of 1.2. Thus, in the case of a vectorial approach, it is essential to look at the image in the resist, taking its index into account, and not be limited to the aerial image, as is often done, since the p component behaves differently depending on the medium it moves through.

Contrast in the resist

In the end, in the case of a lithography tool using a non-polarized light source, and thus a case where the light wave is composed of an equal contribution of s and p components, the image’s contrast decreases at very high numerical apertures because of the p component. That contrast loss has an impact on the lithography performances, particularly on the process window.

s contrast p contrast

Figure 1.28. Evolution of s and p contrasts as a function of the numerical aperture in a resist of refractive index n = 1.7

34

Lithography

This is why it is increasingly necessary to be able to polarize the incident light linearly in s, whatever the pattern. The most favorable configuration is being polarized in s for all angles in the pupil; this is azimuthal polarization. Smith et al. [SMI 04] assessed different types of polarized illuminations with simulations at different pattern orientations. Optimizing the illumination type allows better lithography performances. For instance, s-polarized quadripolar cross illumination gives a very high contrast for horizontal and vertical patterns. However, polarization must not be taken into account at the illumination level only, since it can be modified when going through the various optical elements of the projection tool if these elements are polarizing. Various groups of researchers have taken an interest in polarization at the mask level [FLA 05], projection lens level [KOH 05] and resist level [SMI 02, FLA 05] as well as measurement techniques for polarization [KOH 05]. This is a very important aspect of high numerical aperture lithography that must be taken into account for future generations lithography tools. 1.7.2. Influence of contrast on roughness In order to highlight the influence of the projected image’s contrast on the roughness of the pattern transferred onto the resist, simple patterns such as lines can be printed. Their advantage is that they are spatially unifrequency patterns. Interferometry is their theoretical and experimental treatment. Consider the experimental set-up suggested by A.L. Charley [CHA 06]: an interferometry device with double grating immersion used at a numerical aperture of 1.2 for printing 80 nm-period arrays of lines with different states of s and p polarization, with the aim of experimentally evaluating the effect of polarizationinduced contrast loss on the quality of the image projected in the resist. The incident light on the gratings is linearly polarized along different orientations, from s to p. Let α be the angle between the electric field and the direction of the interferometer grating lines, as outlined in Figure 1.29(a): the effect of polarization on contrast. In s polarization, α equals zero, and in p polarization, α = 90°. When the electric field is linearly polarized with an angle between s and p, it can be expressed by: r r r E = E0 .cos αEs + E0 .sin αE p

Hence, the intensity contrast at an angle of polarization α is given by: c(α) = cos 2 α + sin 2 α.cos 2θ

Photolithography

35

In Figure 1.29(b), the contrast in a resist of index n = 1.7 was plotted as a function of the numerical aperture for different angles of polarization.

Contrast in the resist

At a high numerical aperture, contrast decreases more and more when the angle of polarization increases. Figure 1.30 shows 80 nm-period dense lines (actual numerical aperture: 1.2) at different angles of polarization α = [0, 6.8, 19.9, 59.3, 90°].

(a)

(b)

Figure 1.29. The effect of polarization on contrast: (a) showing the definition of α; (b) showing contrast for different polarizations

Figure 1.30. 80 nm-period dense lines achieved with different polarizations

36

Lithography

Average LER (nm)

Average LWR (nm)

It can be seen here that the loss of contrast increases the roughness of the imaged lines. Line Edge Roughness (LER) and Line Width Roughness (LWR) values can be determined from the SEM images. The parameter that should be considered in evaluating the image quality is the LWR since it impacts the performances of the sub-100 nm transistor to come [KIM 04]. Figure 1.31 shows the variation of average LER and LWR for several insulation doses as a function of the angle of polarization.

Polarization angle (°)

(a) LER as a function of the polarization ang le

Polarization angle (°)

(b) LWR as a function of the polarization ang le

Figure 1.31. LER and LWR as a function of the angle of polarization

LER and LWR increase along with the angle of polarization, that is to say when polarization gets closer to low contrast p-polarization. The trend is the same for both of these roughness values, with LWR values slightly greater than LER values. The given values being averaged at several insulation doses, they are greater than the actual roughness values. However, this measurement method shows very well how roughness changes when contrast varies. Figure 1.31 highlights the correlation between image degradation and contrast variation induced by polarization state for a high numerical aperture. Some researchers have taken an interest in the influence of contrast on the roughness of lithographed lines [WIL 02, SHU 05]. The trend is similar to what has already been seen: roughness increases when contrast gets lower. A resist can be characterized by its contrast curve, which represents the variation of its thickness as a function of the insulation dose, as described in Figure 1.32 in the case of a chemically amplified resist. At low energy doses, a positive resist is not soluble in the developer solution, meaning its thickness will not change from the initial thickness. At high energy doses, the resist is no longer protected and becomes soluble in the developer. There exists a zone of intermediate doses wherein thickness linearly varies with the logarithm of the dose. The slope of this curve gives the resist’s contrast. It is generally high enough so that a low dose variation can change the resist from a nonsoluble to a soluble state. Thanks to the introduction of this type of resist, it is now

Photolithography

37

possible to achieve rectangular patterns with current scanners working at their resolution limit, although the aerial image is sinusoidal due to orders of diffraction lost at pupil level. Low LER

Intermediate dose

Normalized thickness

High LER

Figure 1.32. Influence of contrast on the geometry of patterns printed on a chemical amplification resist

A closer look will now be taken at the effect of a low contrast aerial image on the lines imaged into the resist. Figure 1.32 shows the intensity distribution of two fringe systems of different contrasts, 100% and 40%, as well as their image in the resist, responding to the theoretical contrast curve shown on the left of the figure [HIN 98]. When the intensity is higher than the intermediate dose, the resist is dissolved and, when it is lower, the resist is non-soluble. Thus, its thickness remains unchanged. In the intermediate dose range, solubility varies between two extreme values. LER can then be explained as a spatially inhomogeneous solubility in this range, due to, for example, a non-uniform diffusion of the acid generated during the deprotection reaction or a statistical distribution of solubility. In Figure 1.32, the influence of contrast on LER is very obvious. In the case of an image with a contrast equal to 1, that random solubility range is rapidly passed, since the slope of the sinusoid is high. By contrast, in the case of a lower contrast image, that range affects a larger space around the line’s side since the slope is smaller, which consequently increases LER. These results actually show that contrast has a great impact on image quality in the resist, particularly on roughness. In this case, it confirms that, in order to keep a

38

Lithography

high enough contrast, controlling light polarization at resist level is essential at high numerical apertures, since it is involved in the image formation process. In conclusion, high numerical apertures are necessary for reaching very fine resolutions (in the range of 10 nm) but, in such cases the effects of polarization have to be taken into account. Indeed, at these angles of incidence, electromagnetic wave processing can no longer be scalar and must be vectorial. Components along the optical axis can reduce the formed image’s contrast and this results in resolution loss and an increase in roughness. 1.8. Bibliography [BLA 82] BLACKHAM G.E., “The evolution of the modern microscope”, Proceedings of the American Society of Microscopists, 4, pp. 25–47, 1882. [BOS 77] BOSSUNG J.W., “Projection printing characterization”, Proc. SPIE, 100, pp. 80–84. [BRU 02] BRUNNER A. et al., “High NA lithography imagery at Brewster’s angle”, Proc. SPIE 4691, pp. 1–10, 2002. [BRU 97] BRUNNER T., “Pushing the limits of lithography for IC production”, IEEE, pp. 9– 13, 1997. [CHA 06] CHARLEY A.L. et al., “Liquid Immersion lithography at 193 nn using a high NA achromatic interferometer”, Proc SPIE, 6154: 61541Z, 2006. [FLA 05] FLAGELLO D.G. et al., “Challenges with hyper NA polarized light lithography for sub λ resolution”, Proc. SPIE, 5754, pp. 53–68, 2005. [FRE 05] FRENCH R.H., SEWELL H., YANG M.K., PENG S., MCCAFFERTY D., WHELAND R.C., LEMON M.F., MARKOYA L., CRAWFORD M.K., “Imaging of 32-nm 1:1 lines and spaces using 193-nm immersion interference lithography with second-generation immersion fluids to achieve a numerical aperture of 1.5 and a k1 of 0.25”, J. of Microlithography, Microfabrication and Microsystems, 4(3), 031103, Jul–Sep 2005. [GRO 06] GRONHEID R. & RONSE K., “Continued scaling in integrated circuits – trends and requirements in lithography, Keynotes”, LITHO2006 Marseille (France), 26–30 June, 2006. [HEC 98] HECHT E., Optics, 3rd edition, Addison-Wesley, 1998. [HIN 98] HINSBERG W. et al., “Deep UV interferometric lithography as a tool assessment of chemical amplified photoresist performances”, J. Vac. Sci. Technol. B, 16(6), pp. 3689– 3694, 1998. [ITO 97] ITO H., “Chemical amplification resists: History and development within IBM”, IBM J. Res. Develop., 41, p69, 1997. [ITR] http://www.itrs.net/reports.html.

Photolithography

39

[KAW 89] KAWATA H., CARTER J., YEN A. & SMITH H.I., “Optical projection lithography using lenses with numerical apertures greater than unity,” Microelectron. Eng., 9, 31–36, 1989. [KAW 92] KAWATA H., MATSUMARA I., YOSHIDA H. & MURATA K., “Fabrication of 0.2 μm fine patterns using optical projection lithography with an oil immersion lens”, Jpn. J. Appl. Phys., 31, 4174–4177, 1992. [KIM 04] KIM H.W. et al., “Experimental investigation of the impact of LWR on sub 100 nm device performance”, Electron. Devices IEEE Trans. 51, pp. 1984–1988, 2004. [KOH 05] KÖHLER C. et al., “Imaging enhancements by polarized illumination: theory and experimental verification”, Proc. SPIE, 5754, pp. 734–750, 2005. [KRI 95] KRISA W.L., GARZA C.M. & BENNETT R.D., “Contact performance with an attenuated phase shift reticle and variable partial coherence”, Proc. SPIE, 2440, 524–531, 1995. [LEV 82] LEVENSON M.D. et al., “Improving resolution in photolithography with a phase shift mask”, IEEE Trans. Electron. Devices, 29, pp. 1828–1836, 1982. [LIE 97] LIEBMAN L. et al., “Understanding across chip line width variation: the first step towards optical proximity correction”, Proc. SPIE, 3051, pp. 124–136, 1997. [LIN 87] LIN B.J., “The future of subhalf-micrometer optical lithography”, Microelectron. Eng., 6, 31–51, 1987. [LIN 93] LIN B.J., “Phase-shifting masks gain an edge”, IEEE Circuits and Devices, 9(3), 28– 35, 1993. [LIN 02] LIN B.J., “The k3 coefficient in nonparaxial λ/NA scaling equations for resolution, depth of focus, and immersion lithography”, Journal of Microlithography, Microfabrication and Microsystems, 1(1), 7–12, 2002. [LIN 04] LIN B.J., “The k3 coefficient in non paraxial scaling equations for resolution, depth of focus and immersion lithography”, J. of Microlithography, Microfabrication and Microsystems, 3(1), pp. 52–60, 2004. [LOP 07] LOPEZ-GEJO J., KUNJAPPU J.T., ZHOU J., SMITH B.W., ZIMMERMAN P., CONLEY W., TURRO N.J., “Polycycloalkanes as Potential Third-Generation Immersion Fluids for Photolithography at 193 nm”, Chem. Mater., 2007 19, pp. 3641–3647, 3641. [MAC 00] MACK C.A. Corner rounding and line shortening in optical lithography, Proc. SPIE, 4226, pp 83–92, 2000. [NOG 92] NOGUCHI M., MURAKI M., IWASAKI Y. & SUZUKI A., “Sub-half micron lithography system with phase-shifting effect”, Proc. SPIE, 1674, 92–104, 1992. [OP 97] OP DE BEECK M., RONSE K., GANDEHARI K., JAENEN P., BOTERMANS H., FINDERS J., LILYGREN J., BAKER D., VANDENBERGHE G. DE BISSCHOP P., MAENHOUDT M. & VAN DEN HOVE L., “NA/sigma optimization strategies for an advanced DUV stepper applied to 0.25 μm and sub 0.25 μm critical levels”, Proc. SPIE, 3051, 320–332, 1997.

40

Lithography

[OWE 92] OWEN G., PEASE R.F.W., MARKLE D.A., GRENVILLE A., HSIEH R. L., VON BŰNAU R. & MALUF N.I., “1/8 μm optical lithography”, J. Vac. Sci. Technol. B, 10(6), 3032–3035, 1992. [PAR 93] PARTLO W.N., THOMPKINS P.J., DEWA P.G. & MICHALOSKI P.F., “Depth of focus and resolution enhancement for i-line and deep-UV lithography using annular illumination”, Proc. SPIE, 1927, 137–157, 1993. [ROT 99] ROTHSCHILD M., BLOOMSTEIN T.M., CURTIN J.E., DOWNS D.K., FEDYNYSHYN T.H., HARDY D.E., KUNZ R.R., LIBERMAN V., SEDLACEK J.H.C., UTTARO R.S., BATES A.K. & VAN PESKI C., “157 nm: Deepest deep-ultraviolet yet”, J. Vac. Sci. Technol. B, 17(6), 3262–3266, 1999. [SEW 07] SEWELL H., MULKENS J., MCCAFFERTY D., MARKOYA L., WAGNER C. & GRAEUPNER P., “High-n immersion lithography”, 4th International Symposium on Immersion Lithography, Keystone, CO, October 9, 2007. [SHU 05] SHUMWAY M. et al., “Measuring Line edge roughness through aerial image contrast variation using coherent extreme UV spatial filtering technics”, J. Vac. Sci. Technol. B, 23(6), pp 2844–2847, 2005. [SMI 02] SMITH B.W. & CASHMORE J., “Challenges in high NA polarization and resists”, Proc. SPIE, 4691, pp. 11–24, 2002 [SMI 04] SMITH B.W. et al., “Approaching the NA of water”, Proc. SPIE, 5377, pp. 273–284, 2004. [TAB 85] TABERELLI W. & LOEBACH E., Apparatus for the photolithographic manufacture of integrated circuit element, U.S. Patent, 4509852, 1985. [TAK 84] TAKANASI A., HARADA T., AKEYAMA M., KONDO Y., KAROSAKI T., KUNIYOSHI S., HOSAKA S. & KAWAMURA Y., Pattern performing apparatus, U.S. Patent, 4480910, 1984. [WIL 02] WILLIAMSON M. et al., “A study of the effect of image contrast and resist type upon line edge roughness”, Proc SPIE, 4690, pp. 357–365, 2002.

Chapter 2

Extreme Ultraviolet Lithography

2.1. Introduction to extreme ultraviolet lithography 2.1.1. Chapter introduction If, in the last 30 years, powerful computers have reduced in size from a whole room full of machines to a portable computer, it is in great part thanks to the efforts of lithography. Indeed, the inceased resolution reached by lithography significantly improved the compactness as well as the power of microprocessors. The current techniques of lithography, at 248 nm or 193 nm, still need to be improved or give way to new techniques capable of making even the smaller transistors. One of the candidates, extreme ultraviolet lithography using a 13.5 nm wavelength, has seen the most intensive development over the last few years. Extreme ultraviolet lithography (EUVL) saw its first development at the end of the 1980s. Since that time, many different studies have been conducted, mainly in the United States, Europe and Japan, in order to identify the feasibility of this new lithography technique. EUVL is one part of Next Generation Lithography (NGL), a group of promising techniques intended to satisfy the microelectronics constraints of productivity, production cost and image quality in order to reduce the size of integrated circuits. Unlike all the other NGLs such as electron or ion-projection lithography, EUVL is rooted in the continuity of projection optical lithography techniques currently used in production.

Chapter written by Maxime BESACIER, Christophe CONSTANCIAS and Jean-Yves ROBIC.

42

Lithography

The purpose of this chapter is to understand why EUVL differs from the usual optical lithography techniques and in which direction efforts have to be pursued in order to make this technique viable for the industrial production of integrated circuits. This introductory section presents the main choices concerning the technique. The electromagnetic properties of the materials in the wavelength range used in EUV are then detailed in section 2.2, along with important specific aspects such as absorption and the refractive index. In section 2.3, the conception and achievement of reflective optics is explained. In section 2.4, different mask types and their specifications (in terms of their defectivity) are presented. The simulation tools dedicated to EUV lithography, essential elements for predicting optical phenomena, are introduced in section 2.5. Finally, section 2.6 presents the light sources in the EUV range which must provide sufficient power to ensure the output necessary for high-volume production. 2.1.2. Extreme ultraviolet lithography: the successor of optical lithography at 248 nm and 193 nm wavelengths EUVL is one of the technical solutions required for lithography to address the issue of high-volume production of microelectronic components of very critical dimensions1 equal to or less than 32 nm. Since 1994, the name EUVL has replaced its original name, which was soft X-ray projection lithography2. EUV lithography is imaging a mask with the help of a projection-optical system with a reduction ratio of 4, and using the radiation of a 13.5 nm wavelength. This lithography technology is the extension of technological developments pursued over the last 10 years to improve the resolution of lithographic systems by reducing the insulation wavelength. These developments have led to optical lithographies3 using wavelengths of 248 nm, followed by 193 nm and then 157 nm; however, the last of these techniques never went past the prototype stage. EUV lithography, the principle of which was described at the end of the 1980s [HAW 88, KIN 89], was developed strongly from 1997, within the framework of an American consortium, EUV LLC [GWY 98], and then through different European

1. The “critical dimension” corresponds to the half pitch defined by the recommendations of the International Technology Roadmap for Semiconductors (ITRS). The term “technological node” can also be used for the critical dimension. 2. EUV lithography must not be confused with soft X-ray proximity lithography, which is a printing technique with a 1:1 ratio in the spectral range of 0.7 to 1.2 nm. 3. Optical lithography technologies are defined by the wavelength used; for example, lithography at 248 nm is lithography using a wavelength of 248 nm for insulation.

Extreme Ultraviolet Lithography

43

and Japanese development programs. The two first prototype tools of EUV lithography were built in 2006 by the firm ASML (The Netherlands). Even if EUV lithography is very similar in its principle to optical projection lithography technologies, it cannot be considered as a simple extension of the existing technologies, given the specificity of the radiation used and the technological consequences induced by this radiation choice. Indeed, the 13.5 nm wavelength is absorbed by all materials and gases which imposes, on the one hand, the necessity of carrying out the whole lithographic process under vacuum and, on the other hand, the need to use reflective optics, including those for mask making. These reflective optical elements are created by stacking many different interferential layers (Bragg mirrors). Table 2.1 compares the needs and the specific sub-systems necessary to put into action EUV lithography and, for comparison, the 193 nm and 248 nm optical technologies. This comparison emphasizes the major differences between EUV lithography and the other techniques from the point of view of sources, optics type for the projection system, mask structure, and environmental constraints. Over the last 10 years, EUV lithography has undergone many studies regarding, in order of greatest concern: EUV sources, optics and their multilayer treatment, masks, the 13.5 nm specific metrology, chemically amplified resists and contamination control [WU 07]. Sub-systems 193 nm and 248 nm optical lithography Source Monochromatic source: KrF (248 nm) or ArF (193 nm) laser

Projection optics

Optical system mainly constituted of transmission lenses. Mask Transmission mask, made with a silica substrate. Protection film to avoid particle contamination. Environment Controlled atmosphere

EUV lithography Non-directional plasma source emitting in a large spectral range. Collector: sub-system to collect a part of the radiation of a spatially-emitted source. Residue control: sub-system specific to the plasma sources and necessary in order to minimize light collector contamination. Optical system mainly constituted of mirrors made from interferential multilayer deposition. Reflection mask, made of a very low expansion coefficient substrate. No technical solution for the mask’s protection. Tool under vacuum at around 10-9 mbar

Table 2.1. Comparison between the technical needs and solutions necessary for 193 nm and 248 nm optical lithographies, and EUV lithography

44

Lithography

2.1.3. The spectral range of extreme ultraviolet Figure 2.1 shows part of the electromagnetic spectrum, from infrared to hard X-rays, and the correspondence in terms of wavelength, photon energy and angular frequency (or pulsation). In the X-ray range, the photon’s energy is often expressed in electron-volts (eV) where: E=

h.ω 2.π

[2.1]

h being the Planck constant and ω = 2πf the angular frequency. The wavelengths are expressed in nanometers (1 nm = 10–9 m). The limit between EUV and the soft X-rays is not clearly defined. According to Attwood [ATT 00], the EUV range is said to start at 30 eV and reach 250 eV, which corresponds to wavelengths from 5 nm to 40 nm. The EUV acronym is now predominantly used for EUV lithography in order to indicate a wavelength band of around 13.5 nm.

Figure 2.1. Spectral range of electromagnetic waves and correspondence with wavelength, energy and angular frequency or pulsation

2.1.4. Choice of wavelength and resolution limit for EUV lithography In lithography, the resolution limit is expressed by the semi-period R and depth of focus (DoF) by using one of the versions of the Rayleigh equation [PER 96] that takes into account the projection-optical system, the illumination system of the mask and the resist with coefficients k1 and k2:

Extreme Ultraviolet Lithography

R = k1

λ n.sin θ

DoF = k2

45

[2.2]

λ n ( sin θ )

2

[2.3]

λ being the wavelength, n the refractive index of the medium. This index is equal to 1 for EUV lithography, which is under vacuum. Finally, θ is the half-angle of the maximum optical aperture. It is noticeable that the numerical aperture is equal to n.sin θ. These expressions are true in the case of the Gaussian optical approximation for which the rays are assumed to be paraxial, meaning for small angles. For this approximation, it is also interesting to consider that k2 ≈ 2k1. Theoretically, for a simple exposure, k1 cannot be less than 0.25 which corresponds to the diffraction limit. In practice, in the microelectronics industry, experimental values of k1 are included between 0.6 and 0.4. The value of this coefficient depends, for a lithographic process, on the illumination used, the mask type, the photo-sensitive resist, etc. To lower the k1 value and reach 0.4, it is necessary to use resolution enhancement techniques (RETs), such as off-axis illumination (OAI), optical proximity corrections (OPC) and phase shift masks (PSM); see Chapter 1. The wavelength choice for EUV lithography has been decided according to the resolution required, and mainly depending on the possibilities of creating sources and multilayer mirror treatments for the chosen wavelength. The target resolution, at first of 100 nm in 1997, has evolved over time and is, in 2010, now 32 nm or even 22 nm. These resolutions correspond to technological nodes for which the choice of lithography techniques to satisfy the microelectronic needs has not yet been made. A wavelength of 13.5 nm has been selected. Dense xenon, tin or lithium plasma sources exist, emitting a radiation of this wavelength. Moreover, multilayer stacks of molybdenum and silicon can be manufactured, allowing the manufacture of mirrors of a reflectivity greater than 70% for a 13.5 nm wavelength, and with an angle of incidence almost perpendicular. In this way, a required resolution of 32 nm, a wavelength of 13.5 nm and a numerical aperture of 0.25 correspond to the first insulation tool. The value of k1 is 0.6 which is a comfortable value for lithography. For a 22 nm resolution, k1 must be equal to 0.4, which corresponds to the most aggressive current state-of-the art optical lithography. Enhancement of resolution, by decreasing the wavelength, is always combined to the optimization of k1 of the optical projection system’s numerical aperture (NA = n.sin θ) to maintain a certain process latitude (called a process window) with, in particular, the highest possible DoF.

Lithography 0.7 0.65 0.6 0.55 0.5 K1 0.45 0.4 0.35 0.3 0.25 0.2

350 300 250 200 150

DOF (nm)

46

K1 for 22 nm resolution DOF for 22 nm resolution

100 50 0.1

0.2

0.3

0.4

0.5

NA

Figure 2.2. k1 as a function of the numerical aperture of the optical system (NA) for a 13.5 nm wavelength and a 22 nm resolution, depth of focus (DoF) as a function of the numerical aperture (NA) of the optical system for a 13.5 nm wavelength and a 22 nm resolution

Figure 2.2 shows, as an example, the pairs of values of k1 and NA for a 22 nm resolution and, at the same time, the DoF values as a function of the numerical aperture for a 22 nm resolution. For any numerical aperture greater than or equal to 0.25, a 22 nm resolution is possible if the k1 values are greater than 0.4. By contrast, if a 22 nm resolution is needed, the DoF will always be lower than 176 nm for apertures greater than 0.25. 2.2. The electromagnetic properties of materials and the complex index The modifications brought by a material for the propagation of electromagnetic waves are of two kinds: 1. The monochromatic components of a wave propagate at different speeds, called phase velocities: this is called dispersion. Put differently: the refractive index of the medium varies according to the wavelength. 2. The amplitude of the monochromatic components decreases during propagation: this is called absorption. These changes characterize the medium and are defined by the complex index of the material: n% = n + i κ = ε% r μ% r ≡ ε% r1/ 2

[2.4]

Extreme Ultraviolet Lithography

47

where ε% r and μ% r are the relative complex permittivity and permeability of the medium, respectively. Usually, µr is a little different from 1 for most of the materials transmitting electromagnetic waves. The real part n is the refractive index and the imaginary part κ is the attenuation or extinction index. 2.2.1. Wave vector and complex index The propagation of an electromagnetic wave in a material medium is described ~ by a complex wave vector k orientated along the direction of the wave’s propagation. In the case of a plane wave, along the direction Oz, this vector’s expression is:

% = ( k '+ ik ") e ke z z The electric field of a plane wave as a function of the wave vector can be expressed, for a wave traveling in the increasing direction z (k’ > 0 and k'' > 0), as: E% = E% m exp ( − k " z ) exp ⎡⎣ −i ( ωt − k ' z ) ⎤⎦

Therefore, the wave’s amplitude is not constant; it decreases exponentially according to the linear attenuation: k " = α . The possible values of k% are given by the dispersion relation: ω 2π k% ≡ k0 ε% r1/2 ≡ k0 n% with k0 = = c λ0

[2.5]

As n% 2 ≡ ε% r = ε'r + iε"r , the following relations can be obtained:

n2 − κ2 = ε 'r and 2nκ = ε "r Thus, for n% a complex index of a medium, the electric field of an electromagnetic wave is written as follows: % ) ⎤⎦ = E% m exp ( − k0 κz ) exp ⎡⎣ −i ( ωt − k0 nz ) ⎤⎦ E% = E% m exp ⎡⎣ −i ( ωt − k0 nz

48

Lithography

2.2.2. Scattering and absorption: the electromagnetic origin of the refractive index Strictly speaking, in order to see the effect of an electromagnetic field on a material medium’s charges, it is essential to know the motions of the charged particles in the medium. These motions follow the laws of quantum mechanics and the action of an exterior disruptive field will not be addressed in this chapter. Only the phenomenological approach will be presented to explain the variations of the refractive index, especially in the X-ray and EUV ranges, where n is lower than 1. The interactions between an electromagnetic field and a material medium containing charges usually result in the excitation of all charge carriers according to the pulsation ω of the electromagnetic wave, a viscous damping force expressed as –(m/τ)v and an elastic return force in the form of –mω2 Z, Z being the position of the charge according to the equilibrium position. The constant τ is the period for the velocity’s relaxation. The constant ω is the classic oscillator’s pulsation that the charge in motion around its equilibrium position creates. From the equations of motion applied to one of the q charge carrier, of m mass, undergoing an electromagnetic field (E, B), the complex permittivity is also determined and, consequently, so is the index of the given material medium: m

d 2Z dt

2

= q (E + v × B) −

m v − mω0 2 Z τ

[2.6]

In the expression of the excitation by the electromagnetic field (E, B), except for ferromagnetic materials, the magnetic contribution is negligible compared to the electric contribution: vB/E ≈ v/c 4.5 × 1016 rad/s for a wavelength smaller than 41 nm), the scattering factor f of

~

the electronic dipole can be defined as the ratio between the scattered amplitude Z 0 over the amplitude scattered by a free electron Z% in the same conditions. In the free e

electron case, the oscillation amplitude Z% e can be obtained for ω = 0 (no elastic return) and – (m/τ)v = 0 (no viscous damping).

q % Z%e = − E0 mω2

Z% χ% ω2 = f% = 0 = Z%e χ% e ω2 − ω02 + i ω τ

(

[2.12]

)

By definition f% ≤ 1, and if the incident frequency ω approaches ω0 the scattering factor f% becomes strictly imaginary. f% can be written as f% = f + if . 1

2

For a material medium of NV identical dipoles per unit volume, the index of refraction is defined by a complex expression, given by: 1/ 2

n%

= ε% r1/ 2

⎛ N e2 % ⎞ = ⎜1 − V f⎟ 2 ⎟ ⎜ ⎝ me ε0 ω ⎠

≅ 1−

NV e2 2

2me ε0 ω

f%

[2.13]

In the X-Ray and EUV range, the index is therefore inferior to 1, and the complex index is usually expressed the following way: n = 1 – δ + iβ

[2.14]

with: δ=

and:

Ne 2 2me ε0 ω2

f1

[2.15]

Extreme Ultraviolet Lithography

β=

Ne 2 2me ε0 ω2

51

[2.16]

f2

The description given is a very simplistic one, and it could be improved by introducing different types of electrons of different natural frequencies. In the case of an atom of N electrons, and consequently N dipoles oscillating at ωn natural frequencies, the expression of the atomic scattering factor can be generalized by summing the contribution of all the oscillators in the atom in order to have an

~

expression of the factor f :

f% = ∑ s

g ( s)ω2

[2.17]

( ω2 − ωs2 ) + i ω τ

The number g(s) of dipoles oscillating at the natural frequency of ωs in the atom is called the “oscillator force”. The calculation of g(s) is linked to quantum mechanics’ understanding of the oscillator in which g(s) is proportional to the transition probability of an electron to shift from a k state energy to an n state. For an atom of only one electron, ∑ g (k , n) = 1. n

This rule can apply to the cases of atoms of several electrons; the sum of the g(s) is equal to the Atomic Number Z: 1/ 2

n%

= ε% r1/ 2

⎛ ⎞ Ne2 = ⎜1 − f% j ⎟ ∑ 2 ⎜ ⎟ ⎝ me ε0 ω j ⎠

≅ 1−

Ne2

∑ f% j

2me ε0 ω2 j

The refractive index depends on pulsation, and consequently on the wavelength as illustrated in Figure 2.3. In general, a material’s index is estimated using the values of f1 and f2 of the atoms in the material. The f2 factor can be measured as it is related to the absorption for a given wavelength. The intensity attenuation of a wave transmitted by a material of R thickness is given by I = I0e– R, which is also called the Beer-Lambert law, in which α is the linear absorption coefficient and I is proportional to the square of the electric field’s amplitude E.

52

Lithhography

Figu ure 2.3. Variation of the refractive index according to the frrequency. The ppeaks n spectra of the materials correspoond to the absorrption/emission

Withh α =

2ω 4π 2π N Ne 2 e2 , the k= β= f 2 and considering re = c λ λ me ε0 ω2 4πε0 me c 2

classicall electron radiuus, the expression can be siimplified towaards: β=

Nre λ 2 f2 2π

[2.18]

α=

1 2 Nre λf 2

[2.19]

Expeerimentally, a material’s absorption is measured by phhotons passingg through a thin fooil. The measuured intensityy verifies I = I0e– R with ρ the volumeetric mass and µ thhe absorption coefficient, c so that α = 1/ρμ μ.The macrosccopic distributiion of the absorptioon length is rellated to microscopic quantitties by: µ=

2re λ f2 Am u

[2.20]

with ρ = ma Na = Amu Na, mu beingg the atomic mass m unit, A thhe atomic num mber, and Na beingg Avogadro’s number. n Untill now, the eleectromagnetic interaction between b radiattion and the aatoms has only beeen expressed using u the electtric field concept. Howeverr, another apprroach can

Extreme Ultraviolet Lithography

53

be considered. It consists of looking at the absorption probabilities of a photon. It is linked to the absorption coefficient α that has been calculated and to the photon’s absorption cross-section σa. f2 can be expressed as function of the photo-absorption cross-section: f2 =

σa 2re λ

[2.21]

The real part f1 is difficult to measure. As scattering and absorption have the same origins, the phenomena are tightly linked: f1 can be calculated from the Kramers-Krönig relation [CHA 97] with regard to the link existing between scattering and absorption by: f1 = Z * +

1 πre hc

∞ 2

ε σa ( ε ) dε 2 2 0 E −ε



[2.22]

Z* differs from the atomic number because of the relativistic correction Z* ≈ Z – (Z/82.5)2.37. For high energies, f1 approaches Z*. Finally, for composed material of ρ density, the index can be calculated by considering the combination of atoms forming the material:

n% ≅ 1 −

e2 N a 2πme c

2

λ 2ρ

∑ x j ( f1 j + if 2 j ) j

∑ x j Aj

[2.23]

j

The sum concerns all the element j constituents of the material, where xj is the relative concentration of each component and Aj the atomic number. 2.2.3. Light propagation and refractive index

The speed of light in a medium is usually associated with its refractive index n: such as n = c/v. This is called phase velocity: v = vΦ =

ω k (ω)

[2.24]

Phase velocity is the expression of the speed at which a wave’s sinusoid translates. However, this relation does not express the motion’s rate for any

54

Lithography

quantity! It is a simplified mathematical model and completely disconnected from reality to consider a continuous plane wave as an infinite sinusoid with a single wavelength (monochromatic). Physics implies that all waves are limited in time and space. A real wave behaves like a wave packet represented by an overlapping of monochromatic plane waves, the shapes of which are close to the one represented in Figure 2.4. Thereby, in order to measure the speed at which the signal transmits, the propagation speed of the wave packet must be taken into account. The phase velocity does not give any information on the speed at which the wave packet moves since this is not the case for a sinusoidal wave: its amplitude is not constant along the propagation axis. vg

z

Figure 2.4. Wave train, or wave packet, corresponding to the overlapping of two continuous monochromatic sinusoidal waves of the same amplitude vg



z

Figure 2.5. Phase velocity and group velocity

A Fourier analysis of the wave packet apparently shows that it holds more than one frequency, meaning more than one wavelength. Under vacuum, the propagation

Extreme Ultraviolet Lithography

55

is independent of the frequency, as there is no dispersion effect. All the wave components are moving at their own phase velocity and the following fact is true: the wave packet speed is the same as the phase velocity. In a dispersive material medium, each component travels at its own speed, and as a result the wave packet’s speed, the group velocity, can be different from the phase velocities. By taking into account the sum of two waves of the same amplitude and frequency, almost equal in order to have ω − ω = Δω > ω0. It is possible, as a first approximation, to disregard ωs in the expression of f% and express the index as: 1/ 2

n%

= ε% r1/ 2

⎛ ⎞ Ne2 = ⎜1 − f% j ⎟ ∑ 2 ⎜ ⎟ ⎝ me ε0 ω j ⎠

≅ 1−

Ne2

∑ f% j

2me ε0 ω2 j

f% has been defined as a ratio that can be considered, as a first approximation, as:

56

Lithography

∑ j f% j ≅ 1 The index of refraction can then be written as n = 1 −

Ne2 2me ε0 ω2

which is less than

1, leading to v > c because v = c/n. But what about the group velocity: vg = vΦ + k Group velocity can also be written as: vg =

dvΦ ? dk

dω , so: dk

dvΦ dvΦ d ω dv . = = vg Φ dk d ω dk dω

Using the relation v = c/n, it can be deduced that:

dvΦ c dn c dn =− leading to vg = vΦ − k .vg 2 dω dω n2 d ω n Finally, we obtain: vg =

vΦ c = ck dn dn n+ω 1+ 2 d d ω ω n

by expressing k as k = ω/v = ωn/c. The above expression of the index makes it possible to calculate dn/dω, leading to the following expression of the group velocity: c

vg = 1−

Ne

2

2me ε0 ω2



Ne

2

me ε0 ω3

c

= 1+

Ne 2 2me ε0 ω2

The group velocity is a lot smaller than c, even if, because n is smaller than 1, the phase velocity is greater than c!

Extreme Ultraviolet Lithography

57

In the EUV range, materials are weakly dispersive with refractive indexes lower than 1 (Table 2.2). Symbol

Name

a-C

Optical constants at λ = 13.5 nm n

k

Amorphous carbon

0.9616

0.00691

Ag

Silver

0.8903

0.07938

Al

Aluminum

1.0026

0.02957

B

Boron

0.9689

0.00400

Cr

Chromium

0.9332

0.03830

Hf

Hafnium

0.9609

0.03464

HfO2

Hafnium oxide

0.9490

0.03380

Mo

Molybdenum

0.9242

0.00626

Pd

Palladium

0.8755

0.04712

Pt

Platinum

0.8907

0.06004

Ru

Ruthenium

0.8894

0.01651

Si

Silicon

0.9998

0.00182

Si3N4

Silicon nitride

0.9734

0.00932

SiO2

Silica

0.9732

0.01319

Ta

Tantalum

0.9440

0.04030

TaN

Tantalum nitride

0.9270

0.04307

W

Tungsten

0.9305

0.04207

Y

Yttrium

0.9737

0.00229

Zr

Zirconium

0.9576

0.00372

Table 2.2. Index table of EUV materials at λ = 13.5 nm

2.2.4. Reflection and transmission of a monochromatic wave

Consider a plane electromagnetic wave hitting the perfectly smooth interface surface separating two dielectric media of indexes n1 and n2 (Figure 2.6), where the incident wave vector with an electric field of an amplitude Ei forms a θ angle with the perpendicular to the interface (represented by the z axis). The amplitudes of the reflected Er and transmitted Et electric fields are given by the Fresnel equations, of which the angle of refraction θ is defined by the Snell-Descartes law: n1sin θ = n2sin θ .

58

Lithography

E

E

B

E

x θ

E B

z

B

n n2>

x θ B

z

a)

b1)

E

ki θ −θ1

O

kt

kr

B

B

−θ1

ki

O

E

kr

E

B

B

ki θ −θ1 n n2

kr

n n2
n2 and negative if n1< n2. This is a result of a π phase difference for the reflected wave in relation to the incident wave.

Extreme Ultraviolet Lithography

59

The intensity reflection and transmission factors are deduced from the amplitude coefficients because I = n|E|2: R=

T=

n1 Er

2

n1 Ei

2

n 2 Et

2

n Ei

2

[2.31]

[2.32]

1

Previously (page 51 above), it was noticed that the attenuation of the intensity of a wave passing through a material of thickness R is given by: I = I0e– R. Furthermore, for a perfect interface, with no roughness, it needs to be verified that the attenuation is: A=1–R–T

[2.33]

EXAMPLE: Reflection and transmission factors of a thin foil To obtain refractive lenses, materials with an significant index difference compared to the one of the air or vacuum and with the lowest attenuation coefficient possible are needed. In the case of 193 nm lithography, lenses made of CaF2 of which the index at λ = 193 nm is nCaF2 = 1.49 and kCaF2 = 1.54 × 10–8, are used. In the case of extreme UV, for a wavelength of λ = 13.5 nm, the material of lowest attenuation coefficient is silicon, nSi = 0.9998 and kSi = 0.00182. The material of significant index difference with a vacuum and of index equal to 1 is palladium, nPd = 0.8755 and kPd = 0.04712. Consider a plane wave, with an amplitude I0 = 1, incident to a thin foil of thickness e = 10 µm, with an angle almost perpendicular to the surface. Figure 2.7 shows the amplitude of the multiple reflected and transmitted waves through the foil. For a perpendicular incidence, for the first diopter: r1 =

n0 − n n0 + n

t1 =

2n0 n0 + n

60

Lithography 1

t1t2r t1t2r3 t1t2r5

r

n0 n

e

n0 6 t1t2 t1t2r2 t1t2r4 t1t2r

Figure 2.7. Amplitude of multiple reflected and transmitted waves through a foil

For the second diopter: r2 =

n − n0 n0 + n

t2 =

2n n0 + n

The reflection, transmission and linear absorption coefficients of the foil are: CaF 2 at λ =193nm Si at λ =13.5nm Pd at λ =13.5nm

n

k

r1

t1

r2

t2

1.49 0.9998 0.8755

1.54E-08 0.00182 0.04712

-0.1968 0.0001 0.0664

0.8032 1.0001 1.0664

0.1968 -0.0001 -0.0664

1.1968 0.9999 0.9336

alpha (cm -1 ) 1.00E-02 1.69E+04 4.39E+05

The reflection and transmission coefficients of the waves associated with the two first radiations reflected and transmitted by the foil are: CaF2 at λ =193nm Si at λ =13.5nm Pd at λ =13.5nm

n 1.49 0.9998 0.8755

k 1.54E-08 0.00182 0.04712

r -0.1968 0.0001 0.0664

t 1t 2r -0.18916653 0.00010001 0.06608978

t 1t 2

t 1t 2r 2

0.961274818 0.99999999 0.995593391

2.27E-16 3.31E-06 2.21E-03

It is sufficient to look at the first transmitted wave, for which the transmitted intensity is: CaF 2 at λ =193nm Si at λ =13.5nm Pd at λ =13.5nm

R=r²

T=(t 1 t 2 ) 2 e (- α *e)

A=1-R-T

0.039 0.000 0.004

0.924 0.184 0.000

0.037 0.816 0.996

Extreme Ultraviolet Lithography

61

Almost all the intensity of an electromagnetic wave in the extreme UV range is absorbed by a thin foil of 10 microns. It must be understood that EUV lithography tools cannot contain any refractive optics but only reflective optical elements. 2.3. Reflective optical elements for EUV lithography

Major technological breakthroughs over the past years in the fields of astronomy and microscopy have made it possible for the optical elements dedicated to the systems using EUV radiation to emerge. The wavelength range is absorbed by most of the materials, especially air. The “usual” refractive optical elements of the systems using a larger wavelength are not adapted to EUV and, therefore, an adapted reflective optical structure has been developed. These optical elements are set specifically for the projection optics of EUV lithography tools and for mask manufacturing. In this section dedicated to reflective optical elements and to projection systems, the characteristics of the elements will be presented, before addressing the manufacturing constraints as well as the specificities linked to lithography. 2.3.1. The interferential mirror principle: Bragg structure

In the EUV radiation range, materials are absorbent, meaning that a beam of light cannot go through them, even for small thicknesses, without suffering strong intensity attenuation. The shaping of a beam of light is not possible by simply going through a material (refractive optics); therefore the solution of using the signal’s reflection can be considered. Indeed, the radiation, hitting the surface with a certain incidence, will be partly reflected and transmitted (with more or less absorption according to the wavelength). In order to increase the reflectivity of the optical elements, the idea is to use interferential multilayer mirrors; the equation system of an interferential multilayer is well detailed in [CHA 97] and [BOR 80]. The mirrors are constituted of a multilayer structure made of a periodic stacking, alternating a layer of a material of high atomic number (an absorber) with a layer of a material of small atomic number (a separator) in order to obtain a significant electron density difference, and therefore a significant index difference (see section 2.2). This is also referred to as a stacking of a high density material (absorber) and a low density material (separator); the multilayer stacking is made up of a sequence of identical bilayers. For a normal incidence, meaning that the wave vector is perpendicular to the mirror’s surface, the period (bi-layer thickness) is d = λ / 2 .

62

Lithography

Each material layer has a thickness of around λ/4. This type of structure is often used with an off-axis incidence regarding the radiation of light. This makes it only possible to generate constructive interferences if certain conditions, known as Bragg conditions (described below), are fulfilled.

θ

θ θ θ

θ d

d.sinθ

Figure 2.8. Step difference between two reflected rays of lights

When a sequence of parallel plane surfaces, distant from one another by a distance d, is exposed to a beam with an incidence θ (see Figure 2.8), the step difference Δ between the ray of light reflected by two consecutive surfaces, i.e. the additional traveled path of the “deep” radiation, is expressed by the following geometrical relation: Δ = 2.d.sinθ

[2.34]

The two rays are in phase and create a constructive interference if the path difference introduces a phase shifting of 2nπ, that is, when the step difference is a whole multiple of the exposure wavelength λ: 2.d .sin(θ) = m.λ

[2.35]

This is the “standard” Bragg’s law. This relation depends on the wavelength λ of the radiation, on the period d of the multilayer and on the angle θ formed by the incident beam and the mirror plane. The parameter m is a whole multiple of the wavelength. COMMENT: The standard demonstration of Bragg’s law supposedly formulates the equality of the incident and emergent angles. This “standard” relation is actually a simplified relation as it does not take into account the absorption of the layers and the refraction at the interfaces. By

Extreme Ultraviolet Lithography

63

considering the refraction phenomenon as being predominant to the absorption one, Bragg’s law, for the first order, is given by: 2.d .sin(θ) 1 −

2.n sin 2 θ

= m.λ

[2.36]

This is the modified Bragg’s law. The additional parameters to consider are: n = γ.nabs + (1 − γ ).nsep

[2.37]

where nabs is the refractive index of the absorbing material, nsep the refractive index of the separating material, and γ the fraction coefficient defined as follows: γ=

d abs d abs + d sep

[2.38]

where dabs is the thickness of the high density material and dsep the thickness of the low density material, also called a separator. The sum of both thicknesses represents the total thickness of the bi-layer structure. Usually, the reflectivity of these optical systems is not 100%. In particular, in EUV lithography applications, the absorption losses of each optical element can reach 30%. The main reason for these strong losses is a sizable extinction coefficient of around 10–2 for small refractive index layers. The optimum reflectivity of the optical element will depend on the choice of the material, the fabrication technique of the multilayer stacking, and the control of the layers’ thicknesses. It is essential to notice that the multilayer structures are of significant importance with regard to adaptability to curved surfaces. This property makes them usable for the reflective optics of EUV microscopes or for soft X-rays, as well as for the projection optics of EUV lithography. 2.3.2. Reflective optics: conception and fabrication

2.3.2.1. Conception of reflective optics In order to meet EUV lithography requirements, the reflective optics need: – high reflectivity; – good uniformity and homogeneity of layers; – little roughness on the surface and at the interfaces;

64

Lithography

– small mechanical stress; – good temperature stability; and – low contamination sensitivity. This is a list of the important items taken into account for the conception of optical systems. The choice of key parameters results from these requirements, such as materials, number of bi-layers of the reflective stacking and the fraction factor. 2.3.2.1.1. Choice of materials The reflectivity of the optics has to be as high as possible in the spectral range corresponding to the radiation wavelength emitted by the source. The choice of the pair of materials is directly linked to the emission wavelength of the source. Historically, many pairs of materials have been tested to obtain a maximum coefficient of reflection in EUV wavelength range [BAR 90, MON 98]. The method is to alternate two materials highly contrasted in terms of index. The pairing of Mo/Si (molybdenum/silicon) gives satisfactory results, with a theoretical reflectivity peak at 74% for a perpendicular incidence at the emission wavelength of 13.5 nm. This pair of materials is currently the most widely used. COMMENT: The pairing of Mo/Be (molybdenum/beryllium) also produces a reflectivity greater than 70% for a wavelength around 11.5 nm, but it has been dropped because of the toxicity of the beryllium. 2.3.2.1.2. Number of bi-layers The number of bi-layers is also an important factor for optimal reflectivity. According to the interferential mirror principle, the higher the number of bi-layers, the more the significant reflected intensity. However, the curve of the reflected intensity reaches a threshold because of the layers’ absorption. As soon as this threshold is reached, it is pointless to add any bi-layers for a reflectivity gain (see Figure 2.9). The spectral width of an optical component directly depends on the number of bi-layers involved in the constructive interferences of the reflected signal. This is expressed by the following relation: Δλ 1 ≈ λ N

[2.39]

where λ is the emission wavelength, Δλ the bandwidth and N the number of bi-layers. For a given wavelength, the greater the number of bi-layers, the more selective the optical system (narrower bandwidth).

Extreme Ultraviolet Lithography

65

1

Normalized reflectivity

0,9 0,8 0,7 0,6 0,5 0,4 0,3 0,2 0,1 0 0

10

20

30

40

50

60

70

Number of bilayers

Figure 2.9. Normalized reflectivity as function of the number of bi-layers of Mo/Si for a perpendicular incidence at 13.5 nm

2.3.2.1.3. Fraction factor The factor γ previously defined corresponds to the high density material’s fraction in the bi-layer period. This parameter is used to optimize the multilayer stack. It is important to choose a low density material so that its attenuation coefficient is as small as possible. The best candidate at 13.5 nm is silicon, for which the refractive index is high. A high density material, of refractive index as low as possible for good optical contrast and good reflectivity, can then be selected. In the meantime, a material with the lowest attenuation coefficient can be chosen in order to have a minimum absorption. The latter can be satisfied by decreasing the thickness of the layer of the material. Considering these criteria, molybdenum appears to be the best choice of material. REMINDER: The refractive index values for a wavelength of 13.5 nm are indicated in Table 2.2. Figure 2.10 represents the evolution of the reflectivity of a Mo/Si multilayer satisfying Bragg’s conditions for different values of factor γ. The wavelength is 13.5 nm. For a normal incidence, the multilayer’s period is 6.95 nm. The denominator of the factor γ stays constant but the layers’ thicknesses vary. The spectral curve changes and sets an optimal point for factor γ = 0.4.

Lithography

Reflectivity (u.a.)

66

Figure 2.10. Reflectivity simulation of a multilayer of Mo/Si at normal incidence considering three different values for γ

2.3.2.2. Manufacturing of reflective optical elements 2.3.2.2.1. Choice of optical elements’ substrate The substrate is the optical part on which the multilayer mirror stacking will be placed. Even if it will not be directly exposed to the wave incident to the mirror, it has a predominant role for obtaining an optimum reflectivity. It is this part that defines the mirror’s shape. This element must be very stable in regard to thermal and mechanical stresses. Indeed, as the mirror absorbs almost 30% of the power of the incident radiation, it generates distortions. Furthermore, along with the method used for the deposition of the layer, the mirror can also be distorted by the stresses induced by the stacking of multilayers. For example, a compressive stress in the region of 400 Mpa is measured for a Mo/Si stack [RAY 98]. 2.3.2.2.2. Polishing of the optical elements and roughness control Specifications for the aspherical mirror substrates are given in Table 2.3. The roughnesses of the optical elements are distributed in three categories which correspond to different spatial frequencies, each of which has distinct effects: – low spatial frequency roughness (LSFR), also called shape error, is in a 100 µm period range. This roughness has a predominant role in the formation of aberrations;

Extreme Ultraviolet Lithography

67

– mid spatial frequency roughness (MSFR) is in the range of a micron. This roughness is the origin of parasitic reflections. The diffraction resulting from this roughness is not very significant and the diffraction angles are small. Consequently, in addition to the speculars, the optical system will pick up different modes and create a scattering patch on the image (called flare). The flare effect will imply a contrast reduction of the image (see Figure 2.11), which limits the process window defining the performances of the lithographic system; – high spatial frequency roughness (HSFR). This roughness generates a decrease in reflectivity. The spatial frequency is high (with a period in the region of a nanometer) and the diffraction modes are scattered; only the specular reflection is collected. All the energy contained in the diffraction modes is lost, leading to a drop of reflectivity (see Figure 2.11). RMS (nm) Roughness LSFR MSFR HSFR

Specification: 4 mirror system 0.25 0.2 0.1

Specification: 6 mirror system 0.08 0.14 0.1

Table 2.3. Natural specifications for the mirrors of projection systems with 4 or 6 mirrors for an EUV lithography tool (RMS value)

At the present time, the most widespread substrates used are vitreous ceramics and glasses with a very low thermal expansion coefficient (0.02 ppm/°C).

Figure 2.11. Influence of EUV optical elements’ roughness. Mid spatial frequency roughness (MSFR) generates parasitic reflections, whilst high spatial frequency roughness (HSFR) generates a decrease of reflectivity

68

Lithography

2.3.2.2.3. Multilayer deposition techniques The fabrication of thin layers for EUV applications is essentially based on the deposition techniques under vacuum that are generally used in microelectronics. The chosen techniques must provide good control of the periodicity of the material pair inside the multilayer, a way to limit the formation of diffusion zones at the interface (which are responsible for reflectivity loss), a way to minimize the chemical contamination of the layer, and a good control of roughness. Among frequently used techniques are electron beam evaporation, magnetron cathodic sputtering, and ion-beam sputtering: – deposition by electron beam is executed in a high vacuum environment (≤ 10–7 mbar). Each material in the multilayer stack is successively evaporated. This technique can generate sizeable rough spots; an in situ ion-beam polishing of each layer can be achieved after deposition [KLI 03]; – for magnetron cathodic sputtering [FAI 05, MON 98], the different thin layers are deposited as the substrate successively passes over molybdenum and silicon targets. A plasma is created between the target and the substrate. The plasma ions are then accelerated in order to spray the target material; – ion-beam sputtering, different from magnetron cathodic sputtering, uses ions extracted from an ion source (an ion gun) in order to spray the target. The high energy of the sprayed ions makes it possible to smooth the surfaces but can deteriorate the reflectivity by the intermixing of the different components. Ion-beam sputtering is mainly used for the manufacture of EUV lithography masks [CHA 03]. 2.3.2.2.4. Lifetime and contamination of optical elements Extreme precaution needs to be taken for the protection of EUV optical elements during transport and exposure to EUV radiation, to guarantee a maximum reflectivity during their lifetime. The main contamination causes are carbon growth at the surface of the stacking, as well as mirror oxidation [MER 03] under EUV radiation. Without any specific care, their lifetime does not exceed a few hours. Methods used include deposition of a protective layer, called a capping layer, which is useful for the protection of the optical elements’ surface to avoid oxidation, utilization of the optical elements under EUV flux under high vacuum (10–7 mbar) and, finally, the use of appropriate cleaning methods. All of these techniques must be efficient as an optical system is considered at the end of its lifetime when the mirrors present irreversible reflectivity losses of 10%. The mirror’s oxidation is mainly due to water molecules, resulting from storage conditions in ambient air or from those present in the lithography tool. Oxidation is a serious problem because it leads to irreversible reflectivity losses. By contrast,

Extreme Ultraviolet Lithography

69

carbon molecule contamination, resulting from the presence of resist residues in the system, is less critical because this type of contamination can be cleaned. The materials generally used for the capping layer are SiO2, B4C, or Ru. The constraints on the lifetime mean that a mirror should keep at least 90% of its initial reflectivity after one year of exposure. The first mirrors in the reflection chain are particularly critical. The main problem of the Mo/Si mirrors is the structural instability of the stacking during energy absorption. Techniques such as the addition of an ultra-thin diffusion barrier between each layer of Mo/Si, or the replacement of Mo by MoC2, are under development [FEI 01]. 2.3.2.2.5. Experimental results for Mo/Si stacking Simulations of the ideal multilayer structures show that it is possible to reach a reflectivity peak of 74% for an oblique Mo/Si stacking (see Figure 2.12). In practice, it is very complicated to obtain a value over 70%. This difference between theory and practice is the result of: – the deposition quality of the layer, especially the presence of roughness at the interfaces; – the presence of an interface layer. For an ideal multilayer structure, the layers’ interfaces are considered to be clean. In practice, a transition layer resulting in interdiffusion between the two materials of the bi-layer can often be observed. In the case of Mo/Si stacking, the transition layer of molybdenum on silicon is usually thicker than the transition layer of silicon on molybdenum [AND 03]; – the difference between the real value of the optical indexes of the layers of Mo and Si and the theoretical ones obtained after simulation; and – the layers’ thickness errors.

Figure 2.12. Cross-section of a Mo/Si multilayer

70

Lithography

2.3.3. Projection optics for EUV lithography

Even if the principle requires optics, EUV lithography is very different from previous transmission lithography techniques (248 nm and 193 nm lithography). It has been suggested that the constraints imposed by EUV lithography are mainly due to wavelength choice. Indeed, at EUV wavelengths, the optical properties of the projection system are particularly limited by diffraction and aberration phenomena. The following sections present the repercussions and constraints of EUV lithography on the shape of optical elements, wavefront, and NA, etc. 2.3.3.1. Shape of optical elements The off-axis light incidence as well as the signal’s reflection in a projection system imposes very specific shapes on the mirrors. The traditional spherical mirrors used for so-called “conventional” techniques are not adapted to a suitable pattern reproduction; it is better to choose aspherical shapes for the optical element [BOR 80]. The use of aspherical surfaces allows the reduction of aberration phenomena and the improvement of the image’s quality, but significantly increases the difficulty of the substrate’s fabrication. 2.3.3.2. Wavefront The root mean square (RMS) error tolerance (or aberration) for a wavefront of an EUV optical system is in the region of λ/50, meaning 0.25 nm for a 13.5 nm wavelength. The tolerance for each of the mirrors of the optical system is even smaller. COMMENT: The mirror’s error is usually preferably defined as an average defect with RMS (root mean square) standard deviation rather than PtV (peak to valley) which represents the maximum amplitude that can be measured on a mirror. This manufacturing performance can be evaluated only if the metrology tools are able to measure such small dimensions. Only measurements at EUV wavelength can allow the phase effects brought by the defects of multilayer deposition and the thickness variations to be measured. At present, out of all the existing metrology techniques, point diffraction interferometry (PDI) is the one that provides the best measuring precision [GOM 02]. For EUV lithography, projection optics are telecentric on the image plane (wafer) but non-telecentric on the mask because of the oblique illumination (see Figure 2.13) [OTA 00]. This behavior of the system (regarding telecentricity) is passed on the wavefront transmitted by the projection optics which become asymmetrical. The cause of the asymmetry is the off-axis reflection of the optical system’s mirrors. The wavefront is distorted asymmetrically because the reflected

Extreme Ultraviolet Lithography

71

phases of the waves depend on the angle of incidence. In addition, it is distributed in an asymmetrical way because of the shapes of the mirrors (non-plane) even if the system does not show any geometrical aberrations. Illumination

Masque Mask

M1

M2

M3

M4

Imageimage plane Plan

Figure 2.13. Optical system and mirrors’ surfaces involved in the reflection (mirrors M1 to M4)

2.3.3.3. Numerical aperture In optical lithography, pattern resolution is expressed by equation [2.2]. This equation shows that resolution can be improved when the numerical aperture increases. In EUV lithography, this notion is not so simple since the optical system is no longer in transmission but in reflection and with an oblique illumination. This last characteristic generates an asymmetry of the reflected image and consequently the resulting Fourier series is also asymmetrical. The numerical aperture determines the number of modes picked up by the pupil (in this case a mirror). If the numerical aperture is low, the “bandwidth”, the collecting diameter of the round pupil, limits the orders picked up to the two first ones. Asymmetry is not taken into account. In this case, the intensity sidewalls of the aerial image are not very steep because the higher orders are not collected. An increase in the numerical aperture enables the collection of more modes and the asymmetry can be taken into account. This directly results in the dissymmetry of the slope of the sidewalls of the aerial image. The use of a 4-mirror system makes it possible to obtain a numerical aperture of 0.1. An increase in the number of mirrors leads to the increase of this value. Thus, the use of a 6-mirror system allows a numerical aperture of 0.15–0.30.

72

Lithography

2.4. Reflective masks for EUV lithography 2.4.1. Different mask types

Just like 248 nm and 193 nm transmission lithography, under EUV patterns are transferred in a photosensitive resist by the projection of an image obtained from a mask on which are designed the patterns at ×4 scale. Under EUV, the masks are no longer transparent to the light because of the material’s absorption, as previously explained. The masks are reflective and fabricated on a blank mask made of a glass substrate of low dilation coefficient and a reflective multilayer stack from a Bragg mirror of 40 Mo/Si pairs. 2.4.1.1. Binary masks Binary masks are made of reflective and absorbing areas that do not modify the amplitude of the wave reflected by the mask, by forming dark areas that do not reflect light and bright areas that do reflect light (see Figure 2.14). The circuits to be copied in the resist are created by an absorber, placed over the multilayer mirror, in which are designed the patterns to be replicated. E

Si

Masque binaire transmissif Transmissive binary

mask

field the mask ChampElectric é électrique au at niveau du masque E

Absorbeurs Absorbers

Electric field at the wafer

Champ électrique au niveau de la plaquette I

Multilayer mirror Miroir multicouche

Masque r éflectif binaire Reflective binary mask

Field intensity at the wafer

Intensit é du Champ au niveau de la plaquette

Figure 2.14. Transmissive and reflective binary masks. Transmissive and reflective effects on the electric field and the field’s intensity at the wafer stage

Between the multilayer mirror and the absorber, there are two thin layers serving different purposes (see Figure 2.15): – a surface layer, over the mirror’s last layer, called a capping layer, has the role of protecting the mirror from oxidation during the mask’s manufacturing steps [MAD 06]. For practical purposes, the capping layer is made of silicon, the

Extreme Ultraviolet Lithography

73

thickness of which has been increased compared to that of the mirror (usually up to 9– 11 nm instead of 4 nm for the multilayer). – a buffer layer, which protects the multilayer mirror during the deposition and etching of the absorber, or facilitating error correction. In this configuration, the buffer layer can also be the stopping layer for the etching of the absorber. Possible materials for this buffer layer are silica (SiO2) and ruthenium (Ru). As for the absorber, the materials selected for the mask manufacturing are the chromium Cr and the tantalum nitride TaN, that present well controlled etching processes: selectivity to the blank mask and anisotropic etching for the profile and dimension control. In addition the material needs to present a low attenuation coefficient at 13.5 nm. It is possible to use other materials as long as they meet the previous requirements. Absorbing Couche de material matériaulayer absorb Couche tampon Buffer layer Couche d’encapsulation Capping layer Empilement Mo/Si multilayer multicouche stack Mo Substrat à faible expansion expansion thermique Low thermal substrate

Figure 2.15. Schematic representation of the structure of an EUV lithography mask

2.4.1.2. Phase shifting mask (PSM) One of the methods for the improvement of the resolution imposed by the diffraction limit is to modulate the amplitude and the phase of the reflected wave. For the PSM, a phase shift of 180° is introduced for the patterns. This was first suggested by Levenson et al. [LEV 82]. Several types of PSM exist, but all of them use the same principle (Figure 2.16). The purpose of the phase-shift between two areas is to change the wave’s amplitude sign in order to guarantee that the intensity equals zero at the wafer, to enhance the contrast between dark areas and bright areas. Indeed, at the junction between two adjoining areas phase shifted by 180°, the reflected waves of each side destructively interfere, leading to an intensity profile equal to zero at the junction of the phase jump. Two reflective adjoining areas, separated by an h step height, introduce a phase shift of: Δφ =

2π 2h.cos θi λ

where θi is the incident angle perpendicular to the mirror’s plane.

[2.40]

74

Lithography

As a result, in theory, a mask reflecting on all of its surface and with patterns engraved in the mirror at a depth h, verifying the above equation for a π phase shift could allow the printing of the patterns’ outline, corresponding to the junction of phase jump. Such masks are commonly described in literature under the names “hard PSM” or “chrome-less phase edge lithography (CPL)” (Figure 2.16(b). In practice, Hard PSM are not used for circuit production but can be can be fabricated as prototypes to perform optical tests or to test new lithography tools. For a phase shift of 180°, resolution improvement of almost 50% can be obtained compared to the resolution without any phase shift. The phase jump is particularly adapted to define lines of very small CD (width of pattern), corresponding to grid or interconnection patterns. The first resist printing feasibility demonstration using a Hard PSM mask in the EUV range was obtained in 2005 [LAF 05]. Reflective Binary mask

Hard PSM or CPL

Att-PSM

Alt-PSM

b)

c)

d)

Multilayer mirror Absorber Electric field at the mask

Electric field at the wafer

Field intensity at the wafer

E

E

I

a)

Figure 2.16. Amplitude and electric field intensity at the wafer. Comparison of binary and PSM reflective masks: (a) binary mask; (b) hard PSM or CPL; (c) attenuated PSM; and (d) alternate PSM

The PSM suggested by Levenson (for transmissive masks) corresponds to patterns for which the bright areas (reflective) are alternately phase shifted with one another on both sides of the dark areas (absorbent). These are called alternate PSM or Alt-PSM (Figure 2.16d). This mask structure is well adapted to dense line and space printing. However, Alt-PSM mask manufacturing is more complex as it must transfer two levels of design in the mask: one level corresponds to the phase shifted areas and will be engraved in the multilayer mirror, and the other corresponds to the dark areas, engraved in the absorber.

Extreme Ultraviolet Lithography

75

Other types of phase shifting masks which are commonly used are the attenuated PSM or Att-PSM (Figure 2.16c). For this type of mask, the dark areas are not totally absorbent. This can decrease the contrast improvement brought by the phase jump if the resist does not present an optimized sensitivity. Nevertheless, Att-PSMs are attractive as they are potentially easier to fabricate than Alt-PSMs, as there is only one level of design to transfer. These masks are mainly suitable for the printing of isolated patterns or contacts. As for phase control, best contrast is obtained for a phase jump of 180°. The required specifications of the phase jump control are of a few degrees which lead, for EUV, to perfect control of the manufacturing processes. By comparing the tolerated phase error (δφ) of ± 5 degrees (manufacturers go down to ± 2 degrees) with the step height control (Δh) or wavelength control (Δλ) (in the case of 193 nm lithography masks and for 13.5 nm EUV lithography), the manufacturing complexity can easily be understood: – for λ = 193 nm transmissive masks: Δφ =

2π h(n − 1) λ

⎧ Δh ≤ 6 nm δφ = ±5° ⇒ ⎨ ⎩ Δλ ≤ 5.4 nm

– for λ = 13.5 nm reflective masks: Δφ =

2π 2h.cos θi λ

⎧ Δh ≤ 2 Å δφ = ±5° ⇒ ⎨ ⎩Δλ ≤ 4 Å

The etching control in terms of depth and uniformity must be controlled to the angstrom for EUV lithography PSM masks. This represents an almost impossible technological challenge without a stopping layer built in the multilayer mirror. This is the etch stop layer (ESL). The reflectivity curve of a multilayer mirror, in which an etch stopping material is inserted, varies with the thickness of the ESL material and with the number of multilayers in the mirrors on each side of the ESL. The thickness of any material inserted between two mirrors creates a Fabry-Pérot resonant cavity for which reflection or absorption peaks can be obtained when the cavity thickness (T) satisfies the following condition: T=

mλπ 2n cos θi

where n is the refractive index and m an even integer (m = 2p with p any integer) in order to obtain a reflection peak, and m an odd integer (m = 2p + 1) in order to obtain a cavity extinction peak; see Figure 2.17.

76

Lithography

Figure 2.17. Reflectivity variation according to the thickness of a SiO2 ESL layer inserted between two multilayer mirrors. The bottom mirror has a fixed number of 40 Mo/Si pairs whilst the top mirror consists of 7, 9 or 11 Mo/Si pairs. The reflectivities of the R2 areas for which the top mirror has been removed are indicated by dotted lines

2.4.2. Manufacturing processes for EUV masks

For all phase shifting masks, it is necessary to etch the multilayer mix to produce a phase jump. The etching must be as anisotropic as possible to guarantee the profile’s verticality and the dimensional control of the patterns (Figure 2.18). The etching tools cannot provide better uniformity than 1% and, as a result, the stopping layer must guarantee control of the step thickness with the highest possible selectivity to the Mo/Si etching. This selectivity is defined as the ratio of the etching rate of the attacked material over the material to be kept. Molybdenum and silicon can be etched using fluoride or chloride plasma chemistries chosen according to the ESL material. During the etching step, the fluorine or chlorine atoms react with the molybdenum or silicon to produce volatile fluorides or chlorides. An etching process with a gas mix of Cl2, HBr, He and O2 commonly used for the gate’s etching of microelectronic processes, provides an excellent selectivity to silica (SiO2). In this case, silica is an excellent ESL because a selectivity to Mo/Si of over 90 can be obtained [CON 06]. The etching of 25 pairs of Mo/Si with an ESL of 20 Å can be achieved without any impact on the multilayer’s reflectivity (Figure 2.19). [CON 06] also showed that silicon’s native oxide, which does not exceed a thickness of 10 Å, provides sufficient selectivity for etching 10 Mo/Si pairs (Figure 2.19).

Extreme Ultrraviolet Lithogrraphy

77

F Figure 2.18. Attt-PS mask with an anisotropicc etching of the Mo/Si M multilayeer showing 80 nm patterns p with veertical sidewallls. The chromiuum stopping layyer f forms a partial absorber for which w the reflecttion plane is phhase shifted 1800° in reelation to the ref eflection plane of o the top multiilayer

Figure 2.19. Etching off 10 Mo/Si pairss with a Cl2/HB Br/He/O2 plasmaa. The native oxxide of the silicon (~ 10 Å ) is useed as an etch stoop in order to control c the unifoormity of the etcch-depth

78

Lithography

2.4.3. Mask defectivity

One of the problems associated with multilayer mirrors is their instability at high temperature. Above 150°C, molybdenum and silicon suffer interdiffusion, creating an alloy: MoSi2. This mix leads to a significant reflectivity loss for the multilayer stack. Consequently, the technological steps of the mask’s manufacturing (deposition, absorber’s etching, or even the cleaning step) can only be performed at low temperature. However, the main difficulty remains mask fabrication with “zero defect”. At 13.5 nm, even particles of just a few nanometers can be transferred as unwanted patterns [FAR 05, FAR 06]. A particular difficulty related to Bragg mirrors is the impossibility of locally repairing mirrors in order to correct a defect. The blank mask’s manufacturing, made of the substrate, the multilayer stack, the buffer layer and the absorber must all be defectless. This is a tremendous technological challenge for EUV lithography. Indeed, to print a 22 nm pattern on a wafer with an optical system with a reduction factor of 4, the defect dimension must be less than 4 × 22 nm = 88 nm. The ITRS target is to reduce the number of defects (for defects of over 60 nm) to 0.1 defects/cm2 for the introduction of EUV, and then to 0.01 defects/cm2 in 2010. In 2007, the best result announced by Sematech [SEM 09] was of 0.09 defects/cm2, for an EUV blank mask, regarding particles of 56 nm or more.

Figure 2.20. Phase defect of a multilayer mirror EUV mask. For λ = 13.5 nm a stacking step of 34 Å leads to a phase shift of 180°

Extreme Ultraviolet Lithography

79

Not just particles or residues of a few nanometers can form defects at the surface of the mirror, inasmuch as all the materials are highly absorbent for EUV, but phase defects can also be found, as illustrated in Figure 2.20. Before or during the mirror’s deposition, a multilayer stacking defect from a substrate defect (a scratch, hole or bump) or a particle added during deposition creates a phase defect that could possibly be printed. Such substrate defects can spread throughout the multilayer mirror and even grow, depending on the deposition technique – in that case, they are called decorated defects. Simulation is a fast and efficient way to study the printing possibilities of a defect in a multilayer [BES 05]. Finally, in addition to the defects brought by the substrate and the mask manufacturing steps, manipulation defects exist. Unlike transmissive masks, EUV masks cannot be protected by a film because no transparent material exists at 13.5 nm. The solution developed is to use a transportation and storage box under vacuum. Different protection techniques have also been presented [ASB 06]. 2.5. Modeling and simulation for EUV lithography 2.5.1. Simulation, a conceptional tool

Modeling and simulation have become essential tools for a better understanding and optimization of lithographic techniques, as well as for the development of new processes. Simulation software includes all the equations governing the laws of physics. The validity of the simulation results depends on the precision of the model used to simulate the physical phenomenon. It is therefore important to keep a critical eye on the results obtained, as well as to analyze the physical relevancy of the results. Diffraction Field at the mask

Defects Propagation Aerial image Exposure, Baking PSC concentration Development step Resist profile

Figure 2.21. Complete lithography simulation tool synoptic (PSC: photosensitive component)

80

Lithography

A complete modeling and simulation software for EUV lithography could take the structure depicted in Figure 2.21: from the geometrical representation of the mask and of the illumination type to the establishment of the profile of the pattern printed in the resist. Each module refers to different fields of physics. The equations being distinct, it is important to connect them to one another. The field established on the mask corresponds to the electromagnetic field reflected by the mask. It can also be called a near-field. This value is determined by an electromagnetic calculation and allows the representation of the mask and its defect effects (if modeled) on the (reflection) propagation of the incident light wave. Figure 2.22 represents the near-field intensity on an EUV binary mask.

Normalized intensity

Intensity of the reflected field (TE mode)

Position (nm) Figure 2.22. Representation of the reflected field on an EUV binary mask. The pattern on the mask is a 300 nm thick line separated by 600 nm and with a height of 50 nm

The aerial image corresponds to the light intensity “right above” the resist. It is determined by the near-field and by taking into account the parameters of the optical system in between the mask and the resist. The projection optical system behaves like a spatial filter by limiting the influence of the tilted (non-perpendicular) rays and by taking into account aberration effects. A real system uses a partially coherent illumination, which means that rays of different angles meet the surface of the mask. Their distribution is characterized by a filling factor (or partial coherence factor): σ=

ONlens ONilluminator

[2.41]

where ONlens is the numerical aperture defined on the object side and ONilluminator is the one defined on the image side. For an EUV lithography application, the reduction factor of the projection optics must also be considered.

Extreme Ultraviolet Lithography

81

Therefore, the aerial image represents the light energy distribution that will interact with the resist’s photosensitive component (PSC). According to the type of resist, the absorption of the photons by the material will cause either the destruction of the photoactive component (developing inhibitor) or, in the case of chemically amplified resists, an acid generation. During the baking step, this acid will diffuse and deprotect (for a positive resist) or allow the crosslinking ( in a negative resist) of the polymer matrix. The absorption law is empirical. It is represented by Beer-Lambert with the differential form: dI = −αI dz

[2.42]

where I is the light intensity passing through a given media in direction z, and where α is the resist’s absorption coefficient (expressed in μm–1). In an homogeneous media (α independent from z), it can be considered that the intensity decreases in an exponential way: I ( z ) = I 0 .exp(−αz )

[2.43]

The exposure step’s kinetic is represented by using Dill’s coefficients [DIL 75a, DIL 75b]. The baking step after exposure, the post exposure bake (PEB), has a different role depending on the resist type. This step allows, in the case of 365 nm lithography (I-line) resists, the diffusion of the PSC. For chemically amplified resists, used for 193 nm, 248 nm and EUV lithographies, the PEB is the step that allows the catalytic reaction of the acid amplification, and consequently leads to deprotection or crosslinking. Many publications [PET 95, ZUN 94] have shown that the diffusion mechanism is more complex, with more precise diffusion coefficients that are no longer constant but depend on the acid concentration. These models are not described in this section of the book. Furthermore, it is important to notice that phenomena such as free volume creation [PAI 96] and compaction linked to the post exposure bake are not taken into account in the commonly used models. The developing step representation, which sets the resist profile, is simple. The development rate is a function of the inhibitor quantity (I-line) or the acid deprotected parts (positive chemical amplification). Many models exist, for example, Mack’s 4-parameter model [MAC 87].

82

Lithography

One conclusive example of the simulation tool’s relevance for an EUV lithography system is the influence of a defect in the mask and its capacity to print in the resist. The modeling of a defect is not a very easy task and it is restrictive to only represent it by its influence on the mask surface; the resulting distortion in the multilayer stack should also be taken into account (see Figure 2.23).

Figure 2.23. Cross-section photography of a buried defect and its Gaussian model

2.5.2. Simulation methods

In this section, attention will be drawn to the electromagnetic simulation tools used to determine the “near-field”, meaning the electromagnetic field at the mask (before is goes through the optical system). As described in the previous section, different degrees of precision exist for the modeling methods. In the literature, approximate methods can be found, based on approximations and rigorous methods. The approximate methods are of interest thanks to their speed of calculation and since they are suitable for computers with limited calculation power. For more powerful calculators, methods said to be “rigorous” exist and handle the problem without approximations. This section does not seek to identify all the existing methods but simply to present, first, an approximate method and the approximation that it implies, and, second, two very widely used rigorous methods. 2.5.2.1. An approximate method: Fresnel-Kirchhoff theory This method is a scalar method based on Fresnel’s reflection principles. The physical structure is divided into elementary segments from which a reflectivity calculation is made. This division into elementary segments is useful only if the

Extreme Ultraviolet Lithography

83

studied structure presents disruptions in the multilayer stack (for example, the defect case in Figure 2.24). However, the hypotheses are restrictive. Indeed, every segment is treated independently from its neighbors, and no coupling between segments is taken into account.

Multilayer stack Substrate

défaut defect

Figure 2.24. Representation of a defect according to the Fresnel-Kirchhoff approach

The light incidence must be normal to the surface of the mask. This means that interesting phenomena such as shadowing effects, for example, cannot be taken into account because this would imply an oblique illumination of the mask. The principle is to establish the phase difference ϕ between the field reflected by a segment and the one reflected by a reference area usually corresponding to a zone where the mask has not undergone any deformation (see Figure 2.25). Rsi

Rr

hi

Defect zone

Reference zone (without defect)

Figure 2.25. A close up of the discretization including the defect

84

Lithography

This value ϕ is determined by the following expression: ϕi = arg( Rsi ) − arg( Rr ) −

4.π.hi λ

[2.44]

where Rsi is the i-th segment reflectivity, Rr the reference zone reflectivity, hi the height step of the i-th segment compared to the reference and λ the exposure wavelength. 2.5.2.2. More rigorous methods For more rigorous methods, the diffraction phenomenon of the light by a mask is approached as an electromagnetic problem with limited conditions. Near the mask, r r the electric and magnetic fields, respectively called E and H , are described according to Maxwell’s equations: r r r ∂H ∇ × E = −μ0 ∂t r r r r ∂E [2.45] ∇ × H = ε0 .ε + σ.E ∂ t r r ∇(ε.E ) = 0 r r ∇H = 0 where ε0 and μ0 are, respectively, the electric permittivity of vacuum and magnetic permeability. ε and σ represent the electric permittivity and conductivity of the mask’s materials. 2.5.2.2.1. The finite difference time domain (FDTD) method FDTD is certainly the most widely used method for electromagnetic modeling using a rigorous method. It is a numerical method. The original version of this method was written in 1966 by Kane Yee [YEE 66]. At the time, the performance of calculators was not able to show its relevance. It was first used for a diffraction calculation in the 1980s. Maxwell’s equations describing the relations of the electric and magnetic fields are given in a differential form. The physical structures are discretized into a mesh, the fields are established at each point of the mesh according to their three vectorial components (i.e. Ex, Ey, Ez and Hx, Hy, Hz) and at a given time, depending on preestablished initial conditions, the fields are recalculated for the following moment [RIZ 05].

Extreme Ultraviolet Lithography

85

EXAMPLE: Equation system – The following simple example is considered: an incident plane wave is traveling on the z-axis in one or many media defined by ε(x,z) and σ(x,z) (2D modeling). In this particular case, the components of the electric and magnetic fields can be decomposed into two decoupled systems of transverse electric (TE) and transverse magnetic (TM) polarization (see Figure 2.26). TE Mode

y



r• E

x

r H

r E

TM Mode

r• H

r k

r k θi

θi

Medium1

z

Diffraction structure d

Medium 2

Figure 2.26. Representation of transverse electric (TE) and transverse magnetic (TM) polarization y

x Ey

z

Δz

Δx

Ey

Ey

Hz

Hz

Hx

Hx

Hx

j Ey

Ey

Hz

Hx

Ey

Hz

Hx

Hz

Ey

Ey

Hx

Hz

Ey

i

Figure 2.27. Representation of the field’s components inside the mesh

86

Lithography

In the case of a TE polarization, the components Ex, Ez and Hy are zero, and Maxwell’s equations can be written as follows: ∂H x 1 ⎛ ∂E y ⎞ = ⎜ ⎟ ∂t μ0 ⎜⎝ ∂z ⎟⎠ ∂H z 1 ⎛ ∂E y ⎞ = − ⎜⎜ ⎟ ∂t μ 0 ⎝ ∂x ⎟⎠ ∂E y ∂t

=

[2.46]

1 ⎛ ∂H x ∂H z ⎞ − − σ.E y ⎟ ε0 ε ⎜⎝ ∂z ∂x ⎠

The grid used to solve these equations can be represented as in Figure 2.27, while respecting the differential equation symmetries. Starting from the representation, the fields’ components can be written as a function of temporal discretization: Hx Hz Ey

n +1 i, j

= Ca

n+ i, j n+ i, j

. Ey i, j

1 2 1 2

= Hx = Hz

n i, j

n− i, j n− i, j

1 2 1 2

+

Δt ⎛ ⎜ Ey μ0 Δx ⎝

+

Δt ⎛ ⎜ Ey μ0 Δx ⎝

⎛ + Cb i, j ⎜ H x ⎜ ⎝

n+ i, j

1 2

n i , j +1 n i, j

i, j

⎞ ⎟ ⎠

i +1, j

⎞ ⎟ ⎠

− Ey

− Ey

n

n

1 1 n+ 2 − H x i, j −1 + H z i −1,2j n+

[2.47]

− Hz

n+ i, j

1 2

⎞ ⎟ ⎟ ⎠

where Δt and Δx represent the steps of the spatial and temporal discretization. It is important to note that for the simplification of the expressions, Δz = Δx was chosen. The coefficients Ca and Cb depend on the materials’ properties at a given location [i, j].

Ca

Cb

i, j

i, j

⎛ σi , j .Δt = ⎜1 − ⎜ 2ε0 εi, j ⎝ ⎛ Δt =⎜ ⎜ 2ε 0 ε i , j ⎝

⎞ ⎛ σi, j .Δt ⎟ . ⎜1 + ⎟ ⎜ 2ε0 εi, j ⎠⎝

⎞ ⎛ σi , j .Δt ⎟ . ⎜1 + ⎟ ⎜ 2ε 0 ε i , j ⎠⎝

⎞ ⎟ ⎟ ⎠

⎞ ⎟ ⎟ ⎠

−1

−1

[2.48]

The same argument is made for the derivation of the equations in the case of TM polarization as for the general case.

Extreme Ultraviolet Lithography

87

Limit conditions The electromagnetic field’s components are determined for the whole of the mesh grid. This is not possible at the grid’s limits. It is therefore necessary to set special conditions at the edges. Various choices are possible: – reflection conditions: the mesh’s edges are considered to be electric or magnetic walls. These conditions are set by nullifying the electric and magnetic components. The electromagnetic field is simply reflected; – periodicity conditions: the periodicity conditions at the edge are set by calculating the limit conditions of the electromagnetic field’s components on each side of the mesh grid; – transparency conditions: the idea here is to encircle the discretized part with an absorbent material which does not lead to reflection at the edges of the grid. The most efficient system for this type of modeling is the perfectly matched layer (PML) [BER 94]. This multilayer stack makes it possible to absorb the waves without reflecting them. The general and multiphysical aspects of this method are such that it can be found in numerous simulation models. However, in the specific application of electromagnetic simulation for EUV lithography masks, FDTD is faced with a significant constraint: mesh resolution must be lower (even much lower) to the exposure wavelength. This leads to a mesh size of around λ/15. Knowing that the wavelength is in the region of 13.5 nm, the discretization of a whole mask means a very fine mesh, thus a large number of nodes. Solving this system requires high power computation in order to achieve results in a reasonable time. To get around this problem, two possibilities are available: either using a supercalculator, or simplifying the topography studied in order to “loosen up” the meshing a little. 2.5.2.2.2. The modal method by Fourier expansion (MMFE) MMFE is a rigorous modal method developed by M.G. Moharam and T.K. Gaylord at the beginning of the 1980s [MOH 82]. It is equally well-known as rigorous coupled wave analysis (RCWA). This method, like all the modal methods similar to MMFE, is used more and more to solve EUV lithography problems. The following explanation demonstrates that this method is well adapted to the topography of masks. MMFE can decompose, using the Fourier series, the dielectric permittivity of an elementary diffraction structure represented by a lamellar network. This decomposition implies that the patterns studied must be periodic. In the case of a

88

Lithography

simple structure (a multilayer and steep sidewall absorber), solving demands few elementary structures; on the contrary, if the topography shows distorted parts of weak slopes, the slicing into a lamellar network will be more significant. A medium with constant permittivity will not be discretized as it does not diffract the incident light (Figure 2.28).

Figure 2.28. Slicing into a lamellar network for a trapezoid periodic structure

Maxwell’s equations are applied to each elementary network. These equations are projected on a Floquet-Fourier base. In homogeneous media such as the substrates of molybdenum and silicon layers, the representation of the field is achieved by a decomposition into plane waves; however, in a lamellar network, the field can be decomposed into Floquet-Fourier waves (Figure 2.29), such that: r r r k = k0 .α 0 .x + k0 .β0 . y y z

-2 -1

r k



x

[2.49]

θ

θm

0

m-1 m m+1

Medium 1 Network structure

d

Medium 2

Figure 2.29. Elementary lamellar diffraction structure

Extreme Ultraviolet Lithography

89

The electric field in a TE mode in a homogeneous medium can be written as:

E ( x, y ) =

+∞



m=−∞

Ezm ( y).e−i.k0 .αm .x

[2.50]

The wave vector magnitude under vacuum is represented by: k0 =

2.π λ

[2.51]

and α m = α 0 − m.

λ d

[2.52]

where αm = sin θm and α0 = sin θ0 are the projections of the wave vectors k at m and zero, respectively, on the x-axis; d period of the diffraction pattern. This equation verifies the Helmholtz equation: ΔE + k j .E = 0

[2.53]

where kj = k0.νj and νj is the complex index of the medium. For example in medium 1 in Figure 2.29, the total field is:

E1 ( x, y ) = E10 .e− j.k0 .β0 . y .e− j.k0 .α0 .x + ∑∑ E1q .e

− j.k0 .β1q . y − j.k0 .αm .x

.e

[2.54]

q m

The first addend represents the expression of the incident wave and the double sum represents all the addends of the reflected waves. In an inhomogeneous case, Maxwell’s equations can be reduced to a system of which the proper values allow the determination of the proper fields of each diffracted mode. The electric field in TE mode in a periodic inhomogeneous layer can be written as: ⎡ E ( x, y ) = ∑ ⎢ am .e− j.k .βm . y + bm .e j.k .βm . y m ⎢⎣

(



) .⎜⎜⎝ ∑W

mi .e

i

− j.k .α m . x

⎞⎤ ⎟⎟ ⎥ ⎠ ⎥⎦

[2.55]

90

Lithography

where Wmi and βm are, respectively, the proper vectors and the proper values associated with the proper modes defining the field’s diffraction. These two values are determined by the resolution of the following equation of proper values.

∂2 E ∂y

2

= −k 2 . A2 .E 0

[2.56]

where A2 represents the differential linear operator of the second order. The connection inbetween each elementary layer and the determination of the mode amplitudes am and bm is made using the formalism of the S matrices. This formalism consists of linking the incident and reflected waves of a layer using a transition matrix and imposing a condition at the interfaces: the continuity of the tangential field as well as limit conditions (incidental wave of the superstrate = 1; incidental wave of the substrate = 0). This method is efficient for the targeted application that is the electromagnetic simulation for EUV lithography. Yet, it still presents a few limitations. As all methods need discretization, the result’s value depends directly on the number of layers used to represent a structure. A sub-discretization gives a false result whereas an over-discretization demands greater memory size and computation time. In the same way, equation [2.55] shows that the field is summed over a truncation order. Theoretically, this sum is over an infinite number of orders. It is therefore necessary to estimate the number of orders for which the result is correct, meaning determining which are the modes with very little signal and which can be ignored. If the number of truncation orders considered is too low, it leads to a lack of information from the orders not considered; however, a high truncation order requires a significant memory size and computation time. 2.6. EUV lithography sources

The most studied photon sources today are dense plasma sources which can be divided into two categories: laser produced plasma (LPP) sources, for which plasma is generated by a laser excitation, and discharge produced plasma (DPP), for which plasma is created by an electrical discharge in a gaseous medium. 2.6.1. Constitutive elements of a plasma source

A source system is made of a group of elements (see Figure 2.30): the emitting plasma source, the light collector, combined systems to control the pollution created by the source, and a filter to control spectral purity. This group of elements has an

Extreme Ultraviolet Lithography

91

impact on the performances of the source. The net power of the EUV source is defined as the power measured at the intermediate focal point (IF). Spectral Filter

Plasma source

Intermediate Focal Point Anti -debris system

Collector

Figure 2.30. Constitutive elements of a plasma source

2.6.2. Specifications for an EUV source

The establishment of an EUV lithography technology requires a high power source, spatially and temporally stable and reliable. Table 2.4 sums up the specifications of an EUV lithography source. Nominal wavelength Power

13.5 nm Over 200W at IF1 in the bandwidth of the optical system (13.5 nm ± 0.2%) Source area Max 1–3.3 mm2.sr Spectral purity Inferior to 3% in the spectral range of 130– 400 nm Stability (dose reproducibility) Inferior to 1% Collector’s lifetime Over 3,000 hours for a collection loss inferior to 10% (1) The power is defined at the intermediate focal (IF). Table 2.4. Summary of the required characteristics of an EUV lithography source

Plasma sources are sources that emit a large spectral range. The nominal wavelength of 13.5 nm was selected because it coincides with the spectral zones of high emission of xenon and tin plasmas. The use of optical elements composed of multilayer mirrors imposes the bandwidth. The net spectral width of the source is, at best, equal to the projection optics bandwidth (bandwidth centered on the nominal wavelength of 13.5 nm and of a width of 4% of 13.5 nm, for a Mo/Si stacking). Plasma sources are also geometrically extended sources that emit in all directions. Only a fraction of the solid angle is captured by the optical collection

92

Lithography

element. In order to transmit a maximum of the source’s power through the optical system with no geometrical loss, the extent of the source must be equal to or smaller than that of the optical system. Consequently, the required power of the EUV lithography source will refer to the power of the optical system’s bandwidth and for an extent adapted to the optical system. The source’s extent is defined as the product of the EUV emitting source’s size and the collection angle. The power of the source is considered the most important parameter in order to handle the production costs of EUV lithography, because it directly impacts the exposure time for a pattern and thus the production capacity. The power needed will depend on the target production capacity in terms of wafers per hour, the sensitivity of EUV photosensitive resists and the optical system’s transmission. Nowadays, the required power is 200 W, of “useable photons”, measured at the intermediate focal point. This power is necessary to expose 100 wafers per hour with a resist with a sensitivity of 10 mJ.cm–2. This is a very high power considering the low transmission of the optical system. The optical system includes around 10 reflective optical elements of which reflectivity per dioptre is in the region of 70%, which leads to a transmission of only a few percent for the whole projection optics ((0.7)10 = 0.03). All the light energy emitted out of the bandwidth and from the whole optical system is “non-usable”. This useless radiation must be filtered in order to prevent the optical system from overheating, which would lead to distortion of the optical elements and consequently to a resolution loss. The set up of a filter, such as a zirconium membrane, can cut the radiation of wavelengths over 50 nm, thus allowing a spectral purity in the ranges of ultraviolet, visible and infrared radiation. For lithography, sources must also satisfy spatial and temporal stability criteria, directly impacting the reproducibility of the dose, meaning also dimensional control. A source stability of more than 1% is required [BAN 00]. 2.6.3. EUV sources

Even though many source types have been considered, such as X-ray emitting sources, the consequences of the impact of an electronic beam on a metallic target, synchrotron sources or hot plasma sources, only the latter appear to meet the required specifications for EUV lithography. Two plasma source types compete: plasma sources produced by an electrical discharge (DPP) and those produced by laser (LPP).

Extreme Ultraviolet Lithography

93

2.6.3.1. Plasma sources produced by electrical discharge The principle of DPP sources consists of creating a dense plasma by a quick electrical discharge between two metallic electrodes through a low pressure gas. For a high electrical current and high voltage impulse (several kilovolts) and for a very small period of time (a few dozen nanoseconds) a dense plasma-emitting radiation is created in the interelectrode space. The current is most often produced by the rapid discharge of electrical energy stored under high tension. Many structures for DPP sources exist, differing by the configuration of the electrodes, the injection method of the discharge gas and the generation method of the plasma [SCH 00]. Figure 2.31 represents two DPP source configurations. Figure 2.31(a) represents the case where discharge takes place between two electrodes placed at the edges of a cylinder-shaped tube. This type of configuration corresponds to the DPP source also known as capillary discharge, Z-pinch discharge, or hollow cathode discharge. Figure 2.31(b) represents the case where the electrodes are arranged in a concentric way and separated by an insulator at one of their ends. The device’s geometry leads to the compression of the plasma on the electrodes’ axis, in proximity to the central electrode, through which the discharge gas is injected. This type of device is also known as “dense plasma focus” [PAR 01, RAW 94]. This device has quite a large emission angle that can reach 2π sr, unlike the first device where the smaller angle mostly depends on the electrodes’ geometry.

Figure 2.31. Two source structures for EUV radiation with electrical discharge: (a) capillary source; (b) “dense plasma focus” source

94

Lithography

The choice of insulator and conception of the electrodes (to obtain an optimum cooling down and minimum erosion), are still the main problem for DPP sources given the powers involved (several dozens kilowatts). 2.6.3.2. Plasma sources produced by laser In the case of plasma sources produced by a laser, a laser impulse of high intensity is focused on a target material (see Figure 2.32). For a power per surface unit greater than 1011W/cm2, a dense plasma is generated, radiating in a large spectral range, from extreme ultraviolet to infrared. Different methods for shaping the targets, combined with different high-power lasers, have been proposed, the aim being to optimize the laser-target coupling in order to reach the highest conversion efficiency possible in a bandwidth around 13.5 nm. This conversion efficiency is defined, in the LPP source case, in comparison with the power of a “pump” laser. The most commonly used target types are liquid material jets, aggregates or microdroplets [WU 07]. A conversion of around 1% is obtained with xenon. Laser

Radiating plasma in EUV, UV, Visible , IR

Recuperator of the target material

Capillary injector of the target material

Target material jet

Chamber under vacuum

Figure 2.32. Principle of a plasma source produced by a laser

2.6.3.3. The target materials The most promising materials for the discharge gas or for the target for the DPP and LPP sources, are xenon, tin and lithium. Xenon emission has been studied theoretically [WU 07]. 13.5 nm emission is mainly due to the transition from level 4d→5p. The transitions responsible for the emission wavelength range of 11 nm limit the conversion to 13.5 nm to around 1%.

Extreme Ultraviolet Lithography

95

Tin in the form of gaseous components (SnH4 or (CH3)4Sn) or vapor is nowadays commonly used as an emitter, thanks to its conversion efficiency, which is twice as much as for xenon, and thanks to its spectral distribution which is better adapted to 13.5 nm. Lithium emits in a very narrow bandwidth (0.03–13.5 nm). However, the pollution risk of the optical system, and consequently of the silicon wafers, limits its use. 2.6.3.4. Control of contamination induced by plasma sources An EUV source not only produces EUV radiation but also droplets, ions of inverse energies, atoms and a whole range of radiation out of the “useful bandwidth”. All of these emissions can deposit or erode the optical collection elements, which affects the performance and the lifetimes of these elements. It is very important to minimize the impact of all of these residues. Different methods have been developed, such as magnetic fields, buffer gas zones using argon or xenon, the creation of volatile compounds, etc., in order to deflect, thermalize or volatilize the residues. 2.7. Conclusion

Since it was first studied at the end of the 1980s, EUV lithography has undergone many developments in order to lead to today’s techniques, performances of which are close to what the microelectronic industry demands. At first, photolithography techniques were supposed to address the 100 nm node, but the improvements brought to 193 nm lithography as well as innovations such as 193 nm immersion lithography and double exposure, made it possible to extend the utilization of 193 nm lithography up to the 45 nm node, delaying the introduction of EUV lithography. Nowadays, EUV lithography holds the position of the most promising lithography technology to reach 22 nm resolutions. However, its introduction in production has not yet been achieved, and depends on solutions and improvements that will need to be made in: the power of sources, the manufacture of defectless masks, as well as the simultaneous control of resolution, roughness and resist sensitivity. Extreme ultraviolet is, for photolithography, a real technological revolution in all aspects: from the photon source to the projection tool under vacuum, and to the reflection mask and optical systems. For each of these aspects, the scientific and technological challenges to be overcome are great. Some of the challenges (such as the improvement of resolution and the roughness of the resists) apply to all of the

96

Lithography

new lithography technologies. Other challenges are specific to this technique, such as resist degassing under vacuum, a cause of contamination of the optical elements. For an EUV source and its optical collection elements, power and lifetime are the main directions for improvement. It is important to keep in mind that the power necessary for the sources is a function of the sensitivity of the resist. At first, EUV masks were certainly considered the most difficult challenge to achieve because of their physical structure, which is multilayered, with a buffer layer, a capping layer and, finally, an absorbing layer. Nowadays, the difficulty is to decrease the number of nanometer-sized defects. For the optical elements, the principle is the same as for the masks, as the issue is a stack of interferential Bragg multilayers, but the constraints are different. For the use of projection and collection optics, the control of light diffusion is important and this remains, today, an improvement axis required to meet performance standards. To allow the development and industrial introduction of EUV lithography, it is necessary to develop measurement tools adapted to the required dimensions. Tools capable of measuring a wavefront (with 13.5 nm interferometry) have been well tested. But the detection and characterization of defects on the nanometer scale remain an important aspect of metrology. Finally, in order to reach industrial exploitation of this new technology in the microelectronics field, operating costs must be acceptable for the anticipated benefits. 2.8. Appendix: Kramers–Krönig relationship

In mathematics and physics, the Kramers–Krönig relationship describes the relationship that exists between the real part and the imaginary part of some complex functions. The condition for which they can be applied for a function f(ω) is that the function has to represent the Fourier transform of a linear and causal physical process. If: f(ω) = f1(ω) + if2(ω) with f1 and f2 being the real functions, then the Kramers–Kronig relationships are: f1 (ω) =

∞ 2 Ωf 2 ( Ω ) dΩ π ∫ Ω 2 − ω2

0

Extreme Ultraviolet Lithography

f 2(ω) = −

97

∞ f1 ( Ω ) 2ω dΩ ∫ π Ω 2 − ω2

0

The Kramers–Kronig relationships are linked to the Hilbert transform and are mostly applied to a materials’ permittivity ε(ω). Nonetheless, in this case, it is necessary to write: f(ω) = χ(ω) = ε(ω) / ε0 − 1 with χ(ω) representing the electric susceptibility of the material. The susceptibility can be interpreted as the Fourier transform of the temporal response of the material to an infinitely brief excitation, that is to say, an impulse response. 2.9. Bibliography [AND 03] ANDREEV S. et al., “Multilayer optics for XUV spectral region: technology fabrication and applications”, CEJP1, pp. 191–209, 2003. [ASB 06] ASBACH C., FISSAN H., HYEUN KIM J., YOOK S., PUI D., “Technical note: concepts for protection of EUVL masks from particle contamination”, Journal of Nanoparticle Research, 8, pp. 705–708, 2006. [ATT 00] ATTWOOD D., Soft X-rays and Extreme Ultraviolet Radiation, Cambridge University Press, 2000. [BAN 00] BANINE V., BENSHOP J., LEENDERS M., MOORS R., “The relationship between an EUV source and the performance of an EUV lithographic System”, SPIE, vol. 3997, pp. 126–135, March 2000. [BAR 90] BARBEE T., “Multilayer optics for the soft X-ray and extreme ultraviolet”, Physica Scripta, vol. T31, p. 147–153, 1990. [BER 94] BERANGER J.P, “A perfectly matched layer for the absorption of electromagnetic waves”, J. Computational Physics, 14, p. 185–200, 1994. [BES 05] BESACIER M., FARYS V., SMAALI R., SCHIAVONE P., “Modeling of the influence of the defect position on the reflected intensity in EUV masks”, SPIE Proceedings Photomask and Next-Generation Lithography Mask Technology, XII, vol. 5751, pp. 629– 639, 2005. [BOR 80] BORN M., WOLF E., Principles of Optics, Pergamon Press, New York, 6th edition, 1980. [CHA 03] CHASSE T. et al, “Mo/Si multilayers for EUV lithography by ion beam sputter deposition”, Vacuum, vol. 71, No. 3, pp. 407–415, 2003. st [CHA 97] CHARTIER G., Manuel d’optique, 1 edition, Hermes, Paris, 1997.

98

Lithography

[CON 06] CONSTANCIAS C. et al, “Phase-shift mask for EUV lithography”, Proceeding SPIE Emerging Lithographic Technologies, X., 6151–6169, vol. 2, p. 1–12, 2006. [DIL 75a] DILL F.H., HORNBERGER W.P., HAUGE P.S., SHAW J.M., “Characterization of positive photoresist”, IEEE Transactions on Electron Devices, ED-22(7), p. 445–452, 1975. [DIL 75b] DILL F.H., NEUREUTHER A.R., TUTTLE J.A., WALKER E.J., “Modeling projection printing of positive photoresists”, IEEE Transactions on Electron Devices, ED-22(7), p. 456–464, 1975. [FAI 05] FAIK-ETIENNE H., Etude de l’implantation ionique dans les miroirs multicouches Mo/Si: Application aux optiques diffractives, Doctoral thesis, Institut national des sciences appliquées de Toulouse, 21 January, 2005. [FAR 05] FARYS V. et al., “Printability of nonsmoothed buried defects in extreme ultraviolet lithography mask blanks”, J. Vac. Sci. Technol. B, 23(6), p. 2860–2865, November– December, 2005. [FAR 06] FARYS V., Influence des défauts enterrés dans les masques pour la lithographie extrême ultraviolet, Doctoral thesis, Joseph Fourier University, 8 December, 2006. [FEI 01] FEIGL T., LAUTH H., YULIN S., KAISER N., “Heat resistance of EUV multilayer mirrors for long-time applications”, Microelectronic Engineering, vol. 57–58, p. 3–8, 2001. [GOM 02] GOMEI Y., SUGISAKI K., ZHU Y., NIIBE M., “Proposal of at wavelength PDI for EUVL optics alignment by using a compact undulator”, Microelectronic Engineering, vol. 61–62, p. 1077–1082, 2002. [GWY 98] GWYN C.W, STULEN R.H, SWEENEY D.W, ATTWOOD D.T, “Extreme ultraviolet lithography”, J. Vac. Sci. Techn., B16, 1998. [HAW 88] HAWRYLUK A.M, SEPPALA L.G, “Soft x-ray projection lithography using an x-ray reduction camera”, J. Vac. Sci. Technol., B6, 2162, 1988. [KIN 89] KINOSITA H., KURIHARA K., ISHII Y., TONII Y., “Soft X-ray reduction lithography using multilayer mirrors”, J. Vac. Sci. Technol., B7, 1648, 1989. [KLI 03] KLIENEBERG U. et al., “Effect of substrate roughness on Mo/Si multilayer optics for EUVL produced by UHV-e-beam evaporation and ion polishing”, Thin Solid Films, vol. 433, p. 230–236, 2003. [LAF 05] LAFONTAINE B. et al., “Printing EUV phase-shift masks using the 0.3NA Berkeley MET”, EUVL Symposium, 2005. [LEV 82] LEVENSON M.D., VISWANATHAN N.S., SIMPSON R.A., “Improving resolution in photolithography with a phase-shifting mask”, IEEE Trans. Electr. Dev., ED-29(12), p. 1828–1836, 1982. [MAC 87] MACK C.A., “Development of positive photoresist”, Journal of the Electrochemical Society, 134(1), p. 148–152, 1987.

Extreme Ultraviolet Lithography

99

[MAD 06] MADEY T., FARADZHEV N., YAKSHINSKIY B., EDWARDS N., “Surface phenomena related to mirror degradation in extreme ultraviolet lithography”, Applied Surface Science, vol. 253, n° 4, p. 1691–1708, 2006. [MER 03] MERTENS B. et al., “Progress in EUV optics lifetime expectations”, Microelectronic Engineering, vol. 73–74, p. 16–22, 2003. [MOH 82] MOHARAM M., GAYLORD T., “Diffraction analysis of dielectric surface-relief gratings”, J. Opt. Soc. Amer., vol. 72, p. 1385–1392, 1982. [MON 98] MONTCALM C., BAJT S., MIRKARIMI P., SPILLER E., WEBER F., FOLTA J., “Multilayer reflective coatings for extreme-ultraviolet, emerging lithographic technologies II”, Proc. SPIE, vol. 3331, p. 42–51, 1998. [OTA 00] OTAKI K., “Asymmetric properties of the aerial image in extreme ultraviolet lithography”, Jpn. J. Appl. Phys., vol. 39, p. 6819–6826, 2000. [PAI 96] PAIN L., LE CORNEC C., ROSILIO C., PANIEZ P.J., “Free volume variations during exposure and PEB of DUV positive resists: effect on dissolution properties”, Proc. SPIE Conference on Advances in Resist Technology and Processing XIII, Santa Clara, 1996. [PAR 01] PARTTLO W.N., FOMENKOV I.V., NESS R.M., OLIVER R.I., MELENYCHUK S.T., RAUCH J.E., “Progress toward use of a dense plasma focus as a light source for production EUV Lithography”, Proceedings of SPIE, vol. 4343, p. 232, 2001. th [PER 96] PEREZ J.P., Optique, fondements et applications, 5 edition, Dunod, Paris, 1996.

[PET 95] PETERSEN J.S., MACK C.A., STURTEVANT J., BYERS J.D., MILLER D.A., “Nonconstant diffusion coefficients: short description of modeling and comparison to experimental results”, Proc. SPIE Conference on Advances in Resist Technology and Processing, Santa Clara, 1995. [RAW 94] RAWAT R. S., SRIVASTAVA M. P. & MOHANTY S. R., “Study of the current sheath dynamics in dense plasma focus in the presence of axial magnetic field using laser shadow graphic technique”, IEEE Trans. Plasma Sci., 22, p. 967, 1994. [RAY 98] RAY-CHAUDHURI A., GIANOULAKIS S., SPENCE P., KANOUFF M., MOEN C., “Impact of thermal and structural effects on EUV lithographic performance, emerging lithographic technologies II”, Proc. SPIE, vol. 3331, p. 124–132, 1998. [RIZ 05] RIZVI S., Handbook of Photomask Manufacturing Technology, Taylor & Francis, London, 2005. [SCH 00] SCHRIEVER G., RAHE M., NEFF W., BERGMANN K., LEBERT R., LAUTH H., BASTING D., “Extreme ultraviolet light generation based on laser produced plasmas (LPP) and gas discharge based pinch plasmas: a comparison of different concepts”, SPIE, vol. 3997, p. 162–168, mars 2000. [SEM 09] SEMATECH, http://www.semiconductor.net/article/CA6495212.html. [WU 07] WU B., KUMAR A., “Extreme ultraviolet lithography: a review”, J. Vac. Sci. Technol., B 25(6), p. 1743–1761, November–December 2007.

100

Lithography

[YEE 66] YEE K.S., “Numerical solution of initial boundary value problems involving Maxwell’s equations in isotroptic media”, IEEE Transaction on Antennas and Propagation, 14, p. 302, 1966. [ZUN 94] ZUNIGA M., TOMACRUZ E., NEUREUTHER A.R., “Diffusion effects in chemically amplified deep-UV resists”, Proc. SPIE Conference on Advances in Resist Technology and Processing XI, Santa Clara, 1994.

Chapter 3

Electron Beam Lithography

3.1. Introduction The first electron beam lithography tools were developed in the late 1960s. They were based on the principle of scanning electron microscopes (SEMs). Since its introduction, electron beam (or e-beam, as it is known) has been used widely in laboratories and universities because of its strong capacities in terms of resolution and flexibility. In the 1970s, IBM developed the shaped beam concept, which brought significant enhancements to writing speed, an essential step for industry in order to achieve implementation of high throughput tools. However, this new technology, called single beam technology, remains several times slower than maskbased optical lithography. Therefore, logically, its industrial attractiveness rapidly declined because of its production costs, which were higher than those of optical lithography. The critical dimensions (in terms of ultimate resolution) achieved in the semiconductor industry are called technological nodes. Thus, when the gate of a transistor is 150 nm wide, it is said to be an HP150 technological node, where HP stands for half pitch. Since the introduction of the HP150 node in the early 2000s, the cost of optical solutions has risen significantly with each new generation. As a reference, in 2004, it represented 40% of the total cost of one manufacturing step for an integrated circuit designed at 130 nm half pitch resolution [ARN 99].

Chapter written by Christophe CONSTANCIAS, Stefan LANDIS, Serdar MANAKLI, Luc MARTIN, Laurent PAIN and David RIO.

102

Lithography

Two main reasons can explain this increase: equipment costs and the complexity of the associated techniques used to enhance photo-steppers, or “scanners”. The first reason is related to the constant improvement of scanner performance to enhance resolution: reduction of the exposure wavelength, numerical aperture increase and (in 2004) the introduction of immersion lithography. The second reason is linked to the need for resolution enhancement techniques (RETs), which have turned out to be necessary to push the resolution limits of optical tools close to the theoretical limit imposed by diffraction. This last improvement also affected the cost of the lithography step by raising manufacturing time and prices, as well as reticle design costs. Figures 3.1(a) and 3.1(b) show the evolution of these parameters at the start of each new generation.

(a)

(b) Figure 3.1. (a) Evolution of mask costs; and (b) evolution of optical mask fabrication

Electron Beam Lithography

103

The histograms above show that cost and cycle times were multiplied by 4, 2 and 6, respectively, in the three node generations between 150 nm and 65 nm as far as mask cost and fabrication time were concerned. Moreover, for advanced technological nodes (below 90 nm), the use of optical proximity corrections (OPCs) have become standard. OPC is achieved by long and expensive simulation calculations that slow down the mask fabrication process. In this context, inserting new e-beam tools for direct writing and high throughput becomes a strong potential alternative to reduce industrial costs related to lithography process steps. This renewal of interest is particularly encouraged by application-specific integrated circuit manufacturers and foundries, for which the mask budget represents a large part of total costs. Taking into account estimations of fabrication speed and cost for the new multiple e-beam technologies that will be introduced later on, electron beam lithography is very attractive in terms of profitability [PAI 06]. Table 3.1 lists the key parameters used in production for a technology offering a 45 nm pitch resolution (a 45 HP technological node). In this specific case, e-beam tools are used to achieve critical levels for sub-65 nm optical lithography, which corresponds to a limited part of the total production of the fabrication unit (10%) for prototyping applications. Production unit capacity

E-beam equipment parameters

Technological node

10,000 wafers per week E-beam technology usage 10% production volume dedicated to: – prototyping (10 wafers/lot) – small products < 500 w/reticle

Cost: $10– 30M per equipment Throughput: 20 wafers per hour

45 nm half pitch All the critical levels → 10 levels Reticle budget saving ratio: 60%

Table 3.1. Fabrication parameters for the use of maskless lithography (ML2)

Taking the data from Table 3.1, Figure 3.2(a) shows the cost per wafer as a function of the number of prototype circuits manufactured each week. This graph validates the relevance of the e-beam solution which, depending on calculations used, can allow a 66% reduction of the lithographic budget when a total of 10 circuits per week is reached, compared to “all optical” lithography. This attractiveness is mostly due to reticle budget reduction, as indicated in Figure 3.2(b). This case is all the more favorable in the first years of introducing a new technology when reticle cost is at its highest. Similar cost studies have been published over the years from various companies and organizations [LIN 06, TRY 06]. They all reach the same conclusions,

104

Lithography

confirming that multiple e-beam lithography is not just a solution restricted to the R&D domain but now represents a viable economic solution for mass volume production. That message is highly supported by some major semiconductor manufacturers, such as ST Microelectronics and the Taiwan Semiconductor Manufacturing Company (TSMC). This change led the International Technology Roadmap for Semiconductors (ITRS) to integrate e-beam ML2 technology once again as a potential solution for the 32 nm HP node, as indicated in Figure 3.3. The lithography roadmap suggested by ITRS presents the technologies implemented to achieve resolutions (expressed in nanometers) on the x-axis, plotted against the technological node used for DRAM memory on the y-axis. For each technology, research, development, pre-production and progress phases are spread over time.

(a)

(b) Figure 3.2. (a) ML2 fabrication costs and numbers of equipment required according to the scenario in Table 3.1; (b) effect of mask number reduction on ML2 profitability

Figure 3.3. ITRS for lithography (2006 update)

Electron Beam Lithography 105

106

Lithography

3.2. Different equipment, its operation and limits: current and future solutions 3.2.1. Gaussian beam Electron beam technology was initially developed from SEMs at the beginning of the 1960s [SEL 60]. These microscopes use an electron beam to probe matter. The electrons are generated either by a thermo-ion source or by a cold cathode in more advanced equipment. Their transverse thermal velocity, perpendicular to the electrons’ path direction, is such that the current density profile can be identified as Gaussian (see Chapter 5 ). By extension, this technique is called Gaussian beam electron lithography. For an SEM, just as for a Gaussian beam lithography tool, the beam scans the substrate’s surface. In the case of e-beam lithography, in a similar manner as for photolithography, an electro-sensitive resist film is first coated on the substrate’s surface. The electron beam passing nearby modifies the resist’s chemical properties (see Chapter 6). A resist development process then reveals the patterns. They become apparent when part of the resist is removed. This chapter is dedicated to the technical and tooling aspects of Gaussian electron beam lithography. It will give details about the operating principle of the lithography equipment used and the parameters involved in exposure, as well as the limitations of such equipment. 3.2.2. Shaped electron beam Shaped e-beam equipment represents a first evolution towards an improvement in writing speed. With a shaped electron beam, the pattern is not created by projecting a single point. Instead, at the electron gun’s output, electrons form a wide and homogeneous beam. Thanks to a deflection device, that beam goes through two apertures. As shown in Figure 3.4, the residual beam passing through the intersection of the two apertures forms an elementary structure that can be square, rectangular or triangular, oriented at 0 or 45°. At this point, the maximum size of these elementary shapes is linearly changeable in X/Y from a few to a few hundred micrometers. The beam is then focused with a magnification less than 1, so that each elementary shape (the final size of which can be changed within 5 µm at most) can be projected on the wafer to achieve the desired pattern. Prior to exposure on the substrate, the drawing is segmented or split into simple squares, rectangles or triangles which, once projected, form the desired structure during writing. A shaped beam solution, able to project variable sized patterns down to a few micrometers with its vector scan writing strategy, significantly improves the writing

Electron Beam Lithography

107

speed of the e-beam solution, compared to a Gaussian solution that can only project identical elementary spots of a few nanometers. Yet that solution is still not competitive enough for industry since the writing speed for a 300 mm wafer with 65 nm design rules takes over ten hours! The concept of a shaped beam is improved by using the principle of cell projection (CP) shown in Figure 3.4. This consists of identifying the repetitive cells of a design and creating a library of these structures. These cells are projected on the substrate all at once, thus significantly reducing the total number of flashes necessary to write the product.

Figure 3.4. a) Shaped beam; b) Cell projection

A stencil or mask is generated and replaces one of the two apertures of a classical shaped beam tool. Depending on the tools developed, a stencil can contain up to 400 different characters with a maximum size of 5x5 µm² (final scale on the wafer). This solution has been supported by Japanese companies such as Advantest, e-beam Corp and, recently, by the European company, Vistec. Figure 3.5 shows details of Advantest’s concept solution. The cell projection (CP) is the equivalent of a transmission mask used in photolithography. The mask’s elements, namely the CP, are projected and deflected onto the substrate with a 1/60 magnification.

108

Lithography

Figure 3.5. Advantest project: column and pattern layout on a CP mask, showing projection of characters of various sizes (example of 65 nm resolution)

Figure 3.6. Potential writing speed gain brought by the concept of cell projection

With this strategy, throughput can be enhanced by a factor of 25 at best, as shown in Figure 3.6. In order to reach such benefits, the circuit drawing first has to be optimized by adapting the size of the repetitive structures to the size of the projected cells. In the end, a shaped beam tool can reach a writing speed of about one wafer per hour. Its potential is becoming ever more interesting for

Electron Beam Lithography

109

manufacturers, in particular for prototyping applications where few wafers are made and for which optical lithography with reticles becomes increasingly expensive. 3.2.3. Multi-electron beam Multi-electron beam tools represent a new generation, in development progress, designed to reach a better productivity of a few wafers per hour. Figure 3.7 shows a global view of the different concepts. Multi-electron beams can be categorized into several subgroups depending on the energy of the incident beam, the number of beams or the type of figures projected.

Overview of ML2 Techniques OML2 (OML)

CPCP-ML2

Optical MaskMask-Less Lithography

Charged Particle MaskMask-Less Lithography

MultipleMultiple-Mirror Plate (tilted or piston) piston) Projection

193nm OML: 90nm & 65nm node ASML / Micronic 193nm immersion OML: 45nm & 32nm (?) node EUV OML: 32nm & 22nm (?) node

< 10 keV e-beam multimulti-layer resist Character Projection

e-beam Corp

13.000 MicroMicro-Columns

Mapper

50 keV or 100 keV e-beam singlesingle-layer resist Scanning with

1024 Beams

Canon (Hitachi)

Character Projection

Advantest

PML2 (Programmable Aperture Plate Projection) Projection)

IMS

Figure 3.7. Overview of maskless lithography concepts

3.3. Maskless photolithography 3.3.1. Optical lithography without a mask Optical maskless lithography (OML) is based on the projection of microbeams of light controlled by programmable micrometric-size mirrors. An elementary spot’s

110

Lithography

diameter on the substrate is reduced 100 to 200 times in order to reach 45 nm. This technique is mainly supported by ASML (The Netherlands) in collaboration with Micronic (Sweden). Currently, illumination is achieved using a 193 nm deep UV laser. This type of tool is conceived to address the 65 nm technology node for an approximate throughput of around 5 wafers per hour. Other studies are in progress to go beyond that resolution by reducing the wavelength, and by going to extreme UV (13 nm). This optical maskless approach in extreme UV is also supported by the University of Berkeley. If this solution brings a considerable gain in throughput, it addresses a level of resolution already reached by optical lithography. Therefore, its interest is very limited since it is not economically competitive enough for mass use in an industrial environment. However, it could serve some specific markets, such as low volume integrated circuit manufacturing. 3.3.2. Charged particle maskless lithography Maskless lithography based on charged particles (ions and electrons) mainly focuses on either low energy (50 keV) electron projection. Depending on the concepts, the number of beams can vary from a few to several thousands. Projects in this domain can be further separated into two groups. 3.3.2.1. Multiple source tools Each electron beam is generated by an elementary source and all the beams are conveyed and treated separately from the source to the wafer. Two projects are most representative of this approach: one project with Adventest, and the other with MSI. 3.3.2.1.1. Advantest project Advantest in Japan has been developing a project based on an extension of the single shaped 50 kV beams previously introduced (multi-column cells (MCCs). Their concept consists of assembling several independent shaped beam columns, each of them integrating stencils (minimasks transparent to electrons) enabling cells composed of elementary features to be projected. In theory, each column acts like a scanner where all the patterns of a reticle are scanned by the electron beam and imaged on the resist using a reduction factor. Appended in such a manner, each column writes an area of the silicon substrate (Figure 3.8). To begin with, a first tool with four columns is being developed and Advantest is expecting to potentially extend the approach to 16 columns. As this type of platform is composed of standard e-beam columns, the expected performances are compatible with the future requirements of industry in terms of resolution. The target throughput is several wafers per hour. This could be of interest, even if less competitive than other projects mentioned later [SEM 05].

Electron Beam Lithography

111

Figure 3.8. Advantest project: multiple column concept with cell projection

3.3.2.1.2. MSI project Multibeam Systems Incorporation (MSI) is an American start-up company that developed a concept of an e-beam source coming from very compact thermionic field emission (TFE) cathodes working at very high currents (>5,000 A/cm2). With

112

Lithography

this approach, it becomes possible to implement a system composed of 100 elementary columns. Suppressor

TFE tip Extraction aperture Focus electrode Beam-limiting aperture

20kV accel

Beam-trimming aperture Beam blanker Mainfield deflectors Moving lens focus electrodes

Wafer

Unblanked beam

Blanked beam

Alignment deflectors

Beam-defining aperture Subfield deflector/ stigmator 30kV accel Field-free tube BSE detector Telecentric scanning (>50um off-axis)

Figure 3.9. MSI Project: operating principle

This project also integrates a concept of purely electrostatic optics (faster than electromagnetic lenses) including shaped-type apertures (see the beam-defining aperture in Figure 3.9), which integrates geometrical aberration correction and thus increases the intensity of the beams [KOT 08, TAK 08]. Thanks to the very high source current and the contrast enhancement, the productivity of this approach is evaluated at around 10 to 30 wafers per hour. In order to reach that level, the conception of ultrafast (a few dozen nanometers) deflectors is necessary. This approach has a very strong potential and MSI is currently discussing with industrial equipment manufacturers to integrate this solution onto an industrial platform. 3.3.2.2. Unique source and multiple beams In this strategy, the electrons are generated only by a collimated source, made the most uniform and intense possible. The elementary beams are generated as the beam passes through an aperture. The number of beams changes depending on the project, depending on the source’s width and the number of apertures used. Several projects are being developed throughout the world at different stages. Some examples of technologies based on this concept are introduced below.

Electron Beam Lithography

113

3.3.2.2.1. Canon/Hitachi project The Japanese company, Canon, in collaboration with Hitachi High Technologies, have made a test platform called “BLA” (for blank array) [KAM 07]. This tool has 1,024 beams, as shown in Figure 3.10. The high intensity-spread electron source is separated into multibeams thanks to an aperture array (AA). Each sub-beam is individually focused by a static lens array (SLA). The sub-beams are then aligned and individually interrupted by other array elements: micro aligner arrays (MAAs), and blanker arrays (BLAs). The resolution achieved on this platform reached 65 nm. However, the project was frozen due to the low throughput potential of the technology, of about 1 wafer per hour.

Figure 3.10. Canon/Hitachi project: BLA principle (blanker array)

3.3.2.2.2. KLA-TENCAO project In the United States, KLA-TENCAO received $5million from the government in 2006 to evaluate the development feasibility of a commercially viable ML2 prototype. This project, reflective electron beam lithography (REBL), is expected to

114

Lithography

reach 45 nm line/space resolution for a maximum throughput of five 300 mm wafers per hour. Commercial exploitation of the project will be profitable for KLATENCOR. In the end, the aim is to reach resolutions of 32 nm, then 22 nm for lithography applications for semiconductors and military applications. The principle of REBL is described in US Patent no. 6,870,172. The electrons head towards a plate made of micro-mirrors. On that plate, they are either locally absorbed or repelled by applying an electric field (~ 5 V). The reflected electrons are then directed to the substrate to ensure the exposure of the desired patterns.

Figure 3.11. KLA-TENCAO’s REBL project

3.3.2.2.3. Mapper project The multibeam project from the Mapper company (The Netherlands) works by using a low acceleration tension of 5 kV and aims to reach a productivity of 10 wafers per hour. For such a throughput, electric currents per beam can reach 150 µA. Hence, the thermal stress induced by electron–matter interactions requires the acceleration tension to be reduced down to 5 kV: the local deposited energy reaches 0.75 W at 5 kV compared to 7.5 kW at 50 kV. At ultimate resolutions (32 and 22 nm), expansion-induced distortions must be smaller than a few nanometers in order to control the alignments.

Electron Beam B Lithograpphy

115

In prrinciple, the teechnology achhieved uses th he principle off parallel beam ms from a unique source, s drivenn by a data traansport system m conveying the informatiion to the deflectorrs. The indusstrial platform m expected around a 2012 plans to use 13,000 individually controlleed beams whiich will coverr a 26 mm width w (standarrd optical E beam writes w a 2 µm m-wide bandd perpendiculaar to the scanner field size). Each h each nearestt beam. Circuit writing directionn of the table with a slight overlap with data aree generated on o a server system separrate to the exxposure platfform and transmittted optically by 13,000 ligght beams (Figure 3.12) which w each coontrol the deflectioon of a beam. The deflectors and switches of the sub-bbeams are conttrolled by integrateed photo-sensoors. The opticcal control makes m it possibble to addresss the subbeams att a very high frequency, f of a few gigaherrtz.

Electron Source

Collimator lens

Beam Blanker Array Beam Deflector Array Projection lens Array

Figure 3.12. 3 MAPPER Project, operatting principle scchematics

300 mm m wafer

EO slit 13,000 beams s

Each beam m writes 2 μm strip pe Electron be eam

EO slit

Field

10 mm 150 µm

26 mm

150 µm

2.25 nm

Beam OFF Wafer movement m

F Figure 3.13. MA APPER Projectt, writing strateggy

Beam ON N

116

Lithography

3.3.2.2.4. IMS PML2 project The IMS nanofabrication project for projection maskless lithography (PML2) is a project with multibeams at a high acceleration tension (50 kV). The industrial platform will have several hundreds thousand individually addressable electron beams. In its single column concept, the platform will make it possible to reach throughput of 2 wafers per hour. However, in a multicolumn design (specific patent), this technology can reach cycle times of about 20 wafers per hour for the 32 nm technology. The advantage of this platform is its multi-generational nature as, in its concept, the resolution capacity can be pushed either by using a stronger acceleration tension or by diminishing the size of the elementary spot. Unmasked Projection Lithography Electronic source

Network of programmable openings

Electronic beam 5keV Projection lens with a reduction factor of 200

3.5

Sample support Unmasked lithography for the technological node 32nm

17.5 nm

(a)

(b) Figure 3.14. IMS Project: principle of PML2

Electron Beam Lithography

117

The principle of the PML2 project is represented in Figures 3.14 and 3.15. The electrons are issued from a 5 kV source of very strong brightness. The condenser finalizes the formation of a large and telecentric beam. This beam goes through programmable apertures (an aperture plate system (APS)), controlled by Complementary Metal Oxide Semiconductor (CMOS) integrated circuits that split the main beam into more than 900,000 elementary beams. These new beams are then accelerated at 50 kV and projected onto the moving substrate. The size of the beams is 200 times reduced with the help of electronic optics in order to reach the size of 10 nm at substrate level.

electroplated Au

35µm

Aperture in 40µm thick Si plate

0V

+4V

Figure 3.15. IMS Project: functionality of the programmable aperture

The central element of the PML2 project is the programmable aperture, namely the APS. It is a microsystem having an array of periodically spread-out apertures. Its purpose is to form the elementary beam issued from the main beam. At the APS’s output, the elementary beam is dynamically controlled by integrated electrodes (blanking electrodes), depending on the structure required.

118

Lithography

The writing information, in other words the deflection or non-deflection of the beam, is transmitted by a high-speed data transfer system which establishes links inside the circuit. Exposure of the other structures is carried out while the substrate is moving. Synchronization between the APS and the velocity of the table carrying the substrate enables an accurate exposure of the patterns. The substrate is exposed by an elementary band of 126 µm length and 21 µm width. An elementary pattern is written by several adjoining beams that share the total exposure dose. Each 3.5 x 3.5 µm² aperture can cover a 21 x 21 µm² elementary surface. This principle of redundancy between the beams makes it possible to avoid any failures of an elementary beam. This increases flexibility on dose control and thus on the process window (Figure 3.16).

Figure 3.16. IMS Project: redundancy concept during the writing process

3.4. Alignment The alignment performances of a system are a key element to allow the stacking of several levels and thereby the fabrication of circuits. In order to be compatible with industrial processes, the alignment strategy must be compatible with the solutions implemented in optical lithography, as detailed in Figure 3.17. For each new level, alignment is performed on reference levels. However, today’s e-beam tools do not have optical systems identical to those of optical scanners, and the alignment is performed by the electron beam and a back-scattered electron detector. The reading of the mark is performed by scanning the alignment mark several times in the x and y directions in order to detect the mark’s center (Figure 3.17). The main problem with this solution is its destructive aspect. During the reading of the mark, the resist is exposed, which degrades the mark. It is therefore no longer protected in the next technology steps. Hence, it can be used only once. The other technical constraint of this approach consists of the reading itself, which requires a good

Electron Beam Lithography

119

contrast so as to obtain a good level of precision. This property can be achieved either by topographic contrast with a high enough step, or by atomic contrast, characterized by a local difference of materials, with different atomic number Z. E-Beam alignment

Scanner alignment

Metal Tungsten marks

Contact Polysilicon marks

Gate

E-beam mark photo

Active marks

Active

0 level Figure 3.17. Introduction of the alignment strategy used in e-beam lithography

In this way, the alignment strategy deployed is highly dependent on the technology stack. In the case of CMOS technology, for contact and interconnection levels, the presence of metallic materials such as tungsten and copper enables an alignment procedure to be achieved by taking advantage of the atomic contrast difference. This principle also applies at the gate level where the silicide process incorporates a sufficient level of metallic compounds to allow an accurate reading of the marks. In the end, the most difficult alignment to perform is the alignment on active areas, namely the transistors’ source and drain. In this case, the arrangement of the technology stack presents an equivalent atomic number Z, and the topographic contrast is limited by the introduction of planarization processes by chemical mechanical polishing. However, by adapting the writing density around the mark and by playing on the polishing characteristics, it is still possible to generate enough topographic contrast to read the alignment mark. In the event that neither of the two detection solutions is efficient, there is an alternative that makes it possible to perform the alignment by using a “floating” level. In that strategy, the alignment marks are regenerated by optical lithography and transferred by etching the substrate in such manner that a topographic contrast is created. This solution works in all cases but it has a negative impact on the alignment performances. The overlay between two consecutive lithography steps depends directly on the alignment from the intermediate level used to regenerate the marks. Performances are logically degraded compared to a direct alignment strategy,

120

Lithography

but that approach has the advantage of being functional, whatever the technology sequence. The work accomplished to integrate e-beam technology into CMOS processes has shown that direct alignment could be used in most cases. This result is important, as it confirms that an alignment strategy equivalent to optical solutions can be achieved. Therefore, e-beam lithography could be fully integrated into existing CMOS technological sequences, which is essential for a good compatibility between lithographic processes. Results obtained from e-beam or optical lithography on an industrial wafer lot of 65 nm logic CMOS technology have shown that an optimal writing strategy aligning itself chip by chip gives a stable alignment of ± 18 nm, which complies with this generation’s specifications. 3.5. Electron-sensitive resists Chemically amplified resists are traditionally used for semiconductor applications, for reticle and silicon wafer writing. For e-beam lithography, their frame is a polyhydroxystyrene (PHS) type, which is also widely used for deep ultraviolet 248 nm lithography. These platforms, developed in the 1980s, are fully compatible with CMOS processes and exist in both positive and negative polarities, which is an advantage for optimizing the write time as a function of the density of the circuit to be written. If today’s resists are capable of achieving dense 30 nm line/space arrays, their performances must be improved in order to be compatible with future technology nodes in terms of resolution, line roughness and sensitivity. It is usually a challenge to get low roughness and high sensitivity with the same high resolution resist, as shown by a recent study [ICA 07]. Many studies showing the complexity of implementing high resolution resists have quantified the links existing between these three parameters. The following example is an illustration of this difficulty. The sensitivity of a positive chemically-amplified platform was modified in order to resolve dense 70 nm structures. Several versions of that platform were prepared with different levels of sensitivity. It can be seen from this example that it is possible to resolve such an array with a 10 to 30 µC/cm² sensitivity, but roughness reaches about 15 nm on this fast platform, far different from the ITRS target of 2.4 nm for this resolution. On less sensitive formulations, the study shows that the sensitivity had to be reduced by six in order to meet the roughness requirements, which then impacts the writing speed. Therefore, the development of new platforms and new chemistry is

Electron Beam Lithography

121

becoming very important to simultaneously improve the performances of the three key parameters. The processes related to some commonly used resists for e-beam lithography are described in section 3.12 below. 3.6. Electron–matter interaction Bombardment of an electron beam on a bulk target induces several processes of interaction between the electrons and matter (Figure 3.18): 1. Electron emission, which can roughly be categorized into two groups: (a) one with high energy, close to that of primary electrons and which can be qualified as “back-scattered” electrons. These back-scattered electrons come from interactions with the atomic nucleus (“elastic” interactions, in other words without the transfer of a high amount of energy, and with a strong deviation or “inelastic” interaction where the incident electron loses energy). These deviations can lead the electrons to re-emerge from the target; (b) the other with lower energy, specifically related to the presence of materials in the target. These are Auger electrons. A share of very low energy electrons (typically from 5 to 50 eV), called “secondary” electrons, are added to the Auger electron population. 2. Electromagnetic radiation, mostly composed of X-rays, distributed along a characteristic ray spectrum of the elements present in the target, and a continuous emission (“continuous background”, “braking radiation” or “Bremsstrahlung”). For dielectric or semiconducting materials, a low energy electromagnetic radiation (cathodoluminescence radiation) can also be seen under visible light. The ultimate resolution of an electron beam is not limited by diffraction as is the case for optical photonics. By associating the electrons’ wavelength with their energy by using the relationship: l = 12.3/ V (Å), and by considering the diffraction limit with d.sin θ = λ for an angle θ = 5×10–3 rad, λ|100 kV = 0.04 Å λ|5 kV = 0.17Å, we find d|100 kV = 0.8 nm and d|5 kV = 3.5 nm. Understanding the mechanisms that contribute to the absorption of energy and to the spatial energy distribution in the resist is essential for predicting how an image is formed in the resist by the incident beam.

122

Lithography

Figure 3.18. Diagram of the interaction processes of an electron beam with the matter

Electron Beam Lithography

123

3.7. Physical effect of electronic bombardment in the target 3.7.1. Polymerizing, chemical bond breaking For lithography, the target’s surface is generally made of a photosensitive resist, polymer-type organic material. The electrons from 0.1 to 100 keV that hit the target break the molecules or create bonds to make bigger molecular chains, in particular with interchain bonds called cross-links. Although both phenomena simultaneously coexist, one of them prevails for a given material, which determines the effect produced by the bombardment. In the case of polymers, carbon-carbon or carbonhydrogen bonds can be broken with little energy: C-H 4.3 eV (413 kJ/mol) C-C 3.6 eV (348 kJ/mol) C=C 6.4 eV (614 kJ/mol) C≡C 8.7 eV (839 kJ/mol) Under the effect of the bombardment, the polymer chains become more or less soluble depending on whether they are fragmented (positive resist) or insoluble when they get longer or cross-link (negative resist). Figure 3.19 gives a schematic representation of the basic resist mechanisms, showing the crosslinking of a negative resist and the fragmentation of a positive resist. Further information about resist chemistry can be found in Chapter 6.

Figure 3.19. Schematic representation of e-beam insulation mechanisms: (a) a negative resist, for example HSQ; (b) a positive resist, for example PMMA

124

Lithography

3.7.2. Thermal effect The energy brought by the primary electron beam is dissipated by several mechanisms, among which are radiation and ionization (which will be brought up later), but also by vaporization or rising of the target’s temperature. We will see that only a small amount of energy is used to transform the resist. Consequently, the main effect of the bombardment results in the target’s temperature rise. Considering that the power is initially generated in a sphere, the radius of which corresponds to the electrons’ scattering radius, the temperature rise can be expressed as follows:

ΔT =

I 0 .V0 R2 − R1 I .V ≈ 0 0 4πλ R2 R1 4πλ R1

[3.1]

where V0 is the acceleration tension, I0 the beam current, λ the thermal conductivity of the target (W/m/K), R1 the electrons’ scattering radius (strongly dependent on V0) and R2 the heat diffusion radius, which should be considered much higher than R1 R2 >> R1. EXAMPLE: For a silicon substrate λ = 148 W/m/K, a typical 1nA current, 100 kV electrons, R1 = 10 µm or 5 kV, R2 = 50 nm: ΔT 100 kV ≈ ΔT

5 kV



10 −9 × 100 × 103 4 π × 148 × 10 × 10 −6 10 −9 × 5 × 103

4 π × 148 × 50 × 10 −6

= 0.0054 K

= 0.054 K

For semiconductors or metals, the temperature rise is negligible but for dielectrics such as glass or plastic materials with low thermal conductivity, fusion or material transformation can be reached. This can be used in surface treatment processes [BRO 92]. It can be seen that, for a current value multiplied by 1,000, at 5 kV the temperature rise can reach 50 K, which can cause an expansion of a few tens of nanometers for a 100 µm-thick insulation surface. 3.7.3. Electrical effect When electrons hit a metal, they add to the free electrons of the material and are evacuated by conduction if the metal is connected to an electrical circuit. For a semiconductor, the electrons either collide with the valence band electrons, which generate electron-hole pairs, or reach the conduction band by thermal excitation, where they are free to leave the material by conduction. The energy needed to produce these free carriers is about the same as the semiconductor’s gap (e.g. 3.6 eV

Electron Beam Lithography

125

for Si, or 2.8 eV for Ge). For dielectrics, no free carriers are created, as the bombarded material gets charged by creating a local electric field which disrupts the primary electrons’ path. It becomes impossible to transfer an image into the resist. In that case, charge evacuation is enabled by depositing a conductor film of a few nanometers thick at the surface. This can be performed by evaporating a metal such as gold or aluminum, or more simply by coating a liquid containing conducting particles such as carbon. Liquids for this purpose are supplied by the company Showa Denko [SHO 09]. 3.8. Physical limitations of e-beam lithography Moore’s law leads the semiconductor technology towards ultimate resolution for transistor fabrication (sub 22 nm by the beginning of 2012). The diffraction limit associated with photonic optics opens the possibility of e-beam lithography if the technical solutions meet the economic expectations of the industry. Indeed, the diffraction limit is no longer a problem for a “massive” particle such as an electron, the wavelength of which can be expressed as:

λ=

h

1

2m0 eV

1 + eV 2m0 c 2

[3.2]

where h is Planck’s constant, m0 the mass of the electron at rest, e the electron’s charge, c the speed of light, and V the electric potential of the electron’s acceleration. The first term corresponds to the non-relativistic term, the second is the relativistic correction.

Figure 3.20. Relativistic effect applied to the speed of an electron accelerated in a vacuum

126

Lithography

Thus, at 10 kV, the electrons’ wavelength equals 12.3 × 10-12 m (12.3 pm) whereas the wavelength in a TEM at 200 kV equals 2.5 pm. The fact that the relativistic correction is all the more significant for a light particle should be noticed. For the electron, it becomes significant (> 1%) from 10 kV (see Figure 3.20). 3.8.1. Fundamental limit of electrons Heisenberg’s uncertainty principle, formulated in 1927, states that the position and speed of a particle cannot both be known with arbitrary precision at the same instant. The more precisely one of them is known, the less precisely the other one can be known. This results in the notion of an “actual” trajectory having no real meaning for particles. The mathematical relation expressing the uncertainty is: Δx.Δp ≥

h 2π

[3.3]

which explains that the product of the position uncertainty and the momentum uncertainty is greater or equal to Planck’s constant divided by 2π. In the case of an e-beam lithography column, when Δp is known, what is Δx worth? EXAMPLE: What is the speed of a relativistic electron at 100 kV? The speed can be expressed as:

υ e− = c

U 2 + 2UU 0 U +U0

U = electron’s energy in eV U0 = energy of the electron at rest = 0.511 MeV c = speed of light in vacuum = 299,792,458 m.s-1 Thus, υe-|100 kV = 164,352,351 m.s-1 Knowing the uncertainty of speed at 0.1% (which is a precision limit for acceleration potential) and the electron’s mass, me = 9.11×10-31 kg, the momentum and its uncertainty can be deduced:

Electron Beam Lithography

127

p = υe-.me = 1.497×10–22 kg.m.s-1 Δp = 1.497×10–25 kg.m.s-1 hence, the position uncertainty: Δx 100 kV =

h 6.63 × 10−34 = = 0.7 × 10−9 m 2πΔp 2π.1.497 × 10−25

0.7 nm is a much lower value than the dimension of the patterns to be written but it is close to the desired level of line edge roughness (LER)! EXAMPLE: At 5 kV, the electron’s speed equals 41,633,437 m.s-1. If known to a precision of 0.1%, it leads to a position uncertainty of: Δx|50 kV = 2.8 × 10-9 m At 2.8 nm, precision does not meet the requirements for LER, expected to be 1.8 nm for the 22 nm node! 3.8.2. Resist-related limitations When the electron beam reaches the target, it deposits a charge given by the current multiplied by the exposure time. The resists used in e-beam lithography are rather characterized by their sensitivity, expressed in Coulombs per square centimeter: S=

e.N e (C/cm 2 ) A

[3.4]

where e is the electron’s charge, Ne the number of electrons, and A the exposed area. It is considered that the positioning of each exposed surface is controlled by the equipment down to the scale of a subnanometric pixel. It is supposed that the resist has a binary behavior, in other words, the resist at the pixel scale is either totally exposed or not at all. Thus, theoretically, the smallest resolved feature is the pixel. By defining a square pixel of width lp, the minimum number of electrons that must reach the target in order to imprint a resist of sensitivity S can be deduced: N min =

S .l p 2 e

[3.5]

128

Lithography

which shows that resolution and sensitivity must reach a compromise since their product is fixed by Nmin. Moreover, the collection of electrons follows a Poisson probability distribution which applies to low-occurrence and constant events [TSO 95]. For a source current of a few amperes, a very stable beam current of a few nanoamperes can be obtained. The standard deviation of a Poisson distribution is given by N and the signal-to-noise ratio (shot noise) then equals N N = N . It can be admitted that the resist is exposed when this ratio is equal to or greater than 10, which indicates that the minimum number of electrons for exposing a pixel must be of about Nmin = 100. The minimum width of the pixel for a given sensitivity can be defined as: lp =

N min e D

[3.6]

EXAMPLE: For S = 10 µC/cm2:

lp =

100 × 1.6 × 10−19 10 × 10

−6

= 1.26 × 10−6 cm

The resolution-sensitivity compromise of the resist clearly appears since a pixel smaller than 12.6 nm cannot be exposed for certain with a 10 µC/cm² sensitivity. With a 100 µC/cm² sensitivity, the resolution limit decreases to 4 nm. It should be acknowledged that the smallest pixel on tools such as a 100 kV Gaussian beam allow the beam to be positioned with a 0.5 nm precision. In other words, the writing error probability of a pixel that receives 99 electrons (instead of the standard 100 received on average) can be deduced: Pn =

mn − m 10099 −100 e Pm =100 (n = 99) = e ≈ 4% 99! n!

In this approach, it is considered that the resist has a binary behavior. In practice, the resist has a continuous contrast curve which gives a full exposure with N1 electrons, whereas the charge must be lower than N0 electrons in order to obtain a non-exposed zone, with N0 < N1; see Figure 3.21. To get the probability for a pixel not being exposed properly, the probability that the resist receives between N0 and N1 electrons must be taken into account.

Electron Beam Lithography

129

Figure 3.21. Schematic representation of a contrast curve. The contrast is defined as the slope between N0 and N1 doses

In cases where N1 = 100, N0 = 90 and N1 = 100, N0 = 95: Pm = 100 (90 ≤ n < 100) = Pm = 100 (n ≤ 99) – Pm = 100 (n ≤ 90) = 0.49 – 0.17 = 32% Pm = 100(95 ≤ n < 100) = Pm = 100 (n ≤ 99) – Pm = 100 (n ≤ 95) = 0.49 – 0.33 = 16% Thus, the contrast of a resist and the concept of shot-noise are interdependent. The resist contrast rise reduces the writing error probability and consequently enhances the resolution. 3.8.3. Limitations linked to tooling and electronic optics

The electron optics column is the heart of e-beam lithography. For any system, it includes an electron source (the cathode), focus lenses, a beam-interrupting device (a blanker) and deflection devices for scanning of the beam. Each of these elements contributes to the electron beam formation (the spot) that bombards the target. Ideally, the electron gun produces a source spot, the “crossover”, after which a series of lenses shapes the crossover’s image on the target. For a system with aberrationfree lenses, the size of the spot equals the size of the crossover divided by the optical

130

Lithography

system’s magnification factor. In these ideal conditions, the current density in the spot is the exact copy of the one in the source. In actual conditions, systems are far from being perfect and have aberrations that degrade the optimal dimension of the image formed on the target. The size of the spot is limited by three physical phenomena involved in charged particle transport (see Figure 3.22): spatial charge, chromatic aberrations, and spherical aberrations.

Figure 3.22. Cross-section schematic of an electron gun showing the electron extraction trajectories and the crossover. Top right: an illustration of chromatic aberrations. Bottom right: an illustration of spherical aberrations

3.8.4. Diameter of the crossover

At the cathode, the density of extracted current Jc under the potential V is limited by the space charge. Indeed, the charge of the electrons emitted at the cathode’s surface makes the extraction potential drop. This current, called space-chargelimited current, is described by Child’s equation [CHI 11] in the simple case of planar electrons. The expression of current density can be obtained by integrating Poisson’s equation for a constant and uniform field: |E| = V/d:

∂ 2V ∂x

2

=

ρ ε0

Electron Beam Lithography

where ρ, the density of charge, is associated with the current density by ρ =

v=

131

J and v

2e 12 V (where v is the electron velocity). It is admitted that the electron m0

velocity at the cathode equals zero:

Jcsp =

2ε0 d

2

2e 3 2 V m0

[3.7]

where m0 is the electron’s mass, e its charge, V the extraction potential and d the distance between the cathode (starting point of the electrons) and the anode (the extraction electrode). In practice, the electron velocity is not zero at the cathode and, depending on the type of emitter (thermionic cathode or cold cathode), the space-charge limit can change. For thermionic cathodes, the current density is limited by the space-charge at 1010 A/m², whereas for a field emission the limitation occurs only from 1011 A/m² [CON 98]. In addition, the electrons emitted at the cathode have an energy distribution that depends on the emitter’s surface and on the physical principle of emission: thermionic, field effect, etc. This results in a Maxwellian probability distribution:

( 3 2πkT )3/ 2 exp ⎡⎣−eV kT ⎤⎦ for the velocity and direction of the emitted electrons, which reach the crossover with a current density that is non-uniform but has a rather Gaussian radial distribution. This phenomenon limits the dimensions and density of the beam straight from the emission. The Langmuir equation [LAN 37] describes the maximum current density Jmx that can be reached by focusing the beam with a convergence half-angle α:

⎛ eV ⎞ eV J mx = J c ⎜1 + 0 ⎟ sin 2 α ≈ J c 0 α 2 kTc ⎝ kTc ⎠

[3.8]

where V0 is the acceleration potential of the electrons, k is the Boltzmann constant, Tc is the cathode temperature and Jc is the current density at the cathode.

132

Lithography

For a Gaussian distribution of the current density, J = J0exp[–r/σ]². For practical purposes, the Gaussian distribution is considered to be equivalent to a uniform beam 2 of current density J0 and of diameter dG with I = 1 4 πJ0dG . Considering as a first approximation that 80% of the current is contained within the beam of diameter dG, the following expression can be written using the Langmuir equation:

J mx =

0.8I 0

eV0 2 α kTc

[3.9]

1 I0Tc (mksa) α J cV0

[3.10]

1/ 4πdG

2

≤ Jc

hence:

dG ≥ 10−2

NUMERICAL APPLICATION: In order to evaluate the typical dimension of the crossover, LaB6 cathodes are the most commonly used sources, typical emitting values for which are about 106 A/m² at 2000 K for a 1nA current at 100 kV, with a 5° convergence. Thus:

dG ≥ 10−2

1 10−9 × 2000 ≈ 1 nm 0.044 106 × 100.103

Hence, the optical focus system adds a contribution to the beam’s diameter, related to optical aberrations and to the space charge during the beam’s transport. 3.8.5. Optical geometrical aberrations

Optical geometrical aberrations are linked to the imperfections of the lenses and to the geometrical spreading of the beam, which is supposed to be paraxial. The aberrations induce the beam’s enlargement in relation to its position and the convergence or divergence angle of the trajectories from the optical axis. The smallest diameter spot at the target, called the “disk of least confusion” or the “aberration disk” can be expressed with the divergence angle as: d ∝ Cαn

[3.31]

where n = 1, 2, 3 and C is the aberration constant considered here. For revolution symmetry systems, the even terms cancel each other out for geometrical aberrations. The various third order terms, which are negligible in Gaussian optics, lead to the

Electron Beam Lithography

133

exhaustive development of 6 coefficients in Cartesian coordinates, which are categorized into 4 groups for optical systems with a cylindrical symmetry. Spherical aberrations, which are the more significant, are induced by the variations of lens strength as a function of the distance of the trajectories from the optical axis (see Figure 3.22). The dimension ds of the spot associated with spherical aberrations is given by: d s = 1 2 Cs α3

[3.12]

where Cs is the spherical aberration coefficient. It is proportional to the focal length f with a coefficient Ks which depends on the excitation of the lens (whether magnetic or electrostatic) and its geometry: Cs = Ks.f. The coefficient Ks generally varies between 0.5 and 1.5 for strong lenses [BRE 80]. In practice, spherical aberrations of electron guns are reduced by placing a diaphragm before or after the lens in order to limit its aperture, which reduces α but also reduces the current density that can be focused at the target; see the Langmuir equation. Coma aberrations are related to the terms proportional to position r and to the square of the angle α2, such that: dcoma ∝ Ccoma .rα2 .

The curvature of the field and astigmatism are proportional to r² and α and the distortion of the spot is proportional to r3. The detailed description of aberration calculations for electron guns is given in the reference books [GRI 55, HAW 88]. 3.8.6. Chromatic aberrations

The chromatic aberrations of a lens are the result of focal distance variation and the energy of the particles going through the lens (Figure 3.22). This effect can easily be understood with a magnetic lens for which the Lorentz force is expressed for a charged particle as F = ev × B, where v is the particle’s speed. The diameter of the disk of least confusion associated with chromatic aberrations is given by the equation below, depending on the lens type (whether magnetic or electrostatic):

⎛ 2ΔB ΔV ⎞ dc = Cc α ⎜ − ⎟ V0 ⎠ ⎝ B0

[3.13]

134

Lithography

where Cc is the chromatic aberration coefficient, associated with the focal length of the lens by Cc = Kc.f where Kc varies between 0.75 and 1 [BRE 80] depending on the lens type and geometry. In their description, geometrical and chromatic aberrations of charged particle optics are fully equivalent to aberrations described in photonic optics manuals. For a charged particle beam, the repulsion exerted by the beam’s particles on one another must be taken into account as well, which has no equivalent with photons. 3.8.7. Space charge aberration

The repulsion of the beam’s particles produces a diverging effect that is strongest when an attempt to focus the beam is made. From a simple model considering the beam as a cylinder, the current density ρ of which is constant, the divergence angle α of the beam covering a distance L can be estimated, as well as the beam expansion Δr/r. The aperture of the beam can be attributed to the radial field Er generated by the volume charge density of the cylinder: Er =

ρr I with ρ = 2ε 0 π.r 2 vz

vr The divergence angle α is: α z = L = v . z

vr is expressed using Newton’s equation: eEr = mγr vr =

e Er .t , t is eliminated considering t = L/vz then for relativistic electrons: m

vz = c

V 2 + 2VU0

e

c2

and m = V + U where c is the speed of light, U0 the energy of 0

V + U0 the electron at rest and V the acceleration potential of the electrons.

e L (V + U 0 )2 I L Hence α z = L = m Er 2 and α z = L = vz 2πε0 r c (V + 2VU )3 / 2 0

[3.14]

Electron Beam Lithography

135

In this case, the divergence is supposed to be constant along the beam. The general case can be expressed by eliminating the time from Newton’s equation and by integrating the differential equation along the optical path [GRI 55]. It will be noted that αr = Const, which confirms that the more focused the beam, the more it diverges. By taking into account the relativistic effects, it can be shown that when V is high, the beam divergence equals zero. At relativistic energy levels, the electrostatic repulsion is balanced by an electromagnetic attraction. The expansion of the beam can be expressed as:

Δr α = . L 2

2 (V + U 0 ) Δr I ⎛L⎞ = ⎜ ⎟ r z = L 4πε0 ⎝ r ⎠ c (V + 2VU )3 / 2 0 2

[3.15]

3.8.7.1. Calculations for electrons In the case of a cathode ray tube: I = 1 mA, r = 1 mm and L = 50 cm L/r = 500 at 30 kV. Δr r

9

z=L

= 9.10 × 10

−3

2

× (500) ×

8

(

(3.104 + 5.105 ) 4

2

)

5 3/2

4

3.10 3.10 + 2 × 3.10 .5.10

= 41 %

In the case of an e-beam: I = 1 nA, r = 50 nm, L = 10 mm (distance between the focus lens and the substrate) at 100 kV: Δr r

z=L

= 9.109 × 10−9 × (2.105 ) 2 ×

8

(

(105 + 5.105 ) 5

5

2

)

5 3/2

3.10 10 + 2 × 10 × 5.10

= 1.4 %

At 5 kV the same beam would have a space charge expansion of 88%! In general for electron beam lithography, beam expansion by the space charge is not the limiting factor for the size of the spot, since the currents are low and the acceleration tensions are higher than 50 kV. In the end, the effects that limit the spot’s diameter are added to each other. It can be estimated that they are statistically independent and that the size of the spot can be considered as the quadratic sum of each effect, as a first approximation.

136

Lithography

At low currents, for a column optimized with a field emission cathode, which limits the energy scattering from the electrons, the main effects that limit the size of the spot are the spherical and chromatic aberrations caused by the transverse component of the electrons’ momentum. 3.9. Electrons energy loss mechanisms

The energy loss of one electron bombarding atoms depends on the path it takes and on the interactions it has with the atomic electrons or the atomic nuclei of the target. As the electrons have a charge, the interactions are mostly Coulombian. The radius of the nucleus being of about 10-14 m, whereas the atomic radius (the electron cloud around the nucleus) is of 10-10 m, it can be considered that the ratio between the number of electron–electron interactions and the number of electron–nucleus interactions is: 2 ⎛ 10−10 R Number of electron – electron interactions = atom = ⎜ Number of electron – nucleus interactions Rnucleus 2 ⎜⎝ 10−14

2

⎞ ⎟ = 108 ⎟ ⎠

This simplified approach shows that the energy loss is mostly due to collisions with the atomic electrons. However, collisions with the nucleus lead to an elastic scattering, in other words without any energy loss but with strong trajectory disturbance. Altogether, the electron–matter interactions come down to three processes: – elastic scattering on the nuclei (Mott scattering, or modified Rutherford scattering); – inelastic scattering of the atomic electrons (Möller scattering); – inelastic scattering on the nuclei (Bremsstrahlung). 3.9.1. The notion of cross-section

The interaction between a particle beam and a target is examined from data observed experimentally: the incident particle flux and the flux of particles that are scattered when the target is thin enough to be crossed. As it is not possible to send the incident particles one by one, the projectile is generally a particle beam. The target also contains a large number of atoms or molecules. In this case, which atom interacts with which incident particle at which moment cannot be known. The observable results are statistical and only the probability for an interaction to induce a certain type of reaction can be measured.

Electron Beam Lithography

137

The observable results are characterized by the number of particles having undergone a collision that induced deviation or destruction of the projectile, so that it disappears from the incident beam. As it exits the target, the intensity of the scattered beam can be experimentally measured and compared to that of the primary beam. Consider Nproj, the number of projectiles sent to the target, forming a cylindrical beam of cross-section S; this beam cuts out a volume in the target containing Ntarget target atoms. In the simplified case where the incident projectiles are uniformly distributed in the beam, the number Ncol of those undergoing a collision is proportional to the volume of the Ntarget atoms, each of cylindrical section σ. In this case, the collision probability equals Ncol/Ntarget, which equals the ratio of the volume of all Ntarget atoms (cylindrical) and that of the beam, meaning the ratio of their cross-sections: σNtarget N col σ = ⇔ N col = N proj .Ntarget N proj S S

[3.16]

In order to better match the experimental conditions, the collision probability of one target can be expressed as a function of the flux Dt (number of projectiles per unit time t) or as a function of the flux Φ of the beam (number of projectiles per unit cross-sectional area):

Ncol N proj = σDt = σΦ The simplified case mentioned above, called a “hard sphere model” [CAG 75], does not correspond to any actual physical situation. This approach allows the notion of reaction probability for all cases of particle colliding to be generalized, for a given proportionality coefficient called cross-section, which has the dimensions of an area. The “mean free path” can also be described as the mean distance covered by an incident particle between two consecutive collisions, as a function of the crosssection, expressed by the relation l = 1 nbσ where nb is the number of target particles per unit area. NOTE: In experimental setups for atomic physics, cross-sections are measured with very thin targets of a few microns. For nuclear physics, cross-sections of about 1 barn = 10-24 cm² are obtained. For the interaction between photons and atoms, cross-sections have much higher values of about 10-12 cm². The hard sphere model gives a representation that enables the practical meaning of the cross-section to be imagined, but the values obtained should not at all be compared to the dimensions of the particles.

138

Lithography

In practice, only some of the scattered particles are measured. Detection is limited in space by the detector, which covers a solid angle dΩ with an angle θ from the direction of the beam (dΩ = 2π.sin θ.dθ). Therefore, the ratio of measured scattered particles is proportional to the differential cross-section: dσ(θ)/dΩ. This is precisely the theoretical quantity sought in order to know which parameters influence the number of particles scattered with an angle θ. 3.9.2. Elastic scattering on the nuclei

A small part of the electrons undergo elastic collisions with the atomic nuclei. By definition, the incident electrons do not lose energy and can be deviated over angles greater than 90°, until they come out of the target from the side they first came in. Rutherford elastic scattering applied to non-relativistic electrons gives the differential cross-section as: 2

⎛ Ze 2 ⎞ 1 ⎛ dσ ⎞ =⎜ ⎟ ⎜ ⎟ 4 ⎜ ⎟ ⎝ d Ω ⎠ RUTH ⎝ 4πε0 E0 ⎠ sin θ 2

[3.17]

where Z is the atomic number of the target, e the charge of the electron, E0 the energy of the incident particle, and ε0 the permittivity of vacuum. For small scattering angles (< 90°), the target nuclei can be considered as points and for non-relativistic energies, the Rutherford model applied to electrons is well verified. To describe the elastic scattering of the electrons at high energy and a large angle, both the effects of the electron’s spin and recoil of the nucleus for light atoms must be taken into account. This more complete description of the cross-section was submitted by Mott in 1933 [MOT 33], in which the numerator represents the contribution of spin and the denominator represents the contribution of the recoil of the target nucleus: ⎛ ⎜ cos 2 θ 2 ⎛ dσ ⎞ ⎛ dσ ⎞ =⎜ ×⎜ ⎜ ⎟ ⎟ ⎝ d Ω ⎠ MOTT ⎝ d Ω ⎠ RUTH ⎜ (1 − cos θ ) E0 ⎜1+ M ⎝

M = target mass >> electron mass

⎞ ⎟ ⎟ ⎟ ⎟ ⎠

[3.18]

The correction brought by Mott brings forward the significant relativistic effect of the relativistic and spin contributions at small and large angles, as well as for high atomic mass targets (which is not the case for silicon). In addition, it should be noted

Electron Beam Lithography

139

that Mott’s correction is efficient for sub-relativistic electrons, from 10 keV to 50 keV [IVI 03]. Finally, as noted by Mott himself, these expressions are obtained for an interaction between incident electrons with atomic nuclei for which the approximation by a Coulombian potential V(r) in the form V (r) ∝ 1 r is well verified for high speed electrons only. For average speed electrons (< 30 keV), atomic fields calculated with approximated or numerical methods must be used. It is then no longer possible to express the cross-section in the form of an analytical function, which becomes an issue for Monte Carlo-type numerical simulations (see section 3.9.6). In the electron beam application, the contributions of elastic scattering at angles close to 0° and 180° turn out to be greater for the calculation of the ratio of electrons backscattered towards the resist. Although they are a minority, the elastic scatterings are decisive in the calculation of the space distribution of the energy deposited by the incident beam. 3.9.3. Inelastic electron–electron collisions

3.9.3.1. Continuous braking The inelastic collisions between primary and atomic electrons are the result of different processes that can be taken separately: the emission of secondary electrons, continuous braking radiation or Bremsstrahlung, or plasmon excitation. When traveling through matter, the primary electron transfers some of its energy during many collisions with the atomic electrons encountered. The interactions are Coulombian and the energy loss along the path is comparable to a momentum transfer. The energy loss –dE along the path ds, qualified as stopping power (–dE/ds), is commonly expressed by the Bethe formula applied to the electron: 12



dE 4πNZ e 4 ⎛ 4E ⎞ = . ln ⎜ 0 ⎟ 2 ds ( 4πε ) 2 E0 ⎝ I ⎠ 0

[3.19]

where N is the number of atoms per unit volume, Z is the atomic number, E0 is the energy of the incident particles. I is the mean ionization energy, empirically defined according to [BER 83] as I = (9.76 + 58.8 Z–1.19) Z, true for Z ≥ 13 in eV. A simplified expression can sometimes be found, giving: I = 11.5 Z in eV.

140

Lithography

A more rigorous quantum treatment and a more adequate consideration of the relativistic effect for 50–100 keV electrons lead to the modified expression of BetheBloch:



dE 4πNZ e4 = . ds ( 4πε )2 2 E0 0

⎡ ⎛ 4 E0 ⎞ 2 2 ck ⎤ ⎢ln ⎜ ⎟ − ln(1 − β ) − β − Z ⎥ I ⎠ ⎣ ⎝ ⎦

[3.20]

where β = v/c and the parameter ck is a correction term depending on Z and on the energy when the complete structure of the matter’s nuclei (multilayer model) is taken into account. This formula is generally used in Monte Carlo simulation software. It actually derives from an expression initially calculated for heavy projectiles, such as alpha particles (He2+). Applied to electrons, the Bethe-Bloch formula must still be modified in order to take into account the small mass of the incident particles which changes their trajectories when they collide, which is not the case in the initial Bethe model, but also in order to consider the fact that the collisions occur between two identical particles that cannot be differentiated after the impact. In particular, these two reasons modify the fact that the maximum energy transferred by the projectile cannot be higher than E0/2. Therefore, the Bethe-Bloch formula comes down to the expression of the Möller scattering: dE 4πNZ e 4 . − = ds ( 4πε )2 2 E0 0

⎡ ⎛ ⎢ ⎜ τ2 ( 2τ + 1) ⎢ln ⎜ 2 ⎢ ⎜ 2 I m c2 e ⎢⎣ ⎝

(

)

⎞ ⎟ ck ⎟ + F ( τ) − Z ⎟ ⎠

⎤ ⎥ ⎥ ⎥ ⎥⎦

[3.21]

with τ the kinetic energy of the electron expressed in mec² units and:

F (τ) = 1 − β2 +

τ2 8 + (2τ + 1) ln 2 (τ + 1)2

[3.22]

Thus, the slowing down of the incident electrons is very significant as it can lose up to 50% of its initial energy. The fine considerations on energy loss in electron– electron collisions show that the path length can be affected by strong fluctuations, which can directly be transferred to the energy deposited in the resist. However, this energy loss mechanism is not sufficient to properly predict the distribution of energy deposited on the target. The production of secondary “fast” electrons that add to the incident particles must be taken into account as well. The energy of these fast secondary electrons can range from 1 to 10 keV along the path.

Electron Beam Lithography

141

3.9.3.2. Energy loss by fast secondary electron emission In this case, the contribution of all the inelastic electron–electron scatterings can come down to an expression of the stopping power, defined as: ⎛ dE ⎞ ⎛ dE ⎞ ⎛ dE ⎞ −⎜ ⎜ ⎟ =⎜ ⎟ ⎟ ⎝ ds ⎠res ⎝ ds ⎠ Bethe ⎝ ds ⎠sec

[3.23]

where (dE/ds)res is the residual stopping power obtained after subtracting the contribution of ionization and the emission of fast secondary electrons associated with the original Bethe equation. Different expressions of the differential cross-section per electron for fast secondary electrons can be found. Mott [MOT 30] gives an expression obtained from quantum mechanics for free electrons:

e4 4π ⎛ dσ ⎞ = ⎜ ⎟ 2 2 ⎝ dε ⎠sec−M (4πε0 ) 2E0

⎡1 2τ + 1 1 ⎤ 1 ⎛ τ ⎞ +⎜ ⎟2 − ⎢ 2+ 2 (1 − ε ) ⎝ τ +1 ⎠ (τ +1)2 ε (1 − ε )⎥⎦ ⎣ε

[3.24]

with ε being the energy transfer ΔE normalized to E0. In the final state after the collision, the energy exchange ε and 1−ε of the two electrons cannot be told apart. For practical reasons, it is admitted that the electron with the highest energy is the incident electron. Under these conditions, ε ranges between 0 and 0.5. For bound electrons, Grysinsky [GRY 65] submitted a semi-empirical model that defines the cross-section by taking into account the ionization energy εln normalized by E0: 4π e4 ⎛ dσ ⎞ = (1 + εln )−3 / 2 (1 − ε )εnl ( εnl +ε ) ⎜ ⎟ ⎝ d ε ⎠sec −G ( 4πε0 )2 2 E02 1/ 2 ⎞ ⎤ ⎡ ⎛ ⎢(1 − εln ) + 4 ε nl .ln ⎜ 2, 7 + ⎜⎛ 1 − ε ⎟⎞ ⎟ ⎥ ⎢ ⎜ 3 ε ⎝ ε nl ⎠ ⎠⎟ ⎥⎦ ⎝ ⎣

This expression tends towards:

( d σ d ε )sec−G =

2πe4

( 4πε0 )

1 2

2

ε E02

[3.25]

142

Lithography

as εnl tends towards zero, in other words, when the electron is free from any atomic bond. The Grysinsky model gives a cross-section value 1 to 3 times as high as Mott’s models for an energy range between 1 and 100 keV. Moreover, the Grysinsky model allows an energy transfer ε > 0.5 since the primary and secondary electrons can be differentiated. The introduction of these two models in Monte Carlo simulations shows a good matching between simulation and experimental results for describing the energy space distribution in the target. The Grysinsky model brings an extra 3–5% deposited energy compared to the Mott model [IVI 03]. Above all, it has the drawback of considerably slowing down calculations, since the number of generated secondary electrons is higher. 3.9.4. Electromagnetic braking of electrons: Bremsstrahlung

As an electron undergoes acceleration (or deceleration), it emits a continuous spectrum of electromagnetic radiation. This phenomenon remarkably contributes to the energy loss of the electrons. This continuous braking radiation, called Bremsstrahlung, adds to the emission of the quantified radiation of a ray spectrum characteristic of the elements in the target. The flux Φrad of the continuous electromagnetic radiation is expressed as a function of the incident electron’s energy loss along the electron path by the BetheHeitler formula [BET 34]: ⎛ dE ⎞ −⎜ = NE0 Φ rad ⎟ ⎝ ds ⎠ rad

[3.26]

⎛ 2 E0 1 ⎞ ⎛ dE ⎞ −⎜ = 4 E0 NZ 2 re 2 α ⎜ ln − − f (Z ) ⎟ ⎟ 2 ⎜ ⎟ 3 ⎝ ds ⎠ rad ⎝ me c ⎠

[3.27]

where re = e2/4πεmec² is the standard electron radius and α = e2/2εhc ≈ 1/137 is the fine-structure constant, f(Z) is a correction function that takes into account the Coulombian interaction between the electron and the electric field of the nucleus. As a first approximation, it can be admitted that f(Z) = 0. It is interesting to compare the Bethe equation for continuous braking with that of Bremsstrahlung radiation. The first, describing collision energy loss, varies logarithmically with E0 and linearly with Z, whereas radiation energy loss increases almost linearly with E0 and quadratically with Z. Braking radiation energy loss becomes predominant for

Electron Beam Lithography

143

energies of a few MeV. In energy ranges applied to electron beam applications, the radiation energy loss represents less than 1% of the collision energy loss for a 100 keV primary beam. 3.9.5. Energy distribution in the resist

With these numerous scattering processes, the electrons bombarding the resist deposit energy far deeper than the thickness of the resist, and therefore mainly in the substrate, when the energy of the incident beam is greater than 10 keV. Figure 3.23 shows the electron trajectories obtained by Monte Carlo simulation for various scattering phenomena, for 200 electrons ranging from 5 keV to 100 keV.

5 kV

50 kV

5 kV

50 kV

Figure 3.23. Monte Carlo simulation of the trajectories of 200 electrons scattered in a 100nm PMMA film on a silicon substrate. Comparison at 5 kV and at 50 kV. The trajectories of backscattered electrons exiting the target appear in bold

144

Lithography

The trajectories of the backscattered electrons (those that return to the target’s surface) are represented by bold lines. The other trajectories correspond to scattered electrons with different energy loss; an electron stops when its energy is lower than 50 eV. The distribution of the primary electrons in the resist is not easy to identify due to their high density and the low scattering. However, the dispersion of the electrons backscattered far from the impact spot is more visible. It can be seen that the trajectories coming from the multiple scattering processes are chaotic and that the energy lost into the resist is highly dependent on the electron’s trajectories, themselves being functions of the material, the material’s thickness, the energy of the primary beam and the scattering process involved. Predictive modeling of the space energy density absorbed by the resist cannot avoid a numerical simulation of the electron trajectories. Given the large number of electrons involved (typically 62,500 electrons for a 100 nm wide square in a resist of 100 C/µm² sensitivity), the Monte Carlo numerical simulation is well suited. The major part of the energy locally deposited in the resist comes from the primary beam electrons. But the electrons backscattered from the substrate also deposit some energy, which can be found very spread-out, up to 30 µm for a 100 keV primary beam. This contribution to the total energy absorbed by the resist is not negligible, all the more so that it depends on the number of close patterns exposed by the primary beam. This is called the proximity effect. As a consequence, the number of electrons needed to print a pattern (in other words, the exposure dose) varies depending on the pattern’s width. A thin line requires more electrons to reach the same dose as a more spread-out pattern: this is known as the short distance proximity, or intraproximity, effect. The backscattered electrons are also responsible for the energy deposited between the exposure patterns, which is called the medium distance proximity, or interproximity, effect. Proximity effects and their treatment will be addressed in detail in section 3.10.3. 3.9.6. Monte Carlo simulation

The Monte Carlo simulation method is generally used for calculating the energy density deposited in the target by the different processes of electron backscattering, secondary electron emission or X-ray emission. The Monte Carlo method offers a better precision than approximate analytical models but requires heavy calculations with long computing time and high computer storage capacity, all the more so as any modification of parameter, material, resist thickness or beam energy requires a whole new computation. In order to obtain a high level of precision, a large number of electron trajectories have to be simulated, typically between 100 and 10 million, and a few to a few dozen hours on a 2 GHz CPU workstation are to be expected.

Electron Beam Lithography

145

The principle of the Monte Carlo technique consists of calculating the angular deflection and the energy loss of each individua1 electron as it penetrates the matter. The scattering angle of the projectile is chosen by a random number weighted by the differential cross-section of the accounted phenomenon: elastic impact or energy loss obeying the processes previously mentioned. The distance between two events is calculated from the mean free path, which is also a function of the cross-section of the physical phenomenon involved. The target is divided into elementary volumes for which the energy deposited in it and the electrons’ path are recorded. The elements that constitute the target are modeled by applying a mixing law that depends on the atomic mass composition of the material. The accuracy of the calculations is highly dependent on the physical models used for the differential cross-section, and on a trade off between the number of particles to which the calculations are applied and the target’s meshing, so that the statistical error is minimized. In this case, precision obeys a Poisson distribution law and increases as a function of the square-root of the number of trajectories. In all cases, the simulation of electron–matter interactions is essential in order to predict the energy transfer in the resist and therefore the pattern writing on the substrate. The reduction of critical dimensions down to a few nanometers for increasingly dense shapes requires the modeling for predicting the corrections needed for short distance proximity effects to be improved. The models described above, which are widely used in Monte Carlo codes, are limited in order to predict low energy interactions below 1 keV. Consideration of the short distance effect correction (< 100 nm) requires a semi-empirical approach wherein experimental data complete the deficiencies of the theoretical models. 3.9.6.1. Monte Carlo software A large number of Monte Carlo-type software for modeling electron–matter interactions can be found. Some free products enable accurate calculations but are more or less suited for generating results usable for e-beam lithography. Some others, which must be paid for, are more or less dedicated to e-beam lithography and offer results that are directly usable for dose modulation by exposure tools. A number of examples of Monte Carlo software are discussed below. 3.9.6.1.1. Freeware Casino (http://www.gel.usherbrooke.ca/casino/) was developed by Dominique Drouin and Pierre Hovington under the supervision of Raynald Gauvin from the department of mechanical engineering at Sherbrooke University, Canada. The Casino software works under Windows and Unix systems and enables the calculation of backscattered electron trajectories and X-rays for 2D multilayer samples. Its interface is user-friendly and it is also suitable for microscopy. The

146

Lithography

supplier leaves a choice in the physical models used for simulation and clearly states its content. MCNP (http://mcnp-green.lanl.gov/) is an N Particles Monte Carlo software that can be used with neutrons, photons and electrons. Initially developed for nuclear applications (radioprotection, dosimetry, medicine, fission, particle accelerators, etc), it enables 3D modeling with a free configuration of inputs and outputs. The coding is not very user-friendly and requires programming models under a dedicated code. The supplier gives full details about the physical models used for simulation. 3.9.6.1.2. Shareware Sceleton (www.synopsys.com/Tools/Manufacturing/MaskSynthesis/CATS/Pages/ ProximityEffectCorrection.aspx) is distributed by the Synopsys company, who specialize in mask conception software for lithography. Sceleton is a Monte Carlo program that works under Unix and Linux and for 2D design; however, it is not very user-friendly and limited in terms of proximity effect correction with other Synopsys software (Cats and Proxecco). Inputs and outputs are handled as text files. The supplier does not provide the physical models used for simulation. Chariot (http://www.abeamtech.com/) is a software dedicated to Scanning Electron Microscopy (SEM) and e-beam lithography. It is a user-friendly software that enables 3D simulation. 3.10. Database preparation 3.10.1. Database preparation process

Preparation of e-beam lithography databases mainly consists of fracturing and transforming the layout or “design” provided by the designers, into a format that the exposure tool can understand. Fracturing is the segmentation of the patterns into elementary squares, rectangles, triangles (tilted at 0 or 45°) or points (pixels) depending on the lithography tool. It also consists of fragmenting the layout into fields and subfields, which correspond to the range of motion or deviation of the exposure tool. This segmentation into elementary structures is required since exposure tools usually work only with these basic features. A tool is needed to transform the layouts into a format likely to be understood by the Electron Beam Direct Write (EBDW) equipment. This work is mainly achieved with the help of software such as Cats®. The general procedure is as follows (see Figure 3.24): – design reading: the complete design of a given product layout is exported under a GDS, GDSII, CIF or OASIS format by the designers. Different operations are carried out at this step, such as selection of part of the design, combination of

Electron Beam B Lithograpphy

147

several GDS G files by Boolean B operaations, or mod dification of th he original dimensions of the deesign; – pro oximity effectt correction (o optional): thiss step is requiired to compeensate the contributtion of the to otal energy lo ocally absorbeed by the resiist and induceed by the backscatttered electron ns coming frrom nearby patterns. p Prox ximity effects are thus corrected d by attributiing dose factors to elemen ntary surfacess, taking intoo account parameteers such as their dimensiions and, above all, the environment of each structuree. Therefore, during d this sttep, the structtures of the design can be split into elementaary surfaces that t will receeive specific dose d factors that t corresponnd to the dose req quired for the resist r exposure; – fraacturing: the software pro oceeds to thee segmentatio on of the inpput files’ structurees into elemeentary geomeetrical shapess: squares, reectangles, triaangles or points; ool has its ow wn writing method m (differrent field andd subfield – forrmats: each to sizes, orrder of exposu ure of the geo ometrical elem ments, etc.), hence h each haas its own format for fo the input data. d Thereforee, during this step, the dataa are convertedd into the appropriiate formats thanks t to sofftware usually y provided by b the supplieer of the exposuree tool. The com mmon formatts are: MEBES S, JEOL, JES,, BEF, VB, etcc.

Figure 3.24. General descrription of the da atabase preparaation process

148

Lithography

These steps represent the general flow of database preparation for shaped beam tools, mostly used for mask fabrication and direct write lithography. A similar flow is used to prepare the database for cell projection e-beam lithography and multibeams. In the case of Gaussian beam tools, this flow is replaced by a pixelation of the features. Figure 3.25 compares a Gaussian beam and a shaped beam system. The choice of pixel size is important. A good compromise is to take half the size of the beam as the pixel size. A large pixel will reduce the write time, whereas a small pixel will improve dimensional control.

Figure 3.25. Comparison of Gaussian beam and shaped beam systems

3.10.2. Input formats

There are several kinds of design formats, the most common of which are described below. 3.10.2.1. GDSII Graphic Data System II (GDSII) is the most common format. It was developed by Calma in 1978 and is now the property of Cadence® (http://www.cadenceeurope.com). It is the conventional exchange format between designers and integrated circuit makers. It is by far the most stable, comprehensive and the most used format in lithography. The GDSII format is a binary file that contains a hierarchical library of the structures organized in “cells”. These cells contain information such as the dimensions of the rectangles and polygons that constitute the design, the rotation of the blocks and with the possibility of adding an annotation via a text.

Electron Beam Lithography

149

The hierarchy of a GDSII file comes in the following form: cells called “instances” contain the basic patterns repeated in the design. The design itself is just a series of references to these instances. In addition, each GDSII file contains 256 “layers” and dose levels called “datatypes” for each layer. A layer represents a mask or an e-beam lithography step. 3.10.2.2. CIF Caltech Intermediate format (CIF) is a much simpler format than GDSII. Its advantage is that it can be read from a simple ASCII character file. The file has roughly the same structure as a GDSII format. The cells are numbered and have no name. However, there is no datatype and it is not possible to build arrays from instances. This type of file is mostly used in universities. 3.10.2.3. Oasis Open Artwork System Interchange Standard, or Oasis, format is the optimized version of the GDSII format. Published in 2004 by SEMI, the major advantage of this format is that it considerably reduces the size of the files. An Oasis file can be distinguished from a GDSII file by the following features: – reduction of the coordinates of the polygons, and thus, a reduction in terms of memory and allocated bytes to each recorded coordinate; – unlimited number of layers, whereas GDSII only has 256 levels; – optimization of repeated cells (regular or irregular distribution of cell array); – optimization of repeated geometries; – cell compression. Depending on the complexity of the structures, an Oasis file can be 10 to 20 times smaller than a GDSII file. Despite these multiple advantages, the OASIS format is scarcely used (less than 1% of the files exchanged between designers and integrated circuit and mask manufacturers are in Oasis). 3.10.3. Proximity effects

3.10.3.1. Origin of proximity effects Electron beam lithography makes it possible to achieve very fine features of a few nanometers. This capacity is mainly due to the theoretical wavelength of an electron of a few picometers (see section 3.8). With such a small wavelength there is no diffraction issue, whereas the main limitation of optical lithography is light

150

Lithography

diffraction. However, some problems appear in e-beam lithography as the electrons interact with matter. Radial Position (nm)

Depth reached into the resist/substrate material (nm)

Figure 3.26. Trajectories of the electrons by Monte Carlo simulation for a 50 kV acceleration tension, a 500 nm-thick resist and a silicon substrate

Incidental electrons Electron cloud

Backscattered electron Nucleus

Secondary electron

Scattered electron

Scattered electron

Figure 3.27. The different types of interactions

Since electrons are particles able to collide with atoms, elastic and electrostatic interactions happen with the nuclei of the atoms when they penetrate matter. The

Electron Beam Lithography

151

charges in the atoms tend to scatter the incident electrons. In other words, these interactions tend to deflect the electrons from their initial path. Figure 3.26 shows an example of simulated electron trajectories in matter. Along its path, an electron can randomly be either forward or back scattered, or it can induce the emission of secondary electrons. Figure 3.27 is a schematic description of the different kinds of interactions observed in electron beam lithography. The forward scattered and backscattered electrons that leave the trajectory of the beam still have a non-negligible energy (several keV) that will be transferred to the resist. In other words, the beam is broadened and the resist is exposed beyond the region where the beam goes. The actual width of the incident beam is thus considerably increased inside the matter. Figure 3.28 shows an example of energy distribution into the resist coated on a silicon substrate versus the radial distance from the spot of the incident beam. It is precisely this scattering effect in the matter that generates the proximity effects in electron beam lithography. The dose received by a given structure is affected by the scattered electrons coming from the nearby features.

Figure 3.28. Example of f(r) energy distribution in a resist, coated on a thick (750 µm) silicon substrate, for a 50 keV electron beam, as a function of the radial distance

The influence of the backscattered electrons can mainly be observed on denser patterns such as arrays. For example, in an array of lines, the lines at the center are overexposed, that is to say they receive an overdose coming from the secondary electrons generated by the nearby lines. The effect of this overdose is to increase the dimensions of the lines. This enlargement is proportional to the intensity of the overexposure. Thus, as shown in Figure 3.29, the lines of the array do not all receive the same amount of dose since their environment is different.

152

Lithography

Intensity

Width 1 Dense

> Width 2 Semi-dense

Resist threshold

Substrate

Figure 3.29. The effect of backscattered electrons on a dense pattern

Indeed the lines at the edges of the array are less overexposed than those at the center since they have fewer lines close to them. Thus the modification of the dimensions due to proximity effects will not be the same for all the lines in the array. It is thus difficult to accurately control the dimensions of all the features at the same time, and as a consequence corrections are required in the area of the design containing both dense and isolated features. 3.10.3.2. Proximity effect correction Correction of proximity effects consists of generating the image of the original design on the wafer. To do so, all the parameters that may influence the trajectories of the electrons must be taken into account. The general procedure for proximity effect correction in electron beam lithography is almost the same for Gaussian shaped beam, cell projection and multibeam. The procedure is the following: determination of the scattering parameters, then choice and implementation of the correction model (dose modulation, design correction or “ghost” method). 3.10.3.2.1. Scattering model The energy distribution in the resist or the substrate can be modeled with reasonable precision by the Bethe equation [BET 34], considering that the speed of the electrons decreases as they go deeper into the resist and the substrate. The Rutherford model which takes elastic collisions into account can also be used. The different materials and geometries of the design make the analytical modeling very difficult to compute, whereas the Monte Carlo technique, in which trajectories of a large amount of randomly selected electrons are simulated, is much easier to use. The input parameters of these kinds of models are the energy and the number of the incident electrons, sometimes the beam diameter, the thickness and density of the resist, as well as the chemical composition of the materials stacked underneath. Thanks to a Monte Carlo simulation, the energy distribution into the resist versus the radial distance from the spot of the incident beam can be obtained.

Electron Beam Lithography

153

In order to simplify the calculations, the energy distribution can also be modeled by a sum of Gaussian curves [PAR 79] or any type of function. To obtain good statistics, it is important to simulate the trajectories of a large number of electrons. This has a cost in terms of computing time, which can rise from a few minutes to an hour. There are several simulation tools involving the Monte Carlo algorithm (see section 3.9.6). In the simple model using two Gaussian curves to describe the distribution of the energy in the matter around a beam, the scattered electrons are integrated in the first Gaussian curve. This curve is very narrow and its width at half maximum is called α. In this model, the electrons are only scattered over a short distance of a few tens of nanometers (nm). A second Gaussian curve of half height width β integrates the backscattered electrons. These electrons are scattered over much longer distances of about 10 micrometers (µm) for an incident energy of 50 keV. The resulting mathematical function describing the dose profile is called the proximity function and is written as follows in the case of two Gaussian curves: r² ⎫ r² ⎧ 1 ⎪ 1 − α ² η − β² ⎪ f (r ) = + ⋅e ⎬ ⎨ ⋅e π(1 + η) ⎪ α 2 β2 ⎪ ⎩ ⎭

[3.28]

which is also referred to as the point spread function (PSF) with: – r being the radial distance from the spot of the beam; – α the half height width of the Gaussian curve associated to the primary or scattered electrons (defining the beam’s width); – β being the half height width of the Gaussian curve associated to the backscattered electrons; and – η being the ratio of the doses brought by the backscattered and the forward electrons (the weight of β compared to the weight of α). The spreading of the dose away from the beam spot needs to be compensated in order to accurately control the geometry of the features patterned into the resist. It becomes even more important today since ever smaller circuits are manufactured. The energy distributions of the patterns overlap even in the α range, making the correction of proximity effects more difficult. Whatever the chosen correction strategy is, the accuracy of the scattering model is essential for a fine control of proximity effects.

154

Lithography

The setting of the proximity/point spread function (PSF) can be made in two ways. The first way is by simulation and this method has the great advantage of being fast. It can turn out to be very accurate if all the input parameters are correctly defined so as to characterize the actual exposure conditions. But this is not always the case, mainly because of the parameters related to the composition of resists. These data are usually hard to access as they belong to the resist suppliers. The proximity function can also be determined experimentally. To do so, several methods can be implemented. The most widely used consists of measuring the dimensions and the corresponding doses of test patterns. It is then possible to determine the proximity function from these data. This method is used by mask manufacturers, shaped beam and cell projection direct lithography users. In this case, the proximity function obtained is the sum of Gaussian curves (from 1 to 5). 3.10.3.2.2. Dose modulation Dose modulation is probably the most intuitive and the most used correction method. A dose is allocated to each elementary feature obtained during the fracturing step, taking into account its environment in order to compensate for the proximity effects, so that each element gets printed at its original size. This method attributes great doses to small or isolated patterns, which are less subject to proximity effects, and lower doses to dense or larger patterns. Thus, the resulting dose profile is uniform over the whole design to be reproduced onto the wafer. Figure 3.30 shows an array of dense lines corrected with dose modulation. Each line is fragmented in elementary rectangles during the fracturing step and each rectangle receives a dose that depends on the dimensions and the environment of the lines in order to compensate for proximity effects. Each color (shade of gray) represents a different dose. In the areas where the environment of the lines change rapidly, for example in the corners and edges of the array, a large number of doses appear. Different kinds of algorithms have been studied for the past thirty years. The most obvious solution is to calculate the effect of each elementary rectangle on its neighbors in the scattering area. The final result is obtained by solving a large amount of equations [EIS 93]. Unfortunately, this approach can turn out to be very complex to handle due to the growing amount of rectangles and the reduction of their size. Another solution is to define grid steps and to calculate the interaction between the grid structures, and vice versa. This solution has the advantage of being very fast but it lacks precision and flexibility. An optimal solution is to use an iterative approach combining the solutions mentioned above. Common algorithms calculate the dose distribution from a proximity function model with two Gaussian curves, as described in the previous chapter: distribution(x,y) = design(x,y) ⊗ f(r)

[3.29]

Electron Beam Lithography

155

with the function design(x,y) being equal to 1 in presence of a pattern, and 0 without a pattern. f(r) is the proximity function.

Figure 3.30. Example of dose modulation

3.10.3.2.3. Geometrical correction In some very critical areas of advanced technological nodes (32 and 22 nm), dose modulation is not sufficient to correct the proximity effects. It does not pattern the smallest and densest patterns at the desired dimensions. To improve the patterning capabilities of the tools, geometrical corrections are used in addition to dose modulation. To do so, the dimensions of the critical patterns are reduced directly in the design. Since the patterns are smaller, the doses have to be increased slightly in order to overexpose them so that they print at their original size in the resist. Thanks to this technique it is possible to increase the dose latitude and thus facilitate the exposure of more critical patterns. 3.10.3.2.4. The ghost method Using the ghost method, all the patterns receive the same quantity of backscattered electrons and thus have their dimensions altered the same way. Thus, in order to pattern the features at the right dimensions, it is then sufficient to modulate the base dose, which is the main dose of the exposure of the design. This is the minimum global dose received by the resist. In order to generate a uniform background dose over the whole design, a second exposure is performed in the same resist layer. During this exposure the opposite image of the design (its negative) is exposed, as suggested in Figure 3.31. The overlapping of the background dose from the pattern exposure and the negative image exposure results in a uniform background dose over the whole design. In Figure 3.31, the lines of the array all receive the same dose.

156

Lithography

To use the Ghost method, the beam of the tool must be set so that during the second exposure, both the dose and the scattering phenomenon are similar to those of the first exposure. However, this technique is not widely used because the second exposure significantly reduces the yield of the lithography tools.

Figure 3.31. Principle of the ghost method

3.11. E-beam lithography equipment

This section describes the operating principles for Gaussian beam lithography tools. The electron column, which is an essential element of these tools, is described. Next, the exposure parameters to be defined by the user are presented and their influence on writing time is discussed. Then, the writing defects inherent to Gaussian beam exposure are detailed. Finally, four pieces of equipment, available on the market, are introduced. 3.11.1. Principle of electron-beam writing

3.11.1.1. General points The term “vector beam” designates an electron beam tool. Exposure with a vector beam consists of scanning an electron beam over the surface of an electrosensitive resist in order to transfer patterns to it. The layout is first created by the user with the help of software. Cadence® [CAD 09], for example, is dedicated to the

Electron Beam Lithography

157

creation of integrated circuits. A binary image is generated. Computer processing then converts the drawing into information readable by the computer controlling the electron beam (see section 3.10, above, on database preparation). What should be kept in mind is that the electron beam tool’s purpose is to transfer a two-dimensional image onto the resist. This is achieved by the bombardment of electrons on welldefined areas of the resist film. In order for the patterns to be properly transferred, it is necessary to control the quantity of deposited electrons per unit area of the substrate. This is the exposure dose; see sections 3.9 and 3.12 for further details. The dose parameter and the position of the patterns to be exposed are defined by the user. 3.11.1.2. Dose control Prior to each exposure, the beam current is measured. E-beam equipment typically delivers currents from a few dozen pA to 100 nA. The current value must be as stable as possible over time. The beam scanning frequency is calculated from the current and the exposure dose: Frequency =

Current Dose × Area

[3.30]

The dose is given per surface unit, the elementary surface being defined by the tool’s grid step (from 0.5 to 10 nm). The scanning frequency varies from a few kHz to 50 MHz. Thus an error on the current value leads to an incorrect exposure dose, then to a post-development result that will not satisfy expectations. Exposure doses vary from a few dozen to a few thousand µC/cm² at 100 keV, depending on resist processes, electron beam acceleration voltage and patterns. Equation [3.31] gives the dependence on the relative variation of dose D, current intensity I and frequency F parameters: ΔD ΔI ΔF = + D I F

[3.31]

3.11.1.3. Position control During exposure, in order to scan the substrate’s surface, the wafer has to be moved in relation to the beam. This motion is performed in two steps. Position shifts of more than a few hundreds of microns are carried out by horizontal translation of a table bearing the substrate. This motion is relatively slow, about 0.1s, and not very precise; the position’s imprecision is generally of about 10 to 20 nm. The deflection of the beam allows the areas to be exposed to be reached with a precision of a few nanometers (and is also faster than the substrate’s movement). This deflection

158

Lithography

scanning cannot be performed over areas larger than a few hundreds of microns without some loss of precision. For large deflections, any aberrations in the electronic optics can no longer be corrected. The actual X,Y position of the beam in relation to the substrate is measured during the exposure by interferometry with a λ/1 024 precision, using a 633 nm He-Ne laser. The focusing height is measured by reflectometry using a photodiode stick. The required precision is one micron since the depth of focus of the e-beam is high, being of a few dozen micrometers (see Chapter 5). The focus is then readjusted in accordance with the measured error. The movements of the table bearing the substrate define the main writing fields. These writing fields are divided into subfields corresponding to the beam scan. This writing field hierarchy is depicted in Figure 3.32. Figure 3.33 shows the global operating principle of a Gaussian beam lithography tool. An e-beam exposure is performed as follows: the user chooses the drawing to be lithographed and the exposure dose from a library, via a computer interface, the beam current is measured by a Faraday cup placed on the table bearing the substrate and, from this value and the exposure dose, the beam scan speed is calculated using equation [3.30].

Figure 3.32. Exposure fields. Chips containing patterns to be exposed are defined by the user. The whole surface of the substrate is divided into main writing fields. A main field is defined by the table’s motion. The main fields are divided up into subfields. They correspond to the beam’s deflection

Electron Beam Lithography

159



Figure 3.33. Simplified principle schematics of the control of an e-beam tool by a computer. From the file containing the information on the patterns to be exposed, the computer constantly determines the position of the beam and whether or not it should be blanked. Simultaneously, an interferometer measures the potential position error. The current is measured in a Faraday cup. The beam scanning frequency necessary to reach the desired dose is then calculated

Reading the layout file gives information about the beam position. The table bearing the substrate is then moved along a horizontal plane so that the vertical electron beam is incident to the first area to be exposed. A more accurate displacement by deflection of the beam allows a precise point on the substrate to be reached. Thus, the whole surface of the substrate can be scanned. The electron beam is interrupted when an area that must not be exposed is passed over (see Chapter 5). This way, the exposed areas are controlled, as well as the quantity of electrons deposited on the resist. 3.11.1.4. The electron-optical column The electron-optical column is a key element of any electron beam tool. It is the overall optical element, the purpose of which is to form a focused electron beam at the surface of a substrate and to position it accurately. It sets the dimensions of that beam. The resolution of the e-beam tool thus directly depends on the electronoptical column, represented in Figure 3.34. Operation of the various elements constituting the column is described in Chapter 5. From the source (cathode) to the substrate, the electrons go through a whole set of optical elements. When they exit the source, they are accelerated by operating tension, typically 20, 50 or 100 kV. Then, their transit through the column allows the beam to be precisely positioned, deflected (in order to be interrupted) and focused on the surface of the substrate. The computer that drives the e-beam controls the power supply of the optical elements for this purpose. The beam also goes through apertures that reduce its lateral dimensions.

160

Lithography

Thermo-ionic or cold electron source. Electrons are extracted from a tip and accelerated.

Electrostatic lenses: pre-focusing

Beam alignment (tilt, shift) Colimation magnetic lens

Blanker Beam deflection when necessary so that it is stopped by the blanker

Field and sub field deflectors Beam positioning

Magnetic lens and other focusing elements Accurate tuning and fast focusing Substrate

Figure 3.34. Electron column. The electrons move from the source at the top, to the substrate at the bottom. The electromagnetic lenses are presented in light gray. The apertures are in black. The other optical elements are shown in dark gray. The electrons are generated by a thermionic or cold cathode. An electrostatic lens pre-focuses the beam and creates a virtual source spot: the cross-over. Various elements are then used to align the beam along the column’s optical axis. A magnetic lens refocuses the beam for the collimator, narrowing it. The beam’s transit through the blanker allows it to be interrupted by deflection if needed. The deflectors then enable the beam to be scanned precisely onto the substrate. A final magnetic lens and focusing elements are useful to obtain a beam focused on the substrate’s surface

3.11.1.4.1. Electromagnetic lenses Electromagnetic and electrostatic lens operation is discussed in Chapter 5. 3.11.1.4.2. Deflectors During exposure, the electron beam scans the substrate’s surface. This scanning is ensured by magnetic deflectors, which are plates coiled round the beam. A current passes through these plates and the electron beam is deflected with the help of the magnetic force consequently generated.

Electron Beam Lithography

161

3.11.1.4.3. The blanker The blanker is an element that allows the beam to be interrupted. It is made up of two parallel plates, symmetrically arranged on both sides of the beam. An appropriate potential is applied to it. The electrostatic force thus generated can deflect the beam. When switched on, the beam is not deflected. When switched off, it is deflected out of the optical axis. An aperture is used to intercept it. In this way, certain areas of the resist can be avoided during the beam scan. 3.11.1.5. Height calibration In order to take account of the specificity of each substrate and the variations in one substrate holder to another, it is necessary to perform a height calibration before each exposure. The purpose of this operation is to compensate for distance variations of about 10 µm between the substrate and the final lens. A laser emitter coupled with a CCD (charge-coupled device) camera enable the height to be determined, in other words the distance between the final lens and the substrate. Figure 3.35 describes the operating principle of such a device.

Figure 3.35. Principle of height measurement. On the left, a laser emitter sends a light beam onto the substrate. On the right, a CCD sensor receives the light. Depending on the height of the substrate, that is to say the distance between the final lens, in gray, and the substrate, in black, the reflected light reaches a different point on the CCD sensor. By knowing its position, the height can be calculated

3.11.1.6. Mark localization and alignment Alignment takes place between two consecutive lithography steps. It is performed with the help of alignment marks. The region near which a mark is located is scanned by an electron beam. The backscattered electrons’ signal is recorded. A contrast between the mark and the substrate is detected by that signal. This contrast can be obtained by topological or material variation. The topological contrast appears thanks to an increase of the number of electrons backscattered at the edges. The material’s contrast originates from the difference of the amount of backscattered electrons depending on the atomic number (Z) of the scanned material.

162

Lithography

Previously recorded positions are then associated to the consequently identified mark. After having localized several marks, it is possible to precisely overlay the next level to expose in relation to the previous level. 3.11.1.7. Writing parameters Electron masking lithography is very flexible for the user: the number of chips to write, the writing strategy (beam step size, scan type), the dose, and the current are all parameters that the user can adjust. 3.11.1.7.1. The notion of Beam Step Size (BSS) The information contained in the database file is interpreted by the tool as surface elements, trapezoids or rectangles, which are pixelated by the pattern generator (PG) into so-called “exels”, or number of exposure spots. The pixel size, namely the resolution, is fixed during the generation of the interpretable format file by the e-beam tool. The user then defines the writing step or BSS depending on the grid, which is the distance between two consecutive writing spots. The BSS is a multiple of the grid step. The factor linking the BSS and the grid step is called the variable resolution unit (VRU): BSS = VRU .grid _ step

[3.32]

A new square-shaped mesh grid is thus obtained, of width BSS, superimposed on that of the e-beam-generated file. Discrete exposure spots (exel) are then defined at each node of that mesh being on a pattern to be exposed. During the resist film surface scan, the beam is deflected from writing spot to writing spot. The exposure dose is defined per unit area. Thus, when the BSS increases, the amount of electrons deposited per shot should also increase in order to compensate for the reduction of the number of writing spots. After development, the exposed pattern must be uniform. The discretization of the writing spots must not be seen. Hence, there should be some overlapping from one exposure spot to another. For an exel, the surface to be considered is the disk into which the resist will have seen enough electrons to be correctly developed. The width of this disk depends on the size of the electron beam at its arrival on the resist’s surface and on the interaction between the electrons and the resist-substrate stack. Generally speaking, only the size of the electron beam is taken into account for the choice of the BSS. Usually, the BSS value is chosen as equal to the radius of the Gaussian distribution of the beam’s electrons [BRE 80].

Electron Beam Lithography

163

Figure 3.36. Exposure discretization. The file read by the tool, holding the information on the patterns to be exposed, is pixelated. A grid of mesh width equal to a parameter called the resolution is associated with that process (and appears as dotted lines on the figure). The user chooses a VRU parameter (variable resolution unit) allowing the BSS to be calculated from the equation BSS = VRU.Resolution. The BSS is the distance between two consecutive writing spots. A new grid is then defined (corresponding to the continuous lines in this figure). The pattern defined by the user is shown as the dark gray shaded area. If that surface does not perfectly match the grid of constant BSS, then the area actually exposed will be smaller. The exposure spots are shown in light gray at the mesh’s nodes set by the BSS, overlaying with the surface to be exposed (in dark gray). It should be verified that the patterns are well adjusted on the BSS grid. In this example, with a VRU of 4, the total surface defined by the exposure spots is smaller than that obtained with a VRU of 1 or 2

3.11.1.7.2. The exposure dose The exposure dose corresponds to the number of electrons that are deposited on the substrate per unit area. It is adjusted, depending on the beam current, by the scanning frequency with the following equation (where BSS² is an elementary surface): Dose =

Current Frequency × BSS 2

[3.32]

Dose control is essential. With too high a dose, the pattern will tend to be enlarged after development. By contrast, too low a dose will lead to a pattern that is too small or even missing. The appropriate dose for a given pattern is called the “dose-to-size”. The exposure dose mainly depends on the resist to be exposed. Indeed, an electron will have more or less efficiency in creating or breaking bonds depending on the resist’s chemical composition. The dose-to-size depends on other parameters such as the substrate, the relative positions of the patterns, and the development (see sections 3.9 and 3.12 for more detailed information).

164

Lithography

The electron beam delivers a continuous current. Therefore, the deposited dose at each writing spot is fixed by the time during which the beam stays over each point, in other words, by the beam scanning frequency. 3.11.1.7.3. Notion of writing field During the preparation of the file containing the data regarding the patterns to be exposed, the layout is divided into main writing fields. The maximum size of these fields, typically a few hundreds of microns, depends on the tool used. These writing fields are then cut up into a number of subfields also fixed by the e-beam tool (e.g. 64 for Vistec’s VB6 HR). During an exposure, the beam is successively positioned over each main field by the main field deflector (see Chapter 5 for more detailed information about deflectors). In each main field, the subfield deflector takes over to position the beam more precisely onto each node of the grid, which has a lattice constant equal to the BSS. 3.11.1.7.4. Writing mode There are two exposure strategies in Gaussian beam lithography, illustrated in Figure 3.37.

a) raster scan

b) vector scan

Figure 3.37. Vector beam writing strategies. The grid represents the image pixelation. The lattice constant is the BSS. The gray rectangles correspond to the areas to be exposed and the exposure spots are located inside the rectangles, at the grid’s meshes. (a) The raster scan mode consists of scanning the whole surface of a writing field. The beam is successively deflected or not by the blanker depending on the patterns to be exposed. (b) In vector scan mode, the features are fragmented into rectangles or trapezoids. The beam then scans each surface element and is deflected from feature to feature

Electron Beam Lithography

165

The raster scan mode consists of scanning the entire area of a writing field with the electron beam. It is masked in order to preserve the areas that should not be exposed. This exposure mode has the advantage of requiring few changes in the deflection direction of the beam. The total stabilization time of the beam due to those direction changes is thus limited. On the other hand, scanning the whole surface of a field in raster scan mode is not efficient, since usually less than half the surface of a field has to be exposed. During an exposure in vector scan mode, the beam only scans the areas to be exposed. It is deflected from one zone to expose to another. The time needed in raster scan mode to scan non-exposed areas is spared for this strategy. On the other hand, the beam stabilization time can increases, since the number of direction changes in the beam deflection is significantly greater with this strategy. The scan can be performed either way along X or Y directions. It is possible to choose to work along the X axis or the Y axis depending on the patterns, for the same exposure. Scanning along the longer dimension of the elementary rectangletype features can minimize the writing time and reduce the beam positioning errors. 3.11.1.8. Writing time Writing time is a fundamental exposure parameter. A bad choice in the exposure parameters can quickly lead to a significant time loss. Generally speaking, the user will try to reduce this time. In most e-beam exposures, the surface to be exposed corresponds to less than half the total surface. It is then preferable to choose the vector scan exposure mode. The exposure dose is set by the experimental conditions and the materials to be worked on. The parameters to be carefully picked are the beam current and the BSS. They are directly related to the scanning frequency by the following equation: Frequency =

Current Dose × BSS 2

[3.33]

For a fixed exposure dose, the current and BSS choice should enable as short a writing time as possible to be reached. In order to reduce the exposure time per writing spot, the frequency must be maximized. And, to minimize the total exposure time, the number of exposure spots have to be minimized, which means that the BSS must be increased. However, the variation range of these parameters is limited. The BSS should not be excessively high in order to prevent the outlines of the spots from appearing after development. The maximum frequency is limited by the tool. Typically, it is 25 MHz for Vistec’s VB6 but it can go up to 50 MHz for the latest tools. Once the exposure dose is set, when the maximum beam scanning frequency and maximum BSS values are known, it is possible to calculate the current

166

Lithography

corresponding to a minimum exposure time. However, certain limitations should be respected for the current as well. Increasing the current leads to an increase in the size of the spot due to the Coulombian interactions between particles. The resolution can be altered. The variation of the spot size depending on the current depends on the tool: the acceleration potential of the column, source type, focusing optics and aperture diameter of the focus lens, etc. An example is given in Figure 3.38 for the Leica VB6 UHR (100 kV for a final 300 µm aperture). 70

spot size (nm)

60 50 40 30 20 10 0 0.1

1

10

100

beam current (nA)

Figure 3.38. Evolution of beam size as a function of the beam current for a final aperture of 300 µm at 100 keV on a Leica VB6 UHR

We will now try to convince the reader of the importance of carefully choosing the exposure parameters in order to minimize writing time, for comparable postdevelopment results. Figure 3.39 shows resist lines obtained with a Leica VB6 UHR at 100 keV in an HSQ resist, by modulating the BSS and the beam current. The exposure dose to obtain a 30 nm isolated line after development is about 2000 µC/cm². Among the SEM images shown, only the one issued from an exposure with a 26 nm BSS shows a significantly deteriorated result. Consider a first exposure with a 0.25 nA current and a 16 nm BSS, and a second one with a 9 nA current and a 16 nm BSS. In the second set of conditions, the exposure time is 35 times as fast, with comparable results after development. On the other hand, it is possible to reduce the writing time by lowering the exposure dose. This can be achieved by using more sensitive resists. Chemically amplified resists (CARs) were developed for this purpose. This is useful, insomuch as it is not always possible to easily modify the current value. The resist coating and developing parameters can also be modulated to make it more sensitive to electrons (see Chapter 6).

Electron Beam Lithography

I=0.25nA

I=4.65nA

167

I=9.0nA

a) Beam current variation

BSS=6nm

BSS=16nm

BSS=26nm

b) BSS variation

Figure 3.39. Writing time minimization. These are top view images of HSQ resist lines exposed with a Leica VB6 UHR, after development. These pictures were obtained with a scanning electron microscope (Hitachi HCG4000). They are isolated lines drawn at a width of 30 nm. (a) Influence of the current. Variation of the current from 0.25 nA to 9 nA did not alter the resolution under these experimental conditions (BSS=16 nm). (b) Influence of the BSS. Under these experimental conditions, a 26 nm BSS is too high, since the spot’s shape can still be seen after development

3.11.1.9. Writing defects Two types of writing defects are likely to appear after development, due to tool operation itself. An alignment defect, or overlay, is related to the overlaying of two successive lithography steps. The substrate undergoes a first lithography and etch step: exposure, development, etch and resist strip. Then a second lithography step is carried out. A resist is once again coated on the substrate’s surface. It is then exposed and this exposure must be aligned with the previously etched layer. This alignment is performed with the help of marks detected through the resist with the technique detailed above. A misalignment between two successive lithography layers is called overlay. This defect is related to the difficulty of positioning the beam precisely. A second kind of defect can be seen at the continuity of the fields, and this is a stitching defect. It is caused by a bad positioning of a writing field in relation with the adjoining field. It can be the continuity between two subfields. This type of

168

Lithography

stitching can be controlled within 5 nm. The continuity between main fields is more critical (15–25 nm). Mere vibration of the column in relation with the substrate during exposure can create stitching up to 40 nm, depending on the tool. Getting rid of stitching can be difficult. This defect is presented in Figure 3.40.

Figure 3.40. Stitching defect in an array of dense 100 nm lines. The continuity between the upper and bottom fields is not perfect. This is underlined by a line discontinuity

3.11.2. Examples of Gaussian beam tools

Several companies currently sell Gaussian beam lithography tools [SEL 60]. Equipment from three of these companies is represented in Table 3.2. Equipment Beam energy Beam size Maximum substrate diameter Minimum time per shot Stitching Overlay

JEOL [JEO 09] JBX-6300FS 25-50-100 keV 7 nm 200 mm

RAITH [RAI 09] 150-TWO 0.2-30 keV 2 nm 200 mm

VISTEC [VIS 09] VB300 50-100 keV 2 nm 300 mm

40 ps ≤ 15 nm ≤ 20 nm

50 ps ≤ 40 nm ≤ 40 nm

20 ps ≤ 15 nm ≤ 15 nm

Table 3.2. Comparison of the characteristics of tools on the market in 2009 (information taken from sources, as detailed in the table). The maximum exposure time per shot is calculated from the maximum scanning frequency

3.12. E-beam resist process

The resist process is generally a limitation in terms of resolution and writing speed. Thus, it is important to optimize the different parameters. Today’s electron beam tools feature spot sizes well below the resolution reached after development. It

Electron Beam Lithography

169

is important to keep in mind that the lithography step is an intermediate step. It should ensure the transfer of an image in a dielectric, a metal or a semiconductor. Generally speaking, the resists used for electron beam lithography must meet the same requirements as photoresists (see Chapter 6): – high resolution and good contrast; – ease of application, getting continuous and homogeneous films on wafers of diameter up to 300 mm; – good thickness control of the resist film; – high etch-resistance; and – film stability over time. Moreover, they must be electron-sensitive. The sensitivity of resists towards electrons is related to the amount of electrons per unit area, or dose, needed for the exposure of the resist. The more sensitive a resist is, the lower its exposure dose is. Sensitive resists are preferable for industrial applications due to cost reasons. At constant exposure parameters, it is faster to deposit a small quantity of electrons, which has the effect of improving the yield of the lithography step. Several parameters have a significant influence on the resist sensitivity to electrons. These parameters are each studied in the next section. There is often a compromise to be made between exposure dose and lithographic result after development, in other words between yield and resolution/roughness. These questions are discussed for each parameter. 3.12.1. The resist

The purpose of the exposure of a resist with an electron beam is the same as for an insulation with photons (see Chapter 6 for further explanations on photolithography). Generally speaking, exposure consists of modifying the resist’s chemistry locally, in order to transfer the aerial image of patterns to the resist film. A negative resist is initially soluble in developer. Certain areas are cross-linked by the electron beam exposure. The molecular weight of the cross-linked parts is higher. The areas of lesser molecular weight are much more soluble in the developer. Thus, development eliminates the non-exposed parts. The opposite phenomenon occurs in a positive resist. The exposure deprotects specific areas of the film, so that these areas can be eliminated during development. The electrons’ energy is used to create or destroy the chemical bonds in the resist film. As was described in section 3.9, the energy of the incident electrons is so great that they do not directly act on the chemical bonds. On their way, secondary electrons are ripped

170

Lithography

out of the electron cloud which are less energetic and directly modify the chemical bonds in the resist film. The electron sensitivity of the incident beam thus depends on the composition of the resist: – the energy of the secondary electrons generated is related to the nature of the resist atoms; – the energy needed in order to form or break chemical bonds depends on the molecules that compose the resist film. In a similar way as in optics, the resist is coated by centrifugation. After the coating, it undergoes a baking process called post-application bake (PAB). The use of this step is discussed in Chapter 6. This bake triggers the chemical reactions in the resist. Depending on the type of resist, either cross-linking or bond-breaking is thermally initiated. Thus, the exposure dose needed can be decreased, leading to a shorter exposure time. The higher the PAB temperature, the lower the dose. However, certain boundaries should be respected. Too high a temperature would begin to provoke the reaction over the whole film, in an irreversible way, making any pattern transfer by electron exposure impossible. By initiating the chemical modification on the whole resist film, the PAB reduces the contrast. 3.12.2. The nature of the substrate

The electrons’ trajectories in the substrate are the result of many scattering processes. They can lead some electrons to go back towards the resist, or even out of their target. These are called backscattered electrons. This phenomenon is more thoroughly discussed in section 3.9. These electrons cause an additional exposure. The more atoms with a high atomic number there are in the substrate, the larger the proportion of backscattered electrons. Therefore, the quantity of incident electrons in the resist film, or dose, must be adjusted in accordance with the substrate, if the same amount of energy actually deposited in the resist is desired. 3.12.3. Proximity effects

The reader can refer to section 3.2 for a better understanding of the physics of proximity effects. These effects disrupt the energy deposition in the resist film. A uniform exposure dose on the surface of a pattern will not lead to a uniform quantity of deposited energy on the surface of a pattern in the resist. To overcome these effects, it is necessary to resort to the corrections described in section 3.2.

Electron Beam Lithography

171

3.12.4. Development

The development of e-beam-exposed resists is similar to that of photoresists. Chapter 6 deals with that resist process step. The dose-to-size depends on the development parameters. The more aggressive the development is (longer duration, higher temperature or concentration), the stronger the exposure dose must be. The binary behavior of the resist depends on the development parameters. Resist contrast is improved by using a more aggressive developer. As for the choice of the PAB or post-exposure bake (PEB) temperatures, a compromise must be found between the exposure dose and the contrast. Figure 3.41 illustrates the variations of dose and contrast as function of development. 40

thickness (nm)

35 30 25 20

TMAH 2.5% TMAH 25%

15 10 5 0 100

1000

10000

exposure dose (µC/cm²)

a) Developer concentration 40

thickness (nm)

35 30 25 20 15 10

PAB to à 90°C PAB to à 270°C

5 0 10

100

1000

10000

exposure dose (µC/cm²)

b) PAB temperature Figure 3.41. Contrast curve evolution as function of development parameters. The resist exposed in this example is HSQ at 100keV. (a) With a high developer concentration (TMAH 25%), the contrast is better but the sensitivity is lower. (b) In the same way, a better contrast is obtained with a lower PAB temperature

172

Lithography

3.12.5. The energy of the electrons

As the energy of the incident electrons increases, their interactions with the resist film get lower. The Bethe equation (equation [3.19], [BET 53]), which expresses the energy loss –dE along the path ds during electron deceleration, considered continuous, shows that the stopping power –dE/ds is roughly inversely proportional to the energy of the incident beam E0: − dE 1 ∝ ds E0

[3.34]

Thereby, when the exposure dose of a pattern at a given energy is known, it is possible to calculate the approximate dose needed for another energy, for the same pattern, as all the other experimental parameters are fixed. As a consequence, the exposure dose is proportional to the energy. Hence, a low energy exposure is faster, at a constant current. However, the resolution needs limit the use of low energy electrons. Indeed, it is more difficult to get a small dimension beam at a low energy, while the lateral scattering of the beam’s electrons, or primary electrons, is more critical. The compromise here is to be made between resist sensitivity and beam size. It should be noted that the contrast of the resist does not significantly change with the energy of the primary beam (see Figure 3.42). 40 35

thickness (nm)

30 25 20 15

5keV 6keV 7keV 50keV 100keV

10 5 0 10

100

exposure dose (µC/cm²)

1000

Figure 3.42. Example of the evolution of a contrast curve as a function of the beam’s energy. The resist exposed is HSQ, with incident electrons accelerated at 5, 6, 7, 50 and 100 keV. The contrast corresponds to the maximum slope of the curve, in semi-log scale. It can be seen here that the contrast does not vary with energy

Electron Beam Lithography

173

3.12.6. Thickness of the resist film .

The thickness of the resist film is also a parameter that determines the exposure dose. Indeed, the thicker a resist film is, the greater the interaction volume between the resist and the electrons is. Thus, an incident electron in a resist film will modify as many chemical bonds in the resist it goes through as the film is thick. The sensitivity of the resist increases as the film gets thicker. For example, at 100 keV in an HSQ resist, the exposure dose is 900 µC/cm² and 300 µC/cm², respectively, for 10 nm and 50 nm-thick resist films, the other parameters of the resist process being constant [SID 09]. This sensitivity loss along with the resist thickness diminishing can be explained, as follows. As film thickness decreases, the available volume in the resist for interactions between primary electrons and atoms in the resist is limited. The chain reactions of secondary electron creation are also less numerous. Finally, the density of secondary electrons generated in the resist film is significantly reduced. The thickness of the resist film also influences the resolution reachable after development. The thicker the film, the wider the electron beam will get by scattering when going through the resist film. At the resist-substrate interface, the primary electron beam is significantly enlarged for a 200 nm resist thickness, in comparison with a 50 nm film in the case described in Figure 3.43.

resist

a) resist thickness 50 nm

b) resist thickness 200 nm

Figure 3.43. Monte Carlo simulation under Casino® for different resist thicknesses. The energy of the beam is 50 keV and its radius is 10 nm. The exposed resists are 50 nm and 200 nm thick HSQ resists. Three backscattered electrons are shown in the area represented. They deposit energy onto the resist film, away from the beam striking point

Scattering of the primary electrons in the resist film can explain this. The thicker the resist film is, the longer the lateral path distance of the primary electrons in the

174

Lithography

resist. The resolution is thus limited. The enlargement of the beam in the resist is proportional to the number of elastic events undergone by a primary electron during its passing through the resist film. The number of elastic events P is proportional to the resist thickness z0, and inversely proportional to incident beam’s energy E0 [GRE 80]: P∝

z0 E0

[3.35]

Another aspect to consider here is development. During that step, the capillary forces related to the developer tend to make the resist lines collapse if the width– height ratio of the pattern is too high (Figure 3.44).

Figure 3.44. Resist line collapse. The capillary forces can bend the resist lines during development

3.12.7. Summary

Table 3.3 summarizes the effects of the most critical parameters of the resist process on sensitivity and contrast of the resist. The required modulation of the parameters mentioned, to improve the contrast or sensitivity, is specified. There is a compromise between contrast and sensitivity for the PAB temperature and the resist film thickness. This compromise also exists for the aggressiveness of the development (the temperature and duration, and developer concentration). However, the energy of the incident beam does not influence contrast. Provided that only results in terms of contrast and sensitivity are considered, it is preferable to work at

Electron Beam Lithography

175

low energy. The compromise here should be found with a resolution that can be obtained at low energy. A good resist sensitivity, in other words a fast exposure, is often achieved to the detriment of contrast. A compromise must be found between sensitivity and contrast. Another important parameter (“shot noise”) avoids choosing doses that are too low. This comes from the impossibility of controlling the number of deposited electrons with high precision and it is considered to follow a Poisson distribution. This noise can be approximated to N , N being the number of electrons deposited per unit area, proportional to the exposure dose. The signal-to-noise ratio is thus equal to N . The higher N is, the more negligible the impact of noise. On the other hand, when a resist is very sensitive, the impact of the noise can become significant. Parameters

Best contrast

Best sensitivity

PAB temperature

Depends on tone

Depends on tone

Development duration and concentration

Depends on tone

Depends on tone

Beam acceleration tension

No influence

Low tension

Resist film thickness

Low thickness

High thickness

Table 3.3. Parameters influencing sensitivity and contrast of the resist. This table shows the variations needed in order to improve the contrast or the sensitivity

An evaluation of the uncertainty of the number of electrons is given by Everhart [EVE 68]. This equation is the expression of the compromise between resolution and writing speed, the exposure speed being inversely proportional to the dose:

( Lmin )2 =

Nme D

[3.36]

where Lmin is the minimum achievable pixel size at an exposure dose D. Nm is the minimum number of electrons exposing that pixel and e the electron’s charge. The process of electron emission obeys a Poisson probability distribution. Thus, it can be considered that the uncertainty over the number N of deposited electrons is N . Thereby, in order to get a 10% signal-to-noise ratio, Nm must be equal to 100. Lmin can then be calculated. For a 10 µC/cm² dose, for example, a 13 nm pixel is calculated. For an 80 µC/cm² dose, the pixel goes down to 5 nm. The more sensitive a resist is, the lower the allowed resolution and the higher the edge pattern

176

Lithography

roughness is. This last parameter has to be minimized for a good performance of the transistors, for which lithography is one of the fabrication steps. 3.12.8. Chemically Amplified Resists (CARs)

Chemically amplified resists (CARs) are organic resists. They were initially developed for photolithography (see Chapter 6 for further details). Their advantage compared to traditional e-beam resists is their sensitivity. Due to chemical amplification, an incident electron is more efficient in modifying the chemical bonds of the resist. Generally speaking, using such resists is convenient to increase writing speed. Since they were developed to satisfy the needs of photolithography, they are well suited for use in microelectronics. Their etch-resistance is good, they show very few contamination issues, and they are compatible with the usual metrology and microelectronic process tools. Moreover, a whole set of processes suited for these materials is provided by suppliers of photolithography resists, for their coating, development and measurement (ellipsometry). They are also suitable for electron beam lithography. They undergo permanent development, with the purpose of improving their resolution and roughness, and to reduce the exposure dose. This type of resist is available in negative and positive versions. During an exposure, a beam’s primary electrons generate secondary electrons along their path. These electrons free some acid groups that act on the chemical bonds. 3.12.9. Non-CARs

Non-CARs were developed for electron beam lithography. They mostly meet larger constraints in terms of resolution. Their sensitivity to electrons is usually not as good as that of CARs, which limits their use mainly to university laboratories. Among the non-CARs, there are both organic and inorganic materials. As for CARs, pattern transfer is performed by cross-linking or bond breaking with the purpose of modifying the average molecular weight of the material. Four resists of this type are introduced below and a typical resist process is presented for each of them; Table 3.4 summarizes their properties. However, the reader should keep in mind that these data are standard conditions; better results are often possible when shifting from these conditions. 3.12.9.1. Polymethylmethacrylate (PMMA) PMMA has been the positive resist traditionally used in electron beam lithography since the 1960s [HAL 68]. It is an organic resist. A resolution power beyond 10 nm was demonstrated as early as 1982 [CRA 82]. The composition of PMMA went through several modifications, leading to formulations more sensitive to electrons. The main drawback of PMMA is its poor etch-resistance. Another drawback is

Electron Beam Lithography

177

the fact that it is difficult to observe it with SEM. The exposed patterns tend to get larger under the effects of the probe’s electrons. A typical resist process is given as an example by the supplier MicroChem [MIC 09]. The solutions on the market enable film thicknesses from 50 nm to 5 µm. The recommended PAB temperature is 180°C during 60 s on a hot plate; the dose is around 500 µC/cm² at 50 keV, and the developer is, for example, a mix of MIBK and IPA 1:1. Resist

PMMA

ZEP520

HSQ

COP

Tone

Positive

Positive

Negative

Negative

100

30

100

2

Poly-methyl- Chloromethacrylate Hydrogen methacrylate and and methylstyrene sylsesquioxane methylstyrene copolymer

Glycidyl and methacrylate copolymer

Dose at 20 keV (µC/cm²) Composition

Resolution (nm)

10

10

10

1,000

Table 3.4. Summary of the properties of non-CA resists for electron beam lithography

3.12.9.2. ZEP520 ZEP520 is a positive tone resist developed more recently than PMMA. It is a chloromethacrylate and methylstyrene copolymer. A resolution on the order of 10 nm was reached with this resist [KUR 95]. Its etch-resistance is better than that of PMMA. A resist process is recommended by the supplier Zeon Corporation [ZEO 09]. The commercial formulation of the resist, not diluted, allows thicknesses from 100 nm to 300 nm to be reached after coating. The PAB on a hot plate is at 180°C for 3 minutes. The exposure dose is about 100 µC/cm² at 50 keV. The developer is ZED-N50 supplied by Zeon Corporation or MIBK (methyl isobutyl ketone). 3.12.9.3. HSQ HSQ, or hydrogen sylsesquioxane, was initially developed as a dielectric. Its good behavior under electron exposure, brought to light in 1998 [NAM 98], allowed it to be used as an e-beam lithography resist. It is a negative resist. A resolution below 10 nm is frequently reported [MAI 00]. As for PMMA, this resist is available under different concentrations, leading to different thicknesses after coating, from 30 nm to 900 nm. The process conditions

178

Lithography

recommended by the supplier, Dow Corning [DOW 09], are a PAB at 150°C, an exposure dose of about 300 µC/cm² at 50 keV and TMAH (tetra methyl ammonium hydroxide) 2.38% for the developer. 3.12.9.4. COP COP is a glycidyl methacrylate and ethyl acrylate epoxy-copolymer. It is a negative resist. Its resolution is around 1 µm and it has low resistance to etch processes. Its main interest comes from its great sensitivity. It is recommended that a PAB is not performed [COR 09]. The exposure dose is about 2 µC/cm² at 50 keV. The developer used is MEK (methyle ethyl ketone) diluted in ethanol, with a 7:3 proportion. 3.12.10. Evacuation of charges on a dielectric

During the electron exposure of the resist, charges go through the resist while other charges are generated in the sample. These charges are likely to disrupt the lithography process by Coulombian interactions with the electrons used for the exposure of the resist. The charge generated in the resist varies depending on the experimental parameters. This charge is all the more negative if the film is thick, the volume available to trap electrons being larger [LIU 95]. At low energy, the electrons of the primary beam have more interactions with the resist film. Thus, they tend to charge this layer negatively. The negative charge logically increases along with the exposure dose. There is a limit to this increase, over which the charge remains constant. Under certain conditions (a thin film and high energy), it is also possible to observe a positive potential. This is said to be caused by secondary electron leak out of the resist film [SAT 00]. Distortions of patterns caused by charge effects are influenced by the energy of the incident electrons, the size of the exposed features, the electric conductivity and the coefficient of secondary electrons emitted by the substrate. An ohmic contact is made between the substrate and the ground in order to evacuate these charges. This works properly in the case of a silicon substrate. If one of the layers composing the substrate is a dielectric, it is necessary to add a conductor film on the surface of the resist or the substrate. Showa Denko [SHO 09] suggests a conductor film based on carbon particles in suspension in a solvent meant to be coated on the resist, and highly soluble in developer solution. It is also possible to metallize a resist or add a metallic film under the resist film.

Electron Beam Lithography

179

3.13. Bibliography [ARN 99] ARNOLD B., Executive Millennium Report: 1999 Roadmap: Solutions and Caveats, Solid State Technology, 2000. [BER 83] BERGER M.J., SELTZER S.M., Stopping power and ranges of electrons and positrons, NBS Report NBSIR 82-2550-A (2nd edition), 1983. [BET 34] BETHE H.A., HEITLER W., “On the stopping of fast particles and on the creation of positive electrons”, Proceedings of the Royal Society, A146, p. 83–112, 1934. [BET 53] BETHE H.A., ASHKIN J., Experimental Nuclear Physics, John Wiley, New York, p. 253, 1953. [BRE 80] BREWER G.R., BALLANTYNE J.P., Electron-Beam Technology in Microelectronic Fabrication, Academic Press, 1980. [BRE 80] BREWER G.R., BALLANTYNE J.P., JAMES S., HENDERSON R.C., FAY B., HERRIOTT D.R., Electro-Beam Technology in Microelectronic Fabrication, Academic Press, 1980. [BRO 92] BRODIE Y., MURAY J.J., The Physics of Micro/Nano- Fabrication, Plenum Press, New York, 1992. [CAD 09] CADENCE®, http://www.cadence.com, 2009. [CAG 75] CAGNAC B., PEBAY-PEYROULA J.C., Physique atomique, vol. 1, Dunod, Paris, p. 66, 1975. [CHI 11] CHILD C. D., “Discharge from hot CaO”, Physical Review, 32, p. 498–511, 1911. [CON 98] CONSTANCIAS C., Emission d’électrons par effet de champ à partir de micropointes pour écrans plats: simulation, caractérisation et confrontation expérimentale, thesis, CEALETI, p. 28–32, 1998. [COR 09] CORNELL UNIVERSITY, http://www.cnf.cornell.edu/cnf_spie73.html, 2009. [CRA 82] CRAIGHEAD H.G., HOWARD R.E., JACKEL L.D., MANKIEWICH P.M., “10-nm linewidth electron beam lithography on GaAs”, Applied Physical Letters, p. 38-40, 1982. [DOW 09] DOW CORNING®, http://www.dowcorning.com/content/publishedlit/XR-1541_e-beam_ resist.pdf, 2009. [EIS 93] EISENMANN H., WAAS T., HARTMANN H., “PROXECCO Proximity Effect Correction by Convolution”, Journal of Vacuum Science Technology, B, vol. 11, n° 6, p. 2745, 1993. [EVE 68] EVERHART E. (1968), quoted in G.R. BREWER, Electron-Beam Technology in Microelectronic Fabrication, Academic Press, New York, p. 26, 1980. [GRE 80] BREWER G.R., BALLANTYNE J.P., GREENEICH J.S., HENDERSON R.C., FAY B., HERRIOTT D.R., Electron-Beam Technology in Microelectronic Fabrication, Academic Press, New York, p. 68, 1980.

180

Lithography

[GRI 55] GRIVET P., L’optique électronique, Bordas, Paris, 1955; English edition published as: Electron Optics, Pergamon Press, Oxford-New York, 1st edition 1965, 2nd edition 1972. [GRY 65] GRYZINSKI M., “Classical theory of atomic collisions. I. Theory of inelastic collisions”, Physical Review, 138 A336, p. 337–358, 1965. [HAL 68] HALLER I., HATZAKIS M., SRINIVASAN R., “High resolution positive resist for electron beam exposure”, IBM J. Research and Development, 12, p. 251–256, 1968. [HAW 88] HAWKES P.W., KASPER E., Principles of Electron Optics, Academic Press, Oxford–New York, p. 299, 1988. [ICA 07] ICARD B., PAIN L., ARNAL V., MANAKLI S., LE DENMAT J.C., BRUN P., VINCENT M., SOONKINDT C., MINGHETTI B. MATSUMIYA T., “45 nm node back end of the line yield evaluation on ultrahigh density interconnect structures using electron beam direct write lithography”, Journal of Vacuum Science Technology, B, vol. 25, n° 1, p. 124–129, 2007. [IVI 03] IVIN V.V., SILAKOV M.V., BABUSHKIN G.A., LU B., MANGAT P.J., “Modeling and simulation issues in Monte Carlo calculation of electron interaction with solid targets”, Microelectronic Engineering, vol. 69, n° 2–4, p. 594–605, 2003. [JEO 09] JEOL®, http://www.jeol.com/, 2009. [KAM 07] KAMIMURA O., TANIMOTO S., OHTA H., NAKAYAMA Y., SAKAKIBARA M., SOHDA Y., “Optical properties of a multibeam column with a single-electron source”, Journal of Vacuum Science Technology, B, vol. 25, n° 1, p. 140–146, 2007. [KOT 08] KOTSUGI T., FUSE T., KINOSHITA H., PARKER N.W., Shaped beam technique using a novel 3rd-order imaging approach, Proceedings of SPIE, VOL. 6921, 69211V, 2008. [KUR 95] KURIHARA K., IWADATE K., NAMATSU H., NAGASE M., TAKENAKA H., MURASE K., “An electron beam nanolithography system and its application to Si nanofabrication”, Japanese Journal of Applied Physics, vol. 34, p. 6940–6946, 1995. [LAN 37] LANGMUIR D.B., “Limitation of cathode ray tubes”, Proceedings of the Institute of Radio Engineers, IRE, vol. 25, p. 977–991, 1937. [LEI 05] LEICA®, Operator Manual, 2005. [LIN 06] LIN B.J., “The ending of optical lithography and the prospects of its successors”, Microelectronic Engineering, vol. 83, p. 604–613, 2006. [LIU 95] LIU W., INGINO J., PEASE R.F., “Resist charging in electron beam lithography”, Journal of Vacuum Science Technology, B, vol. 13, n° 5, p. 1979–83, 1995. [MAI 00] MAILE B.E., HENSCHEL W., KURZ H., RIENKS B., POLMAN R., KAARS P., “Sub-10 nm linewidth and overlay performance achieved with a fine-tuned EBPG-5000 TFE electron beam lithography system”, Japanese Journal of Applied Physics part 1-Regular Papers Short Notes & Review Papers, vol. 39, n° 12B, p. 6836–6842, 2000. [MIC 09] MICROCHEM®, http://www.microchem.com/products/pdf/PMMA_Data_Sheet.pdf, 2009.

Electron Beam Lithography

181

[MOT 30] MOTT N.F., “The Collision between Two Electrons”, Proceedings of the Royal Society, A 126, p. 259–267, 1930. [MOT 33] MOTT N.F., “La diffusion des électrons par les atomes d’un gaz”, Annales de l’institut Henri Poincaré, vol. 4, n° 2, p. 221–236, 1933. [NAM 98] NAMATSU H., YAMAGUCHI T., NAGASE M., YAMAZAKI K., KURIHARA K., “Nanopatterning of a hydrogen silsesquioxane resist with reduced linewidth fluctuations”, Microelectronic Engineering, vol. 41–42, p. 331–334, 1998. [PAI 06] PAIN L., ICARD B., MANAKLI S., TODESCHINI J., MINGHETTI B., WANG V., HENRY D., “Transitioning of direct e-beam write technology from research and development into production flow”, Microelectronic Engineering, vol. 83, n° 4–9, p. 749–753, 2006. [PAR 79] PARIKH M., KYSER D.F., “Energy deposition function in electron resist films on substrate”, Journal of Applied Physics, vol. 50, p. 1104–1111, 1979. [RAI 09] RAITH®, http://www.raith.com/, 2009. [SAT 00] SATYALAKSHMI K.M., OLKHOVETS A., METZLER M.G., HARNETT C.K., TANENBAUM D.M., CRAIGHEAD H.G., “Charge induced pattern distortion in low energy electron beam lithography”, Journal of Vacuum Science Technology, vol. B 18, n° 6, p. 3122–3125, 2000. [SEL 60] SELVIN G.J., MACDONALD H.J., “The future of electron beam techniques in microelectronic circuitry”, SEBP Symposium, Proceedings P2, Boston MA, p. 86–93, 1960. [SEM 05] SEMATECH, Charged Particle Lithography (CPL) Workshop, Vienna, 2005. [SHO 09] SHOWA DENKO®, Electrification Dissipating http://www.sdk.co.jp/html/english/index.html, 2009.

Material

“ESPACER”,

[SID 09] SIDORKIN V., GRIGORESCU A., SALEMINK H., VAN DER DRIFT E., “Resist thickness effects on ultra thin HSQ patterning capabilities”, Microelectronic Engineering, p. 749751, 2009. [TAK 08] TAKEYA K., FUSE T., KINOSHITA H., PARKER N.W., “Simulation of Robustness of a New e-beam Column with the 3rd-Order Imaging Technique”, Proceedings of SPIE, vol. 6921, 6921J, 2008. [THO 78] THOMPSON L.F., STILLWAGON L.E., DOERRIES E.M, “Negative electron resists for direct fabrication of devices”, Journal of Vacuum Science Technology, p. 939-943, 1978. [TRY 06] TRYBULA W., Microelectronic Engineering, vol. 83, p. 614–618, 2006. [TSO 95] TSOULFANIDIS N., Measurement and Detection of Radiation, Taylor & Francis, London, 2nd edition, 1995. [VIS 09] VISTEC®, http://lithogroup.vistec-semi.com/, 2009. [ZEO 09] ZEON®, http://www.zeon.co.jp/business_e/enterprise/imagelec/imagelec.html, 2009.

Chapter 4

Focused Ion Beam Direct-Writing

4.1. Introduction Sample structuring with the help of a focused ion beam (FIB) is now a very popular and widely used technique in the field of chip and integrated circuit manufacturing in the semiconductor industry, and in research laboratories, mostly dedicated to prototyping applications. This technique, which allows writing even at very small dimensions, is complementary to the “standard” lithography techniques also described in this book. The main difference comes from the fact that, in the case of FIB, the structuring is made directly, without any intermediate replication stage (sensitive resist, thin film metal deposition, chemical etching), by sculpting the target material under the impact of a metal ion beam traveling at several hundreds of km/second. Gallium is the preferred type of ion in most cases, although most metallic materials can be used in their pure form, or as alloys. Structuring by FIB can be carried out by local surface defect injection, by ion implantation or by direct etching. This etching controls the incident ion dose for each scanned point according to the degree of sensitivity of the target and the effect desired. 4.1.1. A little history In 1959, in a speech that was set to become famous [FEY 59], Feynman, a future Nobel Prize winner, raised the question of how far miniaturization would go. In that visionary speech, he introduced what is now called molecular nanotechnology, reviewing all the applications that are now the present state of the art of Chapter written by Jacques GIERAK.

184

Lithography

nanotechnology, among which are the integration of data in small-scale devices, biological systems and computer miniaturization. What was questioned then was not whether it was physically realistic but rather how it would be possible to write small enough. At the end of the 1950s, the electronics industry had not yet, and for good reason, developed lithography techniques to define integrated circuits. It is interesting to note that, as he addressed that question without any prejudice, Feynman, even in 1959, suggested using an ion beam to modify matter directly to the required scale. 4.1.2. So why did it take so long to implement that suggestion? In microelectronics, the use of ions of energy ranging between one thousand and a few thousand electron-volts has always been usual in the etch field, as well as in the implantation field. Such processes almost always use a plasma (a partially ionized gas), or a large (non-focused) ion beam. The main use is for the mechanical etching of materials by bombardment. A gas, injected in a discharge chamber, is ionized by collision with the electrons emitted by a cathode. Grids then channel the ion beam and accelerate the ions, which are then neutralized before their impact on the sample. The gas used for preference is argon, accelerated under a voltage of about 500 V, which results in an ion current density before neutralization of the order of a few mA/cm². A second application is physico-chemical etching, involving both an ion bombardment, thus a mechanical energy transfer, and a chemical reaction between the ionized gas (fluorinated or chlorinated reagent gas) and the sample surface. The atoms of the gas react with the atoms of the sample and form new volatile compounds that will be evacuated by the pumping unit. This process is called reactive ion etching or “dry” etching as it is achieved inside a plasma. During ion implantation, the properties of a material are modified by inserting specific ions in a bulk sample. This technique is commonly used in microelectronics, as it enables the semiconducting materials to be doped. It is also used for other applications such as surface treatment. A plasma is created from a source containing the atoms to be implanted. An electric field extracts the ions. The ion beam is then sorted and accelerated by voltages ranging from a few kV to a few hundreds of kV before hitting the surface to be treated and penetrating to a depth of a few microns. The ions most commonly used are boron, arsenic, phosphorus, germanium and silicon, implanted in materials such as silicon, GaAs, etc. The doses range from 1011 ions/cm² to about 1016 ions/cm². This technique is now also used to improve the mechanical properties (wear and friction reduction), the resistance to corrosion, or the physico-chemical properties (surface tension and wettability) of materials. Until the middle of the 1970s, there were no ion sources capable of emitting a current density high enough to focus a current of a few A/cm² into a spot. It is only

Focused Ion Beam Direct-Writing

185

recently that the implementing of intense and small pointed liquid metal ion sources (LMIS) opened new perspectives by giving birth to systems allowing highly focused ion beams to be generated and used. These devices include a liquid metal ion source in their core which, when coupled to a focusing device, enables an ion beam to be generated, the energy of which ranges from one to a few hundred kiloelectron-volts (keV). These field-emission sources, are the descendants of the field ionization sources discovered in the 1950s by the German physicist Erwin Müller [MUL 69]. In those very low intensity sources, the electric field applied to a pointed tip with a radius of a curvature of a few nanometers ionizes the atoms of the rarefied gas in which the tip is immersed. This principle is still used in field ion microscopy, which used to be an important tool in surface physics, enabling the atoms constituting the tip to be visualized. The objective of the first studies on liquid metal ion sources was to produce engines for space vehicles. At the beginning of the 1960s, Krohn and his colleagues, looking for emitters capable of producing small electrically charged droplets, observed that high surface tension metals released ions rather than droplets when they were placed in an intense enough electric field [KRO 75]. Their discovery of a high brightness ion source using a liquid metal attracted considerable interest. At about the same time, Clampitt in Great-Britain was also studying a type of liquid metal ion source in order to form intense ion beams [CLA 75]. In France, just a little later, Castaing and Sudraud’s team also managed to develop such sources [SUD 79]. Around 1978, in Hughes’ laboratories, Seliger and his collaborators built the first scanning ion microscope, by adapting their liquid metal source to the optics of an electron microscope [SEL 79]. The era of high-performance focused ion beam applications had begun. 4.2. Main fields of application of focused ion beams As early as the beginning of the 1980s, a large number of focused ion beam systems were developed and tested, with varying degrees of success, in very different fields, which are summarized below. 4.2.1. Scanning ion microscopy One of the first fields of use of focused ion beams was surface observation (scanning ion microscopy) and application chemical analysis (secondary ion mass spectrometry). Ion spectrometry was studied mainly by Levi-Setti [LEV 85], who had developed a very high-performance scanning ion microscope by the early 1980s, making it possible to obtain a very high resolution of a few dozen nanometers. The benefit of that instrument, when coupled with secondary ion mass spectrometry

186

Lithography

(SIMS), was to determine the local elemental composition of the eroded substrate with a very low detection limit of about 1012 to 1016 atoms per cm3.

Scanning along X and Y

Secondary electrons , Imagery

Secondary ions, elemental analysis

Sample

(a)

(b)(i)

(ii)

Figure 4.1. (a) Tthe interaction of a scanning ion beam with the surface of a target. The collection of secondary electrons enables the surface to be imaged. The collection of secondary ions enables the elemental analysis of the substrate. (b) Images obtained with a scanning ion microscope: (i) Surface of a gold dot array (1µm x1µm) deposited on silicon, imaged by scanning a 37 kV gallium ion beam and collection of the secondary electrons. Scale bar 1µm [RAI 07]. (ii) “Eiffel tower” pattern etched by FIB on a silicon substrate and immediately imaged with the same gallium ion beam. Scale bar 5µm

As a result of sputtering on the sample surface (Figure 4.1), this technique enables “depth profiles” up to several micrometers deep to be reconstituted, which makes it invaluable in microelectronics. As for disadvantages, its limited spatial

Focused Ion Beam Direct-Writing

187

resolution can be cited and, above all, its destructive nature, since the surface imaged/analyzed is inevitably etched. In addition to rich information about the physico-chemical properties of the very small ion/matter interaction volume of the surfaces imaged, the scanning ion microscope is widely used to quantify the ultimate resolution of FIB systems (Figure 4.1(b)). A so-called “image resolution” is often deduced from the resolving power of the instrument for a given sample and given conditions. According to the Rayleigh criterion, the contrast between the finest features, based on local intensity variations of the collected signal, can be expressed as follows: I −I C = max min I max + I min

Thus, by using very low probe currents of the order of a fraction of a picoampere to prevent the surface from being etched too rapidly, and simultaneously using an image processing system (integrator, differentiator), it is possible to acquire “high resolution” images of the surface of a test target (usually graphite features on a gold layer). For a contrast variation of about 10%, a resolving power can be deduced from the image, which can be as small as 5 nm. At this stage, it is important to point out that this measurement does not represent the capacity of the instrument considered to sculpt matter at that scale [ORL 96], mainly because the currents conveyed to the ion probe are too low (less than 1 pA). 4.2.2. Ion lithography on sensitive resists This type of application was studied as early as the beginning of the 1980s by several teams, including those of Seliger in 1979, and Kubena [KUB 91]. This technique uses sensitive resists similar to those regularly used in photolithography or in electron beam lithography. The results obtained by Kubena in particular demonstrated a performance level quite comparable to the very best results ever obtained in electron beam lithography, by achieving line patterns with a lateral resolution as small as 8 nm, in a PMMA resist film. However, this technique has never become really popular because of a major obstacle, not present in electron beam lithography, namely the need to use very thin resist films (about 50 nm), which makes the final pattern transfer in the resist at the sample surface more complex. The problem arises from the high mass of the ions used (gallium) and their limited penetration power compared to other particles (Figure 4.2). An alternative was studied by using ions with a lower atomic weight and a higher energy (>100 keV), but the results obtained at the cost of a growing instrumental complexity did not show any significant improvement in comparison

188

Lithography

with electron beam lithography systems. There may be however a renewal of interest in this technique, given the new approaches that have been recently put forward [ARS 04, GIL 06]. The idea is to combine gallium ion implantation in a resist layer in order to define a mask with reactive ion etching, which enables the image of the mask to be transferred into the resist film. The main interest is that the performance is significantly improved, since the mask writing only needs a very low dose (about 1012 ions/cm²), and the final etching is performed in parallel, in one step. In the end, the resolution achieved is about the same as that of the best performance of other techniques.

Protons 2 MeV Protons

Gallium Ions 30 30 keV Ions gallium

Electrons keV Electrons 50 keV

X-rays,X,EUV Rayons EUV

60 µm

Figure 4.2. Comparison of the penetrating power of the different particles used in lithography

4.2.3. Implantation The direct and localized implantation of dopants in semiconductors was first studied by Miyauchi and his collaborators, followed by industrial firms who made considerable efforts and investments. The aim was to enable the fabrication of integrated circuits [MIY 86] by combining P or N-type doping at will, with more conventional FIB writing techniques. But this technique, which seemed very promising, has not reached the manufacturing stage. Despite the fact that they were developed from metal alloys capable of providing either P-type, N-type or even insulator-type doping, it rapidly emerged that liquid metal ion sources could not emit intense enough currents for industrially viable implementation. The

Focused Ion Beam Direct-Writing

189

development of focused ion beam devices operating under high voltage from 100 to 200 kV, especially for this application in the 1980s, was quickly given up. However, in the field of electronic components research, by precisely adjusting the doping of certain very localized regions, this technique allowed properties formerly unattainable with standard fabrication techniques to be obtained. 4.2.4. Localized etching The last application field, which is very widely used nowadays, consists of direct etching of features that can reach a scale much smaller than one micrometer. For the energy range considered and ions used (gallium ions from 5 to 50 keV), the general principle is that the kinetic energy of the incident ion and its momentum are transferred to the target through elastic and inelastic-type interactions in a very localized manner. The penetration of a gallium ion in a target is about ten nanometers [ZIE 06], which is much less than that of an electron with the same energy (Figure 4.3(a)). In the case of inelastic interactions (“electron” energy losses), the ion energy is transmitted to the target electrons and provokes ionization phenomena (electron emission). In the case of elastic interactions (nuclear energy losses), the ion energy is mostly transferred to the target atoms as displacements, which provoke damage as well as an etching phenomenon at the surface of the exposed material. The most widely accepted mechanism for describing the ion–solid interaction is the collision cascade model. If the energy received by an atom exceeds a critical value, the atom under consideration will then be moved from its initial site and, in a crystalline material, this will result in the creation of a vacancy. This primary collision can then continue and give rise to multiple secondary collisions as long as the energy transmitted to the displaced atoms is greater than the displacement energy of the atoms of the target considered. As an example, the threshold displacement energy is about 5 to 20 eV, a much greater value than the atomic bonding energy for the usual materials used in microelectronics, which is about 1 eV. The consequence of this is that it allows an efficient etching of most of the materials commonly used in microelectronics. This etching phenomenon is carried out at a rate that varies according to the nature of the incident ion and its energy. The total number of atoms sputtered for one scan of the ion beam can be expressed in the form: N=

V ρN A = YNi N x N y A

190

Lithography

with V being the eroded volume, ρ the density, A the atomic weight of the sputtered material and NA the Avogadro constant. Y is the sputter yield (number of sputtered atoms/number of incident ions), Ni the number of incident ions during the irradiation time, Nx the number of impact spots along the X axis and Ny the number of impact spots along the Y axis (Figure 4.3b). Particles ejected by sputtering

Sample

Figure 4.3. a) Principle of FIB etching. The atoms that are sputtered from the target and re-deposited are represented. b) Principle of ion probe scanning. The overlap of two probe impacts (symbolized by circles) is adjustable

Hence, the total volume removed in a pattern made of Nx spots along the X axis and Ny along the Y axis can be expressed as:

V=

YNi N x N y A ρN A

Consequently, the etch-sensitivity S of the target material (for example in µm3/nC) can be expressed as follows:

Focused Ion Beam Direct-Writing

S=

191

YA ρN A e

This sensitivity was measured [LES 95, STA 95] for a 30 keV gallium ion beam. It ranges from 0.08 for aluminum oxide, a material not very sensitive to erosion, to 0.61 for gallium arsenide, and peaks at 1.5 for gold, which is one of the most sensitive materials to ion-induced etching with gallium. The average sputter yield for the different elements is around 2 atoms/ion. It is clear that, given the current densities involved, the etch speed is limited to a few cubic-microns per minute (1 µm3 = 10–9 mm3). Furthermore, the speed and homogeneity of the etching are strongly affected by the properties of the target material (conductor, insulator, crystal, polycrystal, amorphous material, doping, etc.) as well as by the angle of incidence of the beam. Hence, unlike for etch sidewalls, it is not possible to define flat-bottom etch pits, as the residual roughness can reach one micrometer. Furthermore, the irradiation generates electric charges that must be removed to prevent drifts of the incident beam (deflection); this also imposes constraints for protection of the features that are sensitive to electrostatic discharges. For a complex circuit that needs to be preserved for further functional tests, this is a delicate problem. Finally, the ion bombardment from the ions colliding with the target material generates damage (defects in the material, generation of heat), which is unacceptable when the starting material is of very high crystallographic quality, which is the case for the III-V compounds used in optoelectronics. 4.2.5. Reactive gas and metal precursor injection As previously discussed, the ion sputtering mechanism is a process that is essentially driven by physical mechanisms that enable atoms to be ejected from the target with an average energy of a few electron-volts. These ejected elements then re-deposit on the immediate surroundings of the area from which they were detached, within a spot of about one micrometer, on average. Thus, redeposition is not only a surface pollution problem: for deep trenches, partial refill of the feature can occur, which can lead to a short-circuit risk, for example between interconnections. In order to avoid this limitation and to increase productivity, the FIB technique was associated with a reactive gas to enable a reactive ion etching effect, thus improving etch rates [GAM 91] and eliminating the redeposition effect (Figure 4.4). This method is based on the addition of a reactive gas inside the work chamber, which is injected by means of a motorized capillary tube located in the immediate neighborhood of the work area. The gas is adsorbed on the sample surface and then

192

Lithography

reacts with the atoms sputtered from the target by forming volatile compounds. These compounds are then evacuated by a pumping unit. Thanks to this device, a significant improvement of the etch speed can be observed, by a factor from 10 to 100 depending on the material and on the injected gas [MAT 96, REY 01], as well as a better etch selectivity between two given materials, less redeposition and an improvement of the shape factor (diameter/height) for deep trench-type features. As for limitations, surface contamination issues and limitations of the ultimate resolution can be mentioned.

Capillary tube

Volatile compounds reacting with the sputtered species

Reactive gas

Sample

Figure 4.4. Principle of FIB etching chemically assisted by a reactive gas, which improves the shape factor of the etched features and increases the etch speed

From the same perspective, the possibility of locally depositing a conductor thanks to a FIB system was also considered quite early in the first approach; the LMI source was used as a charged molecular cluster emitter and these aggregates were deposited on the surface of the sample [WAG 85]. The lateral resolution of the deposited features, limited by the wide energy dispersion of the emitted clusters, could never be made higher than a few micrometers, which limited interest in the technique, though it was promising in terms of purity control of the deposited film [MAD 07]. At present, ion beam-induced deposition techniques are universally based on an energy transfer between the secondary electrons generated by the impact of the FIB, which ruptures the molecules of an organometallic precursor gas adsorbed on the surface of the target (Figure 4.5). The non-volatile reaction compounds, which are generally chosen for their either metallic or insulating nature, then form a solid deposit that adheres to the target surface. The materials usually deposited are platinum (Pt) or tungsten (W). For tungsten, the organometallic precursor gas is a W(CO)6 compound. It is also possible to deposit silica by using the compound

Focused Ion Beam Direct-Writing

193

1, 3, 5, 7-tetramethyl-cyclotetrasiloxane (TMCTS) as a precursor, in the presence of oxygen (O2) (or water vapor). A recurring criticism of this method, which remains, concerns the chemical composition of the deposition obtained, which has a very high percentage of carbon, leading to a relatively high resistivity of the deposited layers [MAT 01].

Volatile compounds Capillary tube

Precursor gas Conductor material

Sample

Figure 4.5. Principle of the FIB-induced deposition method. The precursor gas injected by the capillary tube is decomposed by the secondary electrons generated by the ion/target interaction

However, these two etching/deposition processes, which can be combined favorably, are direct and relatively localized methods; in other words the reactions take place in the immediate vicinity of the point of impact of the beam. As a consequence, by appropriate control of the beam, the desired outline can be drawn on the surface to be etched or built up; 3D-control is possible. 4.3. From microfabrication to nanoetching Since its early stages in 1978, with the work of Seliger, FIB technology has constantly improved. Impartial indicators of that tendency would probably be the performance improvements in terms of matter removal, transferred current density in the ion probe and resolution of the features produced.

194

Lithography

4.3.1. Principles and properties of liquid metal ion sources Liquid metal ion sources, more commonly referred to as LMIS, are a particular type of field effect source. They have the following general properties: moderate emitted current (from about 1 to 100 µA), coming from a very narrow emitting area (smaller than 10 nm) and distributed over a relatively large solid angle (more than 0.1 steradian). Thus, they are point-like sources and their intrinsic brightness is among the highest known to date [MEL 01]. Boucle de chauffage Loop heat

Réservoir de Molten metal

(1)

VVs

Métaltank fondu

(2)

Tip Pointe Taylor cone Cône de Taylor Faisceau Ion beam d'ions Extractrice Extractor

(a)

(b) Figure 4.6. a) Operating principle of a liquid metal ion source (LMIS). (1) The extraction voltage is lower than the critical threshold value VS. (2) The voltage is greater than the threshold voltage VS, and thus the Taylor cone as appears and ion emission occurs. b) In situ photograph of an LMIS developed at LPN-CNRS placed in vacuum and heated beyond melting point of the metal (T ~ 900 °C). Here the metal is a eutectic Au/Si alloy. The circular spot under the tip, corresponding to the impact of the beam on the extractor electrode, is noticeable, as well as the (faint) halo under the tip (tip voltage ~ 10 kV, emission current ~ 25 µA)

The supply of a liquid metal ion source, briefly described in Figure 4.6, is achieved by diffusion of a metal film, maintained in its liquid phase, on the surface

Focused Ion Beam Direct-Writing

195

of a tungsten tip. By submitting this tip/liquid metal pair to a potential difference V of several thousand volts, the metallic film at the end of the tip is distorted and takes the shape of a stable cone structure. This equilibrium state indefinitely maintained by the electric and mechanical forces was studied in the early 1960s by the British physicist Geoffrey Taylor, hence the name a “Taylor cone” [TAY 60]. Three forces determine the equilibrium shape of the cone structure: the electrostatic forces, the surface tension and the internal pressure in the liquid metal film. The electric forces tend to draw the surface of the liquid metal film along the field lines, while the surface tension tends to maintain the cohesion of the liquid. These forces are inversely proportional to the square of the radius of curvature of the liquid: the more curved the surface of the metal at the end of the tip (the radius of curvature is of the order of a few nanometers), the stronger the centrifugal attraction due to the local electric field, but the greater the centripetal cohesive force due to the surface tension. Experimentally, for a critical tension VS (threshold tension) applied to the tip, matter is extracted from the tip in the form of ions and charged clusters (Figure 4.6). 4.3.1.1. Energy spread of the emitted beam This parameter is a particularly important factor since it determines the size of the spot; the chromatic aberration of the lenses, as is discussed later, is the main limitation for obtaining nanometric-size ion sources. Unlike far-field electron sources, for which the energy spread is at most equal to 0.2 to 0.3 eV, the energy spread of the ions emitted by an LMIS is considerable. The measurements show that energy spread increases with the emission current [SWA 89] according to a law established by Knauer [KNA 81]. Knauer showed that ions diverge so quickly after they are emitted that their paths never cross again. The increase of the axial kinetic energy of the particles is caused by a relaxation of the Coulomb potential energy of the beam, leading to an energy spread given by: 1/3

ΔE = 5.8π

e ⎛m⎞ ⎜ ⎟ 4πεo ⎝ Vo ⎠

rs jo2/3

with V0 being the acceleration potential of the beam, e and m the charge and mass of the particles respectively, ε0 the dielectric constant of the medium, rs the radius of the effective source and jo the emitted current density. In the case of gallium ions, this energy spread has been the subject of many experimental studies. A minimum value close to 4.5 eV for an emission current of a few microamperes has been found by several authors [SWA 79, PRE 82, MAI 83, NAK 93, BEC 96].

196

Lithography

It is easily verified that this large energy spread cannot be explained by the usual theories involved in a field evaporation mechanism [MAI 83]. For a gallium surface submitted to an electrostatic field ε, a good approximation to the full width at half maximum (FWHM) of the energy distribution of a singly charged ion is given by the following expression [MAI 83]:

FWHM = eεΔx = 0.076eV 3/2 εφ−1/2 For gallium, φ ≈ 4.5 eV and the evaporation field ε = 15 V/nm, this calculation predicts ΔE = 0.5 eV, which is about 10 times lower than the value observed experimentally. In an attempt to explain this difference between the values experimentally observed of about 4.5eV and this calculation or other theoretical calculations even more sophisticated [FOR 04, VAN 03], a better understanding of the physical phenomena involved is necessary. 4.3.1.2. The angular density The LMIS tip/extraction electrode forms a weak lens, of which the angular magnification m varies with the extraction voltage applied and with the geometry of the tip/extractor pair. This can be expressed as follows: 1/2

m =

α ⎛V ⎞ = M c−1 ⎜ o ⎟ θo ⎝V ⎠

[4.1]

where θ0 and α are the initial and final aperture angles, Mc is the linear magnification of the optical system relative to the virtual image plane, V0 is the tension applied at the object plane where the particle is emitted (that is, a value between 0.1 and 1eV) and V is the voltage applied to the particle in the image plane (its final acceleration voltage). Based on the geometry described in Figure 4.7a, it can be deduced that: dI JA Jr ²(1 − cos θo ) = = dΩ Ω (1 − cos α )

and, by combining equations [4.1] and [4.2]:

Jr 2 dI ≅ d Ω m2

[4.2]

Focused Ion Beam Direct-Writing

197

For a gallium ion source described in literature [BEL 86], the generally reported nominal angular density on the axis is about 20 µA/Sr for an emitted current of about 2 µA. It has also been observed that the angular magnification m varies along with the voltage V applied to the particle in the image plane (its final acceleration tension), and it is thus possible to modulate the angular density of an ion source within a large range. Thus, within the nanoFIB project framework [NAN 07], values as high as 80 µA/Sr were obtained [VAN 03]. 4.3.1.3. The diameter of the virtual source point Even if, from a physics point of view, an LMIS is a point-like emitter with an emission area diameter of a few nanometers, from a geometrical optics point of view, only the diameter dv of the virtual source matters, that is to say the spot from which the emitted ions seem to issue when they arrive at the input of the optical system after being accelerated. This enlargement can be explained based on Knauer’s study, and on the interactions between particles (the Boersch effect) in which the very high charge density zone in the immediate vicinity of the emission surface, where the density can reach up to 1022–1023 ions/cm3. The diameter of this spot is obtained by extrapolating the tangents to the trajectories at the input of the electrode that sets the acceleration energy, back to a plane behind the emission surface (Figure 4.7b).

r α

θo

Diamètre terminal Terminal diameter, pointe support support tip

Virtual Source source irtuelle

Emission zone Zone émissive dv

Figure 4.7. a) Schematic representing the initial trajectories of the ions emitted in the object plane (emitter) and in the image plane; the extraction electrode diaphragm. b) Principle of virtual source size determination by extrapolation of the tangents to the trajectories of the emitted ions that define the diameter dv of the virtual source. In this diagram, the emitter (the physical end of the tip) is represented as a sphere

198

Lithography

This diameter was first measured experimentally with the help of an optical transfer system [KOM 83], and has been more recently estimated between 50 and 100 nm [WAR 85] by Monte Carlo calculations, with which the Coulomb interactions between particles at the immediate vicinity of the emission area (Boersch effect) to be taken into account. This demonstrated that dv increases with the emitted ion current. For I = 2 µA and a gallium source, it is generally admitted that dv ranges from 30 to 50 nm. 4.3.1.4. Brightness The brightness of an ion source is the last important parameter, since it determines the current value in each plane crossed by the beam. The brightness is defined as:

B=

dI d Ω.dS

B is expressed in amperes by unit area and unit solid angle (A/m².sr): B=

4.dI / d Ω πdv2

By setting dI/dΩ = 20 µA/sr and dv = 50 nm, we obtain: B ~ 106 A/cm²sr which represents one of the highest known values for ion sources. 4.3.1.5. Example of the fabrication of a liquid metal ion source The ion species available from liquid metal ion sources do not include all the metals. Although it is possible, in some cases, to use some of these elements as alloys and then extract the desired ions, the following constraints govern the fabrication of an LMIS capable of emitting ions from a given metal: – the vapor pressure of the metal to be ionized must be low enough for the metal to have a liquid phase under vacuum. For instance, magnesium or zinc cannot be used since sublimation occurs during melting under vacuum; – the wettability of tungsten (support filament) by the metal to be ionized must be good. The filler metal must diffuse by capillarity at the surface of a tip made of a refractory material, which is tungsten in most cases. This is not the case for silver/tungsten and copper/tungsten pairs;

Focused Ion Beam Direct-Writing

199

– the formation of alloys between the tip material (W) and the filler metal must be prevented, as it causes rapid corrosion of the tip (and hence destruction of the source). This is the case with an aluminum/tungsten couple. The ion source is usually in two parts, both made from a tungsten filament. The tip part is sharpened at the end (label 1 in Figure 4.8). The radius of curvature of the apex end strongly depends on the polishing method used and the application under consideration; it usually ranges from a few dozen to a few hundred nanometers. A filament wound in a series of circular coils is inserted around the tip in order to constitute the reservoir containing the metal to be ionized (label 2 in Figure 4.8). Finally, the filler metal is loaded by immersion of both the reservoir and tip ends in a bath of metal, heated so that it remains in a liquid phase. The result of this last operation enables a droplet to be trapped in the reservoir, the mass of which is about one third of a gram, which is about 2.5x1021 gallium atoms. The theoretical autonomy for a current of a few microamperes, corresponding to a gallium ion flux of about 1.25x1013 ions emitted per second is several thousand hours. However, in practice, this lifetime does not go beyond 500 to 1,500 hours, mostly due to tip contamination by reason of backsputtering-type effects [GAL 88]. 1

5 mm 2

3

4

(a)

(b)

Figure 4.8. (a) Geometry of gallium liquid metal ion sources developed in LPN. (1) Tungsten tip: 0.38 mm diameter thread, ending with a cone-shaped tip; (2) Reservoir heating filament made out of a 0.125 mm diameter tungsten thread wound in adjoining coils;. (3) Support clip; (4) Base support assembly. (b) View of an assembled LMIS

4.3.2. Principles and properties of an ion column for focused ion beam generation

The focusing and dispersive properties of devices using static electric and magnetic fields are expressed in the language of geometrical optics. The properties

200

Lithography

of electrostatic optical systems have been the subject of many theoretical and experimental studies since the theoretical work of Louis de Broglie in 1923 on the wavelength associated with particles, and the experimental verification of the laws of electron beam focusing by Busch in 1927. The electron microscope, which was first constructed in the early 1930s, is the perfect example of this mastery and of the achievements of electron optics, since this type of instrument now enables us to reach atomic resolution [HAW 07]. 4.3.2.1. The concept of electrostatic optics: the electrostatic deflector It is easy to show that the velocity of a charged particle (ion or electron) traveling in a straight line is given by:

v0 = − 2eU 0 / m with e the elementary charge, U0 the accelerating voltage of the particles and m its mass. When the particle encounters a transverse electrostatic field created by a voltage V applied, for example, between plates of length l placed symmetrically at a distance d/2 from the direction of propagation, it will be deflected along a parabolic trajectory (Figure 4.9). The deflection D at a distance L from point M can be written in the form: D=

VlL 2U 0 d

The deflection is independent of the ratio e/m for the particle in question. The particle can also be deflected by a transverse magnetic field B. Under the action of this constant force, the particle will follow a circular trajectory of radius R so that the electromagnetic force is balanced by the centrifugal force at each instant:

Bev = mv 2 / R The deflection D of the beam at the same distance L can be put in the form:

D = BlL e / 2mU 0

Focused Ion Beam Direct-Writing

201

Figure 4.9. Trajectory of a charged particle after passing through an electrostatic deflector

It can be seen immediately that, in the case of a magnetic deflector (Figure 4.10), the deflection effect is proportional to the ratio, e/m. For the FIB application, this is undesirable, since a gallium ion beam carries both natural isotopes of gallium (Ga 69, 60% and Ga 71, 40%) as free ions, as well as double-charged Ga++ ions with a ratio Ga+/Ga++ of about 10–3. The magnetic splitting then has the drawback of enlarging the spot by dispersion of various particles.

Figure 4.10. Trajectory of an electron after passing through a magnetic deflector oriented perpendicular to the page

A second drawback appears when the effects of the two types of deflectors for the same 30 keV Ga+ ion are compared. Consider the case V = 10 V, L = 20 x 10–3 m, D and l both equal to 10 mm. In order to obtain the same deflection as generated by a voltage of 10 V, a magnetic field of 0.1 T is necessary. In order to create such a strong magnetic field, the current in the solenoids must be relatively high, with the associated constraints. These have to be incorporated in the confined space in the column, where problems of heat dissipation can produce mechanical drift.

202

Lithography

4.3.2.2. The concept of electrostatic optics: electrostatic lenses From this stage on, purely electrostatic focusing and deflection systems are chosen for a FIB system, towing to their simplicity, lightness and efficiency. Details of the calculations of the optical properties of electrostatic systems, can be found in more specialized books [GRI 72, HAW 96]. Only the basic mechanisms involved will be recalled here. In electrostatic devices, the static electric fields are generated by charges distributed on conductor electrodes held at fixed potentials. The field generated by these surface charges can be written as: G

G

ε = − gradϕ with ε the electric field and ϕ the potential. The potential distribution at any point obeys the Laplace equation, which can be written in cylindrical coordinates in the case of a rotationally symmetric system (which is the case for an electrostatic transport system) where ϕ depends only on r and on z: ∇2ϕ ( r, z ) =

∂ 2ϕ ∂r 2

+

1 ∂ϕ ∂ 2 ϕ + r ∂r ∂z 2

In the absence of free charges in the inter-electrode gap (for example in the case of an electrostatic lens without a beam on the center axis), ϕ satisfies the Laplace equation optic:

∇2 ϕ = 0 (Laplace equation) When a charge density distribution ρ(r, z) is present in the gap, ϕ satisfies: ∇2 ϕ = −

ρ (Poisson equation) ε0

Resolving this equation is not directly possible. In order to calculate the potential at any point, it is preferable to solve the Laplace equation first and then consider the presence of the charges. The principle of this calculation, which will be briefly described later (for more details, see Chapter 5), consists of finding a solution to the Laplace equation in the neighborhood of the center axis optic. In the case of a lens with circular holes, with a rotational symmetry around the optic axis, a section of equipotential ϕ(r,z) = const., in a plane (r, z) passing through the optic axis is a surface that is symmetrical with

Focused Ion Beam Direct-Writing

203

respect to the axis of symmetry (see Figure 4.11). It can be deduced that the expansion of the potential close to the axis can have only even powers:

ϕ(r , z ) = ∑n An ( z ).r 2n where n is a positive integer or zero and An(z) are functions of z. The series expansion of ϕ(r,z) must satisfy the Laplace equation. In turn, this leads to a relation between the An(z) coefficients: 1 An ( z ) = − An′′−1 ( z ) 4n 2 with An′′ the second derivative of An. In a given plane passing through the axis of symmetry, the potential at any point can be put in the form: ϕ(r , z ) = ϕ0 ( z ) −

r2 r4 ϕ0′′ ( z ) + ϕ(IV) ( z) 4 64 0

with ϕ0˝ the second derivative of ϕ0 and ϕ0

(IV)

the fourth derivative of ϕ0.

The function A0(z) is thus the potential on the axis ϕo (z), and the paraxial equation of the trajectories can now be derived. As a first approximation, it can be shown that, near the axis, the contribution of the terms of degree greater than 2 can be disregarded. This equation then describes the behavior of a narrow beam with a diameter of a few micrometers (Gaussian approximation). This is the case for the ion beams used here. The electrostatic field components are given by: ε r (r , z ) = −

∂ϕ r r3 = ϕ′′0 ( z ) − ϕ(IV) ( z) − " ∂r 2 16 0

ε z (r , z ) = −

∂ϕ r2 = −ϕ0′ ( z ) + ϕ(III) ( z) − " ∂z 4 0

4.3.2.2.1. Focusing A focusing effect is obtained by applying a radial force to the particles passing through the optical system, so that:

Fr = qεr = −q

∂ϕ(r, z) ∂r

204

Lithography

with: ϕ(r , z ) = ϕ0 ( z ) −

r2 ϕ′′0 ( z ) (Gaussian approximation). 4

In first order, the radial force Fr is linear along r: r Fr = −q ϕ′′0 ( z ) 2

and produces stigmatic focusing. In second order, however, the focus order is no longer linear since terms in r3 appear in the expression of Fr. The focusing effect is modified by a corrective term in r3. The focusing is stronger for rays that are far from the optic axis than for the beams close to the axis. The focal point is no longer unique. The aberration known as “aperture aberration” or “spherical aberration” arises from this corrective term. 4.3.2.2.2. Calculation of the trajectories in the Gaussian approximation in rotationally symmetrical systems The Gaussian approximation requires that the trajectories be only slightly inclined on the optic axis. In other words, dr/dz 0, there is a divergent effect, as E''c < 0. In the lens represented in Figure 4.11b, E''c > 0 when the convexity of the curve is facing the Oz axis. In this lens, there is successively: – divergence of the trajectories from A to B and from D to E; – convergence of the trajectories from B to D. It can be observed that two antagonistic effects apply to the charged particle that travels inside the device. These two effects cancel each other out if they have the same intensity, and the trajectory of the particle remains unaltered as it exits the device. However, if the analysis of the particle motion is studied in more detail, it can be observed that the motion of the particles is not uniform inside the device. This is due to the fact that the central electrode is polarized with a voltage of the same sign as that of the particle and with a value V/2, V being the extraction voltage

Focused Ion Beam Direct-Writing

207

of the particle (here, an ion). Thus this lens is a decelerator lens that slows down the ions and then re-accelerates them. Hence, it can be observed (Figure 4.11b) that there is: – a decelerating motion from A to C with a divergence effect; and – an accelerated motion from C to E with a very strong initial convergence effect (at C).

Figure 4.11. (a) Effect of an electrostatic system consisting of a source at O, an accelerator stage and a three-electrode symmetrical lens. (b) Evolution of the kinetic energy of an ion as a function of its position in the system, with Ec(z) = eϕo(z)

208

Lithography

It can therefore be seen that, although the divergent effects (defocus) are seen both at the entrance and at exit of the lens, the focusing effect acting on highly decelerated particles (in the zone of slowest velocities) prevails in the end: the particles are redirected to the optic axis under the action of the force Fr. As a conclusion for this section, one should keep in mind that, for round lenses, which is always the case for the electrostatic optics of a FIB system, convergence is a differential effect. The art of making optical systems, in particular for FIB devices, consists of optimizing the shape and the symmetry or asymmetry of the electrodes in order to enhance the properties of the electrostatic lens, for which there are many variants [KRU 96]. This optimization is greatly facilitated by the use of very highperformance simulation tools, which use the finite-element method [ZIE 82], a numerical technique for calculating the potential value. Applied to the analysis of electrostatic lenses, this method enables us to calculate accurately the potential or the field distribution at each point between the electrodes, to determine the path of the ions through the regions influenced by the electrostatic field and, finally, to deduce the resulting optical properties of the system, as well as its aberrations. The structure of the component is first represented inside an area defined by boundaries (usually the mechanical support), on which the potential is assumed to be known. In particular, between the electrodes that are far from the axis, it is assumed that the variation of ϕ (r,z) is that of a plane capacitor (linear function). The internal region is then divided up into a series of coarse quadrilaterals (Figure 4.12), the edges of which are chosen so that they coincide with the profile of the electrodes. These quadrilaterals are then divided up once again in order to create a finer mesh. The mesh density can then be modulated at will, depending on the precision needed. In the last stage, each quadrilateral is divided into two triangles, which creates a new mesh. Each triangle forms a finite element. A potential ϕi is attributed to each point of the lattice. This potential is assumed to vary linearly as a function of the distance inside the finite element, which is why this type of calculation is known as the “first order finite-element method”. With this method, it is possible to determine the potential at each point of an element by knowing the potential at each node of the lattice. This method is explained thoroughly by P. Hawkes (see Chapter 5).

Focused Ion Beam Direct-Writing

(a)

209

(b)

Figure 4.12. (a) Section through the potential distribution at the surface of the electrodes and in the inter-electrode gap. (b) The mesh density varies with the geometry of the electrode, so that the accuracy of the calculations can be improved [LEN 07]

Once the potential distribution has been calculated, the paraxial trajectory equation can be solved: r ′′( z ) +

ϕ′0 ( z ) ϕ′′ ( z ) r ′( z ) + 0 r ( z) = 0 2ϕ0 ( z ) 4ϕ0 ( z )

This equation is numerically solved by using an integration method for Gaussian trajectories (Runge-Kutta). The chromatic and spherical aberration coefficients referred to the image plane can then be calculated from the following integrals [HAW 96]. Again, for more detailed information, see Chapter 5 and [HAW 96]:

⎧ ⎡ 5 ⎛ ϕ′′ ⎞2 5 ⎛ ϕ′ ⎞2 ⎤ ⎫ ⎪⎢ ⎜ ⎟ + ⎜ ⎟ ⎥ r 2 ⎪ zi ⎪ 4 ϕ 24 ⎝ ϕ ⎠ ⎥ 1 ⎪ ⎣⎢ ⎝ ⎠ ⎪⎪ 2 ⎦ Cs = ⎨ ⎬ r ϕdz ∫ 3 2 16r ′4 ( zi ) ϕ( zi ) z ⎪ ⎪ 14 ⎛ ϕ′ ⎞ 3 ⎛ ϕ′ ⎞ 0 ⎪+ ⎜ ⎟ rr ′ − ⎜ ⎟ r ′2 ⎪ 2⎝ ϕ ⎠ ⎩⎪ 3 ⎝ ϕ ⎠ ⎭⎪ The chromatic aberration results in a convergence effect that varies with the energy of the particles for a given lens strength: Cc =

ϕ( zi ) r ′2 ( zi )

zi

⎛ ϕ′

ϕ′′ ⎞ r

∫ ⎜⎝ 2ϕ r ′ + 4ϕ r ⎟⎠

z0

ϕ

dz

210

Lithography

where ϕ(z) is the potential distribution on the axis, r(z) is a paraxial ray starting on the axis at the object plane z0 and crossing it again at the image plane zi. 4.3.3. Calculation of the optical properties of an electrostatic system

The optical properties of an electrostatic lens for a given geometry and excitation can be calculated quickly and precisely. Thus, it is possible to choose an optical setup adapted to a specific type of application, such as a strong current in order to remove a maximum amount of matter, or a very low current for imagery or tracking. The focused ion beam technique is now used for various applications, ranging from microetching to nanofabrication. In general, the architecture of an FIB column is developed to generate the smallest possible spot for a given current. Then, its geometry is evaluated in terms of flexibility to allow variations of the operating conditions, currents ranging from 0.1 pA to 1 nA, for example. (a)

(b)

Zo Source

L

Lentille Lens 11

Zi Lentille Lens 22

ImageS

(c)

S

L1

L2

L1

L2

(d)

L1

L2

IS

Figure 4.13. Optical modes regularly used in conventional FIB systems: (a) “crossover” mode, promoting a high current density; (b) semi-divergent mode, enabling a maximum resolution; (c) parallel mode; d) semi-convergent mode

Focused Ion Beam Direct-Writing

211

In practice, the current FIB columns were developed based on two electrostatic lenses, and flexibility is obtained by using specific optical modes (Figure 4.13) in order to optimize either the resolution or the current density. The following description will mostly emphasize the development of an FIB system capable of achieving a very high resolution [NAN 07] and producing an ion probe that has a width at half-maximum smaller than 10 nm. The semi-divergent mode (Figure 4.13(b)), providing a maximum resolution, was preferred for this instrument with which the ultimate potential of focused ion beams was explored. The performance of an electrostatic optical system is assessed by performing modeling the electrostatic field distribution inside the optical system of the various electrodes. Then, a modeling of the trajectories of the particles passing through the system is performed. From these trajectories, the size of the spot hitting the target is calculated. If the optical system was perfect, the image of the source would simply be obtained by multiplying the size of the source δ by the total magnification M of the optical system. But, like all optical systems, the optical column suffers from defects or aberrations that will add up and enlarge the size of the image generated at the target. Several factors are involved in the calculation of the size of the spot at half maximum: – a magnification term dG = Mδ , with M being the linear magnification of the optics and δ the size of the virtual source point. For a two-lens system, M = M1M2, M1 and M2 being the magnifications of the first and second lenses, respectively. It should also be noted that when an ionic probe smaller than the size of the source point is desired, the magnification should be much less than 1: M > 1 and M 1)

[5.57]

To characterize a probe, we introduce γ, the angle at the probe, γ=α/M (Figure 5.7a, b): Δx = M 4 C s ( ∞ ) γ 3

( M 1:

[5.59]

252

Lithography

Δx = MCs α3

[5.60a]

and when M λ/NA) Contact (CD < λ/NA)

Prefered resist Positive Positive Negative Positive Negative Positive Positive Negative

Printed pattern Isolated line Dense line Dense line Isolated space Isolated line Dot Contact Dot

Table 6.1. Prefered choice of resist depending on the pattern on the mask, its dimension (CD) and the printed pattern in the resist considering the aerial image during defocusing for σ = 0.5 [BRU 01]. λ is the exposure wavelength, and NA is the numerical aperture of the exposure system

6.2.2. PAC resist The main step in the development of resists for optical lithography was the discovery of the first photopolymer by Louis Minsk for Eastman Kodak [WIL 06]: poly(vinyl cinnamate) (Figure 6.10). This first resist was exposed by a large bandwidth spectrum from 365–436 nm. The photons emitted at these wavelengths had enough energy (respectively 3.39 and 2.84 eV) to rupture the carbon–carbon π bonds of energy 2.74 eV. The use of this negative monocompound resist has been limited by adhesion problems. n

n

O O

O h?

O

O O n

Figure 6.10. Crosslinking of poly(vinyl cinnamate) during exposure

The “kodak thin film resist” (KTRF) was the first to allow production of integrated circuits. An absorbent diazide (see Figure 6.11) at 365 nm allows the photo-induced radical crosslinking of a polymer matrix with double bonds.

Lithography Resists

289

O + N3

N3 Diazoture Diazide

n Cyclic rubber Caoutchouc cyclisé

hν O

+

N

N

N2

n n

Figure 6.11. Crosslinking of the KTRF resist during exposure

This resist development was achieved in an organic solvent, leading to the swelling of smaller patterns. This phenomenon is usually a disadvantage for negative resists, more particularly in the areas for which resist exposure is only partial. The real revolution was the development of the bi-compound resist “Novolac” (literally “new resist”). Inspired by azo dye technology, Oscar Süss combined a synthetic phenolic resist discovered around 1900 with diazonaphtoquinone (DNQ) (Figure 6.12). Before exposure, DNQ inhibits the dissolution of poly(phenol). O

OH

N2 n

+ O

S

O

OAr

Poly(phenol) Poly(phˇnol)

DNQ

Figure 6.12. Formula of the Novolac resist with the dissolution inhibitor DNQ

During exposure, DNQ rearranges itself into a product soluble in a basic aqueous developer and therefore increases the resist solubility (Figure 6.13).

290

Lithography HO

O

O

N2 hν + Η 2Ο O

S

O

Δ

OAr DNQ

+ O

S

N2

O

OAr Rearranged product Produit de réarrangement

Figure 6.13. Photo-induced modification of the dissolution inhibitor DNQ during exposure [WIL 06]

By using the isomer for which arylsulfonate’s functionality is on the C4 carbon of the compound (1-oxo-2-diazonaphtoquinone-4-arylsulfonate instead of 1-oxo-2diazonaphtoquinone-6-arylsulfonate), the absorbance of the photoactive compound is improved and its photolysis can produce a sulfonic acid of greater solubility than that presented in Figure 6.13. The etch resistance of the Novolac resist is associated with the presence of phenolic rings, rich in carbon atoms and insaturations (double π-bond). The i–line (365 nm) and g-line (436 nm) resists developed later on absorb in the i and g rays of the Hg spectrum, respectively. The principle of their mechanism is based on the photolysis of an inhibitor caused by light, thus generating an acid during the dissolution in an aqueous base. The most widely used polymer-inhibitor pair is DNQ novolac-(diazonaphtoquinone). Diazonaphtoquinone is not soluble in the base but reacts under exposure to form an indenecarboxilic acid, more commonly called PAC (Photo Acid Compound), which is soluble. 6.2.3. Chemically amplified resists (CAR) The energy of the photons emitted at various wavelengths increases from near UV lithography (436 to 365 nm) to deep UV lithography (248 to 193 nm). For constant exposure doses, the quantity of free photons induces a decrease in the chemical modifications, and therefore the probability of an interaction between a photon and diazonaphtoquinone decreases: consequently, the photosensitivity of the resist becomes insufficient. The concept of chemical amplification can solve this problem: a photon must lead to several different chemical events.

Lithography Resists

291

For chemically amplified resists (CAR), a catalyst is generated during the exposure step. This compound generates a chain reaction during which the resist will be chemically modified in order to become soluble in the developer. The following sections present the chemical amplification reactions together with the chemistry of 248 nm and 193 nm resists. 6.2.3.1. Standard composition of a CAR The standard composition of a photosensitive resist includes different chemical compounds, the nature and proportions of which vary according to the chemical platform used, whether polymer solvents, photo-acid generators (PAGs), quenchers, crosslinking agents, or other additives (such as plasticizers or dyes) are used. The polymer, commonly called the matrix, is a high molecular weight polymer dissolved in an organic solvent. The polymeric resist defines the mechanical and physical properties of the film as well as its thermal and etch resistance. It can be a matrix of cresol/formaldehyde, also known as “novolac”, for i-line resists, a PHS (polyhydroxystyrene) matrix for 248 nm resist, or a acrylate/methacrylate matrix for 193 nm resists. The solvent is the main constituent, from 60–90%, and determines the resist liquid viscosity. The most commonly used solvents are ethyl lactate, PGME and PGMEA (propylene glycol monomethyl ether acetate). The photo-active compound (PAC) or photosensitive compound used for i-line resists is the diazonaphtoquinone molecule (DNQ). It is hardly, or not at all, soluble in the developer. For chemically amplified resists, a PAG is added which is a light sensitive compound generating an acid during light exposure. In recent chemically amplified resist formulas, a basic quencher is added. Its purpose is to neutralize the low photo-generated acid concentration that could diffuse in the non-exposed zones, consequently making the resist less sensitive to acid diffusion. A dye can be added either in the resist mass or directly onto the polymer: it is a non-photosensitive chemical product that partially absorbs light at the exposure wavelength. The dry mass of chemically amplified resists is made of at least 95% polymer. The photo-active compound represents around 2% of the formulation. The residual mass is shared between the different additives, mainly dissolution inhibitors and

292

Lithography

catalysis inhibitors, as well as plasticizers. All these compounds are dissolved in a solvent, which is responsible for 90% of the final resist. 6.2.3.1.1. Photo-active compounds: PAGs In the case of chemically amplified resists, the photo-active compounds are the photo-acid generators (PAGs). A great variety of molecules exist capable of producing acid when exposed to light. Table 6.2 is a non-exhaustive list of the photo-acid generators used in chemically amplified resist formulations. The choice of PAG for a formulation depends on several parameters and in the first place on the wavelength of the radiation chosen for the exposure step. It is then necessary to consider the strength of the acid, the nature of the acid produced for catalyzing the chemically amplified reaction, its thermal stability, solubility and toxicity. Diazonium salts generate a Lewis acid (BF3) and do not have good thermal stability: a PAG for which the degradation temperature is inferior to the temperature of the thermal bake cannot be used in lithography. Triazines and bromobiphenols produce hydrogen halides which are volatile and might outgas during exposure, thus decreasing the efficiency of the amplification. Nitrobenzyl esters are easily hydrolyzed and have a shorter life-time [WIL 06]. Sulfonium and iodonium salts where first used as photo-initiators by Crivello [CRI 77, CRI 79] and have great thermal stability but are ionic, and can therefore cause problems of solubility during formulation. The acid’s chain size as well as its diffusion length have an impact on the volume in which the catalytic molecule can modify the solubility properties of the polymer matrix before being deactivated. Along with a reduction in the desired pattern sizes, the dimension and roughness variations of the lines influence the electrical characteristics of the circuits produced (see section 6.3.3 below). Therefore, there is a compromise to make between catalyst efficiency (meaning the size of the microdomains presenting high solubility and the PAG quantity required) and the need to guarantee a surface roughness that is as low as possible, as well as to keep a low PAG load in the formulation. Indeed, the PAG absorbs light: if the resist is too highly charged in PAG, it will become opaque and the vertical sidewalls of the patterns will deteriorate progressively. The use of a combination of two or many PAGs can improve the lithographic performances of the resists but the development of new molecules is necessary to meet the very aggressive objectives for line edge roughness (LER) for critical dimensions, such as 45 nm (LER = 2.8 nm), 32 nm (LER = 1.9 nm) and 22 nm (LER= 1.5 nm).

Lithography Resists Name

Structure

Acid generated O

Diazosulfonates

RSO2OH

S O

N

O

O O

Ester of hydroxamic acid

N

O

S

CF3SO2OH

CF 3

O O

2,2-bis-(4-bromobenzene)propane

Br

Br

S

HBr

NO 2

O

o-Nitrobenzyl esters

RSO2OH

O

O CCl3

Perhalomethyl triazine

N CCl3

HCl

N N

CCl3

N 2 +BF 4 -

Diazonium salts

BF3

Diphenyliodonium salts

293

I+

HSbF6

SbF6 -

Triphenylsulfonium salts

S+ SbF 6 -

Triphenylsulfonium triflate

S+ O

HSbF6

O S

CF 3

O

Table 6.2. Examples of PAGs (λ = 248 nm)

CF3SO2OH

294

Lithography

PAGs, thanks to their aromatic rings, absorb the UV radiation to which they are exposed, and dissociate in order to produce an acid. The onium salts break down according to the reactions presented Figure 6.14: hν

ArN 2+ MXn - ⎯⎯→ ArX + N 2 + MX n-1 -



Ar2 I+ MXn ⎯⎯→ ArI + HMX n + other products of breakdown hν

Ar3S+ MXn - ⎯⎯→ Ar2S + HMX n + other products of breakdown with MX n = BF4 , PF6 , AsF6 , SbF6 etc. Figure 6.14. Breakdown reaction of onium salts under UV exposure

6.2.3.1.2. Polymers used in optical lithography One of the first examples of the development of chemically amplified resists was based on an improvement of the reference resist at that time: the Novolac resist. The hydrolysis of a poly(tetrahydropyranyl ether) (THP) [SMI 73] in an acid medium led to the well-known Novolac resist, which has good etch resistance (Figure 6.15). In this case, the dissolution inhibitor group is directly grafted onto the polymer.

H+ n O O

H2O

n OH

Figure 6.15. Hydrolysis of THP in an acid medium

6.2.3.1.3. Quenchers Chemical amplification plays an essential role in the achievement of patterns using optical lithography with smaller and smaller wavelengths. The generation of only one acid molecule can deprotect around 1000 protecting groups and therefore allow reasonable exposure doses. The extent to which the acid in the resist layer diffuses, and thereby creates different deprotection volumes, varies according to the resist type. These volumes of different dissolution properties can lead to inhomogeneity during development. The combination of several PAGs stabilizes the

Lithography Resists

295

diffusion, depending on process parameters such as baking temperatures, but this still does not allow the control of the diffusion length of the acid. Today, one of the key problems to be solved concerning chemically amplified resists is line edge roughness after lithography. This parameter influences the electrical characteristics of the fabricated circuits leading to energy loss and heating of the manufactured chips. This roughness is linked to the dissolution of the partially exposed parts of the line edges. Depending on the deprotected sphere sizes, topology is sometimes obtained on the sidewall of the patterns. These variations are quantified by two parameters: line edge roughness (LER) and line width roughness (LWR), shown in Figure 6.16. Pattern

Pattern

Figure 6.16. Representation of LER and LWR

N

3 Trinonylamine

N

O

O

3

Tris[2-(2-méthoxyéthoxy)éthyl]amine O N

N N,N-Dicyclohexylméthylamine

N,N-Diéthylpropionamide O

N

N OH

1-(2-Hydroxyéthyl)piperidine

1-Cyclohexyl-2-pyrrolidone

Figure 6.17. Examples of quenchers for 193 nm resist formulations

296

Lithography

One of the solutions considered to limit diffusion length is to use acid-trapping agents (quenchers [KIM 03]) to control basic contamination of the resist layers. Several examples of quenchers present in 193 nm resist formulations are given in Figure 6.17. Quenchers are chosen in order to be uniformly distributed in the resist and for their capacity to reduce the acid diffusion length in the resist layer. Introduction of an acid quencher in the resist can prejudice its sensitivity. Higher doses are then necessary to insulate the wafers and exposure throughput is affected. To limit the actions of the quenchers in partially- or non-exposed areas, new molecules which can lose their capacity to receive a proton when insulated, or even produce acid, have been developed (Figure 6.18) [NAL 98]. However, the acid generated is not powerful enough to catalyze the deprotection of groups with high activation energy.

O I+

O

S

N

O

H

Figure 6.18. Example of a base capable of producing an acid during exposure

6.2.3.2. Chemistry of 248 nm DUV resists 6.2.3.2.1. 248 nm positive resists Novolac resists are not adapted to 248 nm lithography because the phenolic polymer is too absorbent under deep UV (Figure 6.19). The key work on chemically amplified 248 nm resist development was undertaken, in IBM laboratories, by Ito, Frechet and Willson [ITO 85] and led to the discovery of acid-catalyzed thermolysis of a poly(p-t-butoxycarbonyl oxystyrene) (PBocST) (Figure 6.20).

Lithography Resists

297

Absorbance (µm)

Novolac PHS PHS/PBocST copolymer

Wavelength (nm)

Figure 6.19. Absorbance comparison between a Novolac resist, poly(p-hydroxy styrene) and a copolymer, PHS/PBocST

n H+

n

O O O

OH

Figure 6.20. Catalyzed thermolysis of (PBocST)

The chemical amplification reaction mechanism for 248 nm resists is presented in Figure 6.21. The reaction described in Figure 6.21 takes place during postexposure bake (PEB). During this thermal activation, the acid reacts with the dissolution inhibitor groups of the polymer matrix. This reaction leads to an electronic displacement resulting in the elimination of the inhibitor groups. The polymer matrix is said to be deprotected. This reaction leads to the deprotection of the pendant group and to the release of hydrophilic polar chemical functionalities. The deprotection action of the polymer matrix is a catalytic reaction. Indeed,

298

Lithography

degradation of the protecting group, which is released, leads to the formation of a new acid capable of generating new deprotection. This mechanism is called “chemical amplification”, even if the acid concentration is constant and not actually amplified. Indeed, only one acid molecule (generated by a photon or an electron) can deprotect from 500 to 1,000 sites of the polymer skeleton before it is neutralized by a secondary reaction. n

n

n +

O H+

O

O

+

O

H O

O

CO2

+

+

H+

OH

H H H

Figure 6.21. Chemical amplification reaction for 248 nm resists

The PHS/PBocST copolymer, called APEX by IBM who brought it to light, shows low absorbance for 248 nm. Formulated with a PAG such as triphenylsulfonium hexafluoroantimonate, it shows a sensitivity of 1 to 2 mJ/cm2, being 100 times less than that of resists formulated with DNQ. Etch resistance remains significant because of the phenolic rings kept on the lateral chain. Resist chemistry has thus changed towards use of monomers of low activation energy. The activation energy (Ea) of a protecting group is the energy required for a deprotection reaction by the acid catalysis of the polymer matrix. According to the reactivity of the protecting group with the photo-generated acid, more or less energy (thermal energy provided during PEB) is needed to deprotect the exposed resist. In the case of low activation energy resists, part of the amplification reaction takes place during the exposure step, which makes them less sensitive to possible contaminations in case of a time delay between the exposure and PEB. The purpose of the PEB in this case is to minimize the standing wave effects by diffusion of the catalyst. However, these resists do not show good stability. Furthermore, high activation energy leads to a low reactivity and allows the thermal bakes to be at higher temperatures. More particularly, this can allow a post deposition bake at temperatures higher than the glass transition: such resists are of the annealing type [HIN 93, PAN 94]. This type of resist is demonstrated by the IBM ESCAP resist (Figure 6.22). Strong acid diffusion during PEB as well as the PAG’s thermal stability, essential during high temperature bakes, are the main disadvantages of this type of resist.

Lithography Resists

299

m O

n O

OH

Figure 6.22. Annealing resist: the IBM ESCAP with high Ea

Some of the latest developments for chemically amplified positive 248 nm resists have been the reduction of the tert butyl acrylate proportion and a decrease in PHS quantity by introducing a third monomer. This has led to a decrease in the quantity of the groups undergoing chemical amplification reactions as well as minimizing the amount of reaction product, and simultaneously enhancing control of the solubility balance before and after exposure. The proportion of the phenolic groups has been maintained by adding styrene as the monomer. During industrialization, DUV resists have been proven to be sensitive to the environment, more precisely during the time between exposure and post exposure bake (PEB). It has been demonstrated that ambient air contamination by nitrogen bases (amines, amides, ammonia, etc.) neutralizes the acid catalytic reaction, thus limiting deprotection at the surface, which is the origin of “T-topping” (Figure 6.23). To overcome this contamination, activated carbon filters have been added as well as organic acid filters; the resist tracks are therefore completely in a closed environment.

Figure 6.23. Picture showing the surface contamination effect (T-topping) on the pattern’s shape

300

Lithography

A topcoat can also be used as a protective barrier for the contaminants but it is also an anti-reflective (see section 6.3.5). Contamination can also occur at the substrate–resist interface, resulting in nitrogen diffusion in the substrate (e.g. silicon nitride or titane nitride (TiN)) at the beginning of the formation of the resist footing, as shown in Figure 6.24 (left) or even the plugging of vias (Figure 6.24 (right)).

Figure 6.24. Example of resist contamination by the substrate: footing (left), plug (right)

The use of a BARC between the substrate and the resist makes it possible to overcome these contamination issues. By contrast, an additional asset of negative resists is their behavior towards ambient air contamination: contamination of the top of the wafer will not create a layer preventing development. There is only an additional thickness loss but the patterns under the contaminated film are revealed by the developer. 6.2.3.2.2. Negative 248 nm resists [SHA 97] Epoxy polymerization was the first solubility change mechanism used in chemically amplified negative resists. Known since 1974, this chemistry was used for the photo-hardening of thin layers in order to protect aluminum by combining it with diazonium salts. The anionic polymerization of a copolymer carrying epoxy functionalities during e-beam lithography was used for the fabrication of the first masks with a COP resist by Bell’s laboratories (Figure 6.25). This first resist could be developed in organic solvents and was rapidly limited because of swelling problems for small patterns.

Lithography Resists

A-

n O

+

O

R

R

O R

O

+

CH 2A

O CH 2A

O O

301

O

R

R

O CH 2A

O R

O COP

(a)

(b) Figure 6.25. (a) Bell’s Laboratories’ COP resist; and (b) the anionic polymerization reaction mechanism

One example of a resist using molecules of several epoxy functionalities is the SU-8 resist from Shell which is a bisphenol multifunctional oligomer, as described in Figure 6.26. O

O O

O O

O O

O

O O

O

O

O O

O O

Figure 6.26. SU-8 resist formula

The success of this resist has been less than expected because the wafers have to be developed in an organic solvent. Before the work of Hitachi’s team [NON 85], the only resists available were those developable in solvents harmful to the environment, based either on crosslinking or image inversion using a Novolac resist. Nonogaki et al. demonstrated the crosslinking of PHS by azides (Figure 6.27). In the case of the polymer being hydrophilic, development in a basic aqueous medium is possible for unexposed zones (those un-crosslinked).

302

Lithography

O N3 n Azide AA Azoture

+ or ou

OH

O

N3

Poly(vinylphénol) Poly(vinylphenol)

Azoture Azide BB Figure 6.27. The first resist developable in a basic aqueous developer: poly(vinylphenol) formulated with two azides

The crosslinking reaction by nitrenes is described by the following reaction path (Figure 6.28), for which Ar is the complex aromatic group of the azides: ••

hv

Ar –N3 ⎯⎯→ Ar – N + N 2

azoture ) ((azide)

(nitrene) ( nitrène )

••



→ Ar – N H Ar – N+ P - H ⎯⎯ polymère ) ( (polymer)



+

P

radical) iminoradical ) (polymer ((iminoradical) ( radical polymère





Ar – N H + P –H ⎯⎯ → Ar –NH 2 + P

amine)) amine primaire ((primary





→ Ar –NH –P Ar – N H P ⎯⎯ (secondary polymeric amine) ) polymérique secondaire ( amine •



→ P –P P + P ⎯⎯

(molecular increase) deweight la masse moléculair ( augmentation

Figure 6.28. Crosslinking reaction path of a polymer by an azide

This increase in the molecular mass of the polymer leads to a quick decrease of poly(vinylphenol)’s solubility in the basic aqueous developer.

Lithography Resists

303

The concept of negative resists developable in basic aqueous solvents for deep UV was fully discussed by Feely [FEE 86a, FEE 86b]. He introduced the use of a melamine (Figure 6.29) to crosslink the Novolac resist using condensation.

O

O N

O

N N

N

O

N N

O

O

Figure 6.29. Melamine (hexamethoxymethyl melamine) used by Feely to crosslink the Novolac resist

After Feely’s work, one of Shipley’s team presented a DUV negative resist of low absorption compared to other negative resists [THA 89]. As it is based on the crosslinking of a poly(hydroxystyren) by a new melamine (Figure 6.30), this resist needs high doses as well as a strong acid. The reaction path leading to crosslinking is given in Figure 6.31.

n

CH2 O +

N CH2 O

OH Figure 6.30. Shipley’s resist made of poly(vinylphenol) and melamine

Poly(vinylphenol) is simply as ArOH. The crosslinking can be monitored by a Fourier transform IR analysis by noting the peak emergences from the creation of an aromatic ether ArOCH2 bond, around 1,210 and 1,000 cm–1.

304

Lithography

+

CH 2O

H+

+

N

CH 2O N

CH 2 O

H CH 2 O

CH 2O N

+

+

CH 2 N

H

+

H 3C-OH

CH 2 O

CH 2O

H +

+

CH 2O

CH 2 + Ar -OH

N

Ar

N CH 2 O

CH 2 O H CH 2O

+

CH 2 O

Ar N

N

Ar +

H+

CH 2 O

CH 2 O

Figure 6.31. Crosslinking mechanism of poly(vinylphenol) with melamine

An attempt to incorporate epoxy functionalities in a PHS matrix was made by IBM researchers. They were able to develop a new copolymer resembling the COP resist from Bell’s laboratories, PHS/Dicyclopentyloxymethacrylate (Figure 6.32), by including solubility in the basic aqueous developers and by using experimental results which demonstrated that glycidyle ethers did not show a sufficient thermal stability. This copolymer does not necessarily need to be formulated with onium salts containing heavy metals [ALL 92].

n

m O O O

OH

Figure 6.32. IBM copolymer resist: PHS/dicyclopentyloxy methacrylate

Lithography Resists

305

The difficult synthesis of IBM’s resist and its weak temporal stability have prevented its introduction into production. In the case of chemically amplified resists for an exposure wavelength of 248 nm, both positive and negative types have been developed successfully, but a more significant industrialization of positive resists is noticed. 6.2.3.3. 193 nm resist chemistry 6.2.3.3.1. Methacrylic platform As 248 nm resists have evolved towards a phenolic polymer, 193 nm resist chemistry has had to change with the change of the exposure wavelength. Indeed, PHS is opaque to 193 nm radiations (Figure 6.33) which rules out its use for ArF lithography. Nevertheless, the principle of catalyzed deprotection by a photogenerated acid can still be used for the new generation resists. The PAG as well as the copolymer must be custom-conceived in order to meet the specifications set by the latest generation: a unique plasma etch-resistant layer, developable by an acid aqueous developer and showing good lithographic properties (resolution, depth of focus, sensitivity, adhesion to the substrate and process latitude).

Absorption coefficient (1/µm)

Poly-(4-hydroxystyrene)

Meta-cresol Novolac

Polyacrylates (aliphatic)

Wavelength (nm)

Figure 6.33. Absorbance comparison between Novolac resist, PHS, and polyacrylates for 193 nm, 248 nm and 365 nm

306

Lithography

Acrylic polymers are the most thoroughly studied to be introduced in the composition of the new resists. Highly transparent at 193 nm, they had already been used for some of the 248 nm resists. Their ease of synthesis and wide monomer range allow a significant choice of properties to be added to the polymer. The relatively easy copolymerization of the different monomers makes it possible to gather all the characteristics required for 193 nm resist formulation. The key points for chemically amplified 193 nm resist development were: – chemical amplification development at the beginning of the 1980s in IBM laboratories [SMI 73]. This included catalyzed deprotection by a methacrylic acid ester; – the conception of a entirely acrylic resist, developable in a basic aqueous developer and based on a terpolymer, by one of the IBM teams at the end of the 1980s [ALL 91]; – the discovery, by a Futjisu team, that etch resistance and acrylic polymer transparency could be combined by adding alicyclic groups [KAI 92]. The work of R. Kunz from IBM with MIT led, in 1993, to the first 193 nm resist, the IBM “Version 1” [KUN 93]: a methyl methacrylate (MMA)/tert-butyle methacrylate (tBuMA)/methacrylic acid (MAA) terpolymer (Figure 6.34). Each monomer fulfills a specific function: mechanical behavior, resist adhesion on the substrate and PAG solubility for the MMA matrix, dissolution control in the developer for the MAA, and tBuMA catalyzed deprotection.

O

20 O

40 O

40 O O

OH

Figure 6.34. IBM “Version 1” resist (MMA/tBuMA/MAA terpolymer)

Despite the good lithographic results, this resist does not show good enough etch resistance to aggressive plasma chemistries. The Kaimoto team from Fujitsu [KAI 92] showed that it is possible to use alicyclic methacrylates esters as co-monomers in order to increase the etch resistance of 193 nm resists. Their resist is made of an adamantyl methacrylate copolymer (to increase etch resistance) and of a methacrylic ester of lactone. One

Lithography Resists

307

example of this kind of resist is given in Figure 6.35. It is an AZ/Clariant resist for which the polymer is a 2-methyl-2-adamantyl methacrylate (MAMA) and mevalolactone methacrylate (MvLMA) copolymer.

49 O

51 O O

O

O

O

Figure 6.35. AZ/Clariant resist (MAMA/MvLMA copolymer)

In the case of this resist, the two esters react with the acid generated by the PAG during the PEB step. The chemical amplification reaction with this resist is presented in Figure 6.36. By using a lactone as the monomer it is possible to control the resist solubility, as well as (more importantly) the polymer’s Tg.

2H +

O O

+ O

O

HO

O HO

m O

H ?

O

n

n

m

n

+

O

m O

O + O

+

H

O

O

+

+ O

O

?

2H +

O

Figure 6.36. Chemical amplification reaction for a 193 nm resist

IBM was able to use Fujitsu’s discovery for its “version 2” resist by introducing isobornyl methacrylate (IBMA) (Figure 6.37) that can also react during the chemical amplification reaction.

308

Lithography

x

w O O

y

O O

z

O OH

O O

Figure 6.37. IBM’s “Version 2” resist (MMA/tBuMA/MAA/IBMA tetrapolymer)

Generally, a 193 nm resist is based on an acrylic platform where (see Figure 6.38): – the protecting group is an alicyclic group used to increase the etch resistance. At the same time it determines the PEB temperature as a function of the activation energy used for the deprotection reaction; – the lactone group is used to increase the resist solubility in the basic aqueous developer and helps to control the glass transition temperature; – the polar group makes it possible to control the resist adhesion on the wafer, the polarity, and the PAG solubility in the polymer. Moreover, it improves the resist solubility in the developer.

Figure 6.38. General formula of an acrylic 193 nm resist

The main groups that can be found in 193 nm resists are summarized in Table 6.3 [KAM 02, CHO 03].

Lithography Resists

Protecting group

Lactone group

Polar group

R

R

R

O

O

O

O

O HO O

tert-Butyl t-butyl methacrylate (méth)acrylate

O

Methacrylic acid Acide (méth)acryliqu

Mevalolacton Mévalolactone methacrylate (méth)acrylate

R

R

R O

O

O

O

O

O

O

O Isobornyl Isobornyl methacrylate (méth)acrylate

γ -butyrolactone γ− butyrolactone methacrylate (méth)acrylate

OH Hydroxymethyl Hydroxyéthyl methacrylate (méth)acrylate

R

R

R

O

O

O O

O

O O

2-Methyl-2-adamantyl 2-Méthyl-2-adamantyl methacrylate (méth)acrylate

O 5-methacryloxy-65-(méth)acryloyloxy-6 hydroxynorbornane-hydroxynorbornane2-carboxylic-6-lactone 2-carboxylic-6-lactone

OH 3-Hydroxy-1hydroxy-1-(méth)acryloylo Adamantylmethacrylate adamantyle

R

R O O

O O

2-ethyl-2-adamantyl 2-Ethyl-2-adamantyl methacrylate (méth)acrylate

O O 8- ou or 989Méthacryloyloxy-4methacryloyloxy-4oxatricyclodécan-3-one oxatricyclodecan-3-one

R O O O

2-tetrahydropyranyl 2-Tétrahydropyranyl methacrylate (méth)acrylate

Table 6.3. Example of monomers used for 193 nm resists, R = –H or –CH3

309

310

Lithography

6.2.3.3.2. Alicyclic platform The good etch resistance of methacrylate polymers bearing grafted alicyclic groups has led resist suppliers to integrate these groups directly into the main chain, as had already been done for Novolac resists. Three different approaches have been considered: (i) Alternated polymer functionalized cyclic olefine/maleic ahydride (COMA) have been studied because their synthesis is much easier than that of pure cyclic olefine polymers [RAH 99, RAH 00]. The simplest such polymer is given in Figure 6.39. Even if maleic ahydride counterbalances the hydrophobic aspect of cyclic olefine in this polymer, it introduces, at the same time, a great amount of oxygen to the resist composition, which is a weak point for etch resistance. O

O

O

n

O O

Figure 6.39. Alternated copolymer norbornene t-butoxycarbonyle-alt-maleic anhydride

(ii) Hybrid polymer COMA/acrylics, developed by Bell’s laboratories [NAL 98] (Figure 6.40), use dissolution inhibitors in addition to the catalyzed deprotection reaction. They improve the etch resistance thanks to their structure, but these resists’ behavior towards plasma etching is still not satisfactory. O

O

O

x

y OH

z

O

O

O

Figure 6.40. Poly(cyclic olefin-alt-anhydride maleic-co-acid acrylic-cot-butyl acrylate)

Lithography Resists

311

The COMA platform has also been modified using copolymerization of vinyl ethers with maleic anhydride (VEMA) with no norborene derivatives, making it possible for the copolymer Tg to decrease. In addition, the etch resistance also decreases when the alicyclic comonomer is removed. One example of a resist (from Samsung) is described in Figure 6.41 [CHO 00]. O

O

O

y

x O

z

O

O

Figure 6.41. Resist from Samsung (poly(dihydropyran-co-maleic anhydride-coethyl tricyclodecanyl acrylate))

(iii) Pure cyclic olefine polymers (CO) were developed after BF Goodrich found a catalytic way [WAL 95, ALL 98] to obtain 100% alicyclic polymers. In collaboration with IBM, a resist using tert-butyle protecting groups and showing good lithographic results [OPI 98] has been developed (Figure 6.42). By removing the maleic ahydride of the main chain, the etch resistance as well as time stability could be improved. R

x

y

z

O O

O HO

Figure 6.42. IBM resist /BF Goodrich pure CO

312

Lithography

The problem of COMA and CO resists is the rigidity of the main chains leading to high Tg [RUS 01]. In order to decrease this Tg, the polymers are formulated with plasticizer agents. Nevertheless, the quantities needed to significantly decrease the temperature are very large and the improvement is efficient at the expense of the absorbance of the formulated resist [YOS 00]. In addition to this intrinsic problem, the production cost of these resists remains high because metallic catalysts need to be removed in order to synthesize the polymer. 6.2.3.3.3. Anticipated 157 nm resists From 1999, research for new materials has been launched for the future wavelength change to 157 nm [KUN 99]. Developments have been orientated towards partially fluorated polymers because fluorated phenols had already been considered by Hoechst when shifting to 193 nm. The DuPont and MIT laboratories put forward a norbornene/tetrafluoroethylene copolymer (Figure 6.43) with an interesting transparency at 157 nm, though not able to properly define an image. CF 2 CF 2

x

y

Figure 6.43. Poly(norbornene-co-tetrafluoroethylene) CF3

CF3 O

O

CF3 O

O

HO

MTFMA

O

TFMAA

TBTFMA

O CF3

O

CF3 OH

CF3 NBHFA

CF3 O

O

CF3 NBBOCHF

O CF3 NBHFAc

Figure 6.44. Fluorated monomers for 157 nm resists: methyl α-(trifluoromethyl)acrylate (MTFMA), α-trifluoromethyl acrylic acid (TFMAA), tert-butyl alpha-trifluoromethylacrylate (TBTFMA), norbornene hexafluoroalcohol (NBHFA), norbornene hexafluoro-tertbutyloxycarbonyl (NBBOCHF)and norbornene hexafluoroacetate (NBHFAc)

Lithography Resists

313

In 2001, Texas University [HUN 01] and IBM [ITO 01] published different methacrylic monomers and cyclic olefine fluoride derivatives (Figure 6.44) that could be used for 157 nm chemically amplified resists. With Intel’s announcement at the beginning of 2003 concerning their new roadmap excluding 157 nm lithography, resist developments for that wavelength declined. Nevertheless, the resist chemistry developed has been reused for 193 nm applications, such as for surface protecting layers for immersion lithography. 6.2.3.3.4. Chemistry for 193 nm negative resists 193 nm negative resist development followed that for 193 nm positive resists, on the basis of methacrylic polymers and use of different reactions in order to obtain crosslinking of the polymer matrix. Several pieces of research have been pursued for their development but this resist type is still not often used industrially. Nevertheless, their possible use for double exposure has renewed interest in them. The resist developed during a collaboration between IBM and Japan Synthetic Rubber (JSR) in 2004 [PAT 04] can be put forward as an example of a 193 nm negative resist. The authors were trying to obtain a resist comparable to a positive resist in terms of lithographic properties and etch resistance. Three parameters that seemed important were integrated to conceive an efficient negative resist: – the need to minimize the dose difference existing between the energy necessary to form a gel and the usually higher energy necessary to obtain patterns by crosslinking; – the need to decrease the initial molecular weight of the polymer in order to avoid bridging problems with patterns; – the need to use a monomer that does not enhance the developer’s penetration into the matrix, supposedly responsible for pattern swelling. An alicyclic methacrylic fluoralcohol was therefore used, which is less reactive to compounds lacking electrons compared to acrylic acids or monomers with a carboxylic acid functionality for solubility in the developer. The fluoroalcohol’s nucleophilicity being too weak to produce a good enough reaction with the crosslinking agent [CON 02], this monomer was polymerized with one or several monomers containing more nucleophile OH functionalities. These comonomers were as also used to increase the etch resistance of the polymer and regulate the glass transition temperature (Tg). The general formula of the IMB/JSR resist is presented in Figure 6.45; it uses TMGU (1,3,4,6-tetrakis(methoxymethyl)glycoluril) as a crosslinking agent.

314

Lithography

m O X CF3

O

OH

CF3

n O O

X' OH

avec with

;

X=

X' =

CH2

2

CH2

; 3

CH CH3 H2C

etc.

or group ou alicyclic groupement alicyclique

Figure 6.45. 193 nm methacrylic negative resist from IBM/JSR

6.2.3.4. Extreme Ultra Violet (EUV) resist In addition to meeting the requirements specific to every lithographic resist, an EUV resist must meet the technical constraints induced by the EUV wavelength choice: in particular a very low absorbance of EUV flow in order to maintain a homogeneous reaction in all the resist film. Usually, EUV resists are made of PHS, oxygen and fluorine being very absorbing compounds at 13.5 nm. The styrene ring shows low absorbency at this wavelength and high etch resistivity [MAT 00]. This is why many polymer matrices developed for 248 nm lithography (APEX, ESCAP [LEV 01], etc.) can be used for EUV resists [DEN 02]. The absorbance per unit length of EUV resists at 13.5 nm is around 1.5 µm–1 [BRA 99]. Chemically amplified resists are today capable of reaching 32 nm resolution. However, they still do not meet roughness or sensitivity requirements in order to be used for the whole lithographic process. This is why, for the last several years, the scientific community has been creating new photosensitive resists for EUV lithography, the chemical properties of which can reach resolutions near 22 nm, with weak roughness and increased sensitivity. Thus, J.P. Bravo-Vasquez’s team has developed several inorganic polymer matrices containing styrene rings, acrylate groups but also silicon or nitrogen atoms. Indeed, these two atom types are less absorbing at the EUV wavelength than the traditionally used oxygen, carbon or fluorine atoms. Either EUV resists are chemically amplified [BRA 04] or the solubility of the polymer matrix is modified directly by rupture of the polymer chain during exposure [BRA 05]. Lines of 50 nm dimension (100 nm period) were printed using electron beam lithography or EUV in a negative tone resist [BRA 05]. J. Dai’s team has conceived polymer matrices containing boron atoms transparent to EUV wavelengths and strongly resistant to oxygen-based dry etching [DAI 04]. Finally, K.E. Gonsalves’ team has developed specific organic polymer matrices on which a PAG is grafted directly. This reaction mechanism makes it possible to

Lithography Resists

315

avoid the diffusion of photogenerated acid and allows better control and improved homogeneity of the deprotection reaction in the exposed zone. Dense lines of dimensions smaller than 50 nm were printed in a positive resist [GON 05]. However, the resists most studied in recent years have been those which are said to be “molecular”. These resists can be either positive or negative, and are based on chemical amplification [BRA 06, OIZ 06, ROB 06]. In these resists, the macromolecule of the resist’s polymer matrix is replaced by a monomer (a simple molecule) of inferior dimensions (1 or 2 nm instead of more than 5 nm for usual polymers). These resists were developed to decrease the roughness of the printed patterns. Even though, to date, no molecular resist has been able to print patterns of dimensions near 22 nm, dense lines of 30 nm of weak LER (4 nm) were printed using EUV lithography in a resist formulated at Cornell University [YAN 06]. The scientific community does not agree on the origin of the low roughness of the printed patterns. Indeed, to date the conventional explanation relies on the small molecular dimension that enables better resolution control (a decrease of the “pixel”). However, many groups have noted that the patterns’ LER did not vary with an increase of the molecular weight of the resist from 2.9 to 33.5 kg.mol–1. This observation suggests that the molecular dimension is not the only aspect at the origin of the LER reduction. It seems that the monodispersity of molecular resists compared to the polydispersity of conventional resists allows an improved homogeneity of the resist development, which could lead to a decrease in LER [BRA 03]. 6.2.4. Conclusion In this section, we have presented the different compounds of chemically amplified resists and their chemistry, more specifically the chemistry of the polymers in the composition. Not only do the resists represent a huge market, with sales of around $810 million in the US in 2006, but they are also high tech, high value-added products which benefit from constant development for a product costing over $1500 per liter. Apart from the financial aspect, the resists are a key element in each lithographic step and, consequently, in the whole of the microelectronic industry because they are considered the limit of resolution increase. The end of optical lithography has been announced many times but has always been delayed thanks to technological innovations. Today, resist resolution for optical lithography appears to be around 28 nm, but this limit seems always to be pushed back. The only certainty is that this technique will reach its maximum ability when the integrity of the polymer layer can no longer be guaranteed: what will happen when a pattern obtained by lithography is only a few polymeric chains wide?

316

Lithography

6.3. Performance criteria 6.3.1. Sensitivity/contrast 6.3.1.1. Resist sensitivity The sensitivity of a resist is the energy by surface unit (or dose) that a photorepeater needs to provide in order to obtain the transfer of the mask’s image after development. The more the resist is photosensitive, the more the exposure dose necessary to obtain an image is low, meaning a small exposure time. The light dose that a given resist needs for its dimensions to be those predicted by the mask is called the “dose-to-size”; usually called ES. Very resist-dependent, it is usually around a few dozen mJ/cm2. A resist receiving a dose lower than ES is said to be under-exposed. By contrast, if it receives a higher dose, it will be overexposed. 6.3.1.2. Resist contrast To recover the information loss caused by diffraction orders not being collected by projection optical elements (see Chapter 1), chemically amplified resists must have a “threshold behavior”, meaning that chemical reactions must start from a precise incident energy. The efficiency of this behavior is estimated by the resist contrast. In order to obtain a better replication of a mask’s patterns in the resist film, the exposed photosensitive resists must be of the best possible contrast (high contrast value). This is determined by measuring the thickness of the resist left after exposure and development as a function of the exposure dose [LEV 01]. Resist thickness Épaisseur de la résine

e0

γ

e

E

E0

Exposure dose Dose d’expos

Figure 6.46. Contrast curve of a positive resist [LEV 01]

Lithography Resists

317

The contrast curve of a positive resist is shown in Figure 6.46. It is the expression of the thickness of the residual resist film as a function of the applied dose. Above the threshold dose, photodegradation of the resist is induced, which leads to a quick decrease of its thickness. The contrast γ of a resist (positive or negative) is the slope of this curve according to the following equation [6.5]: E e( E ) = γ.ln( 0 ) e0 E

[6.5]

where e is the resist thickness after exposure and development, e0 is the initial resist thickness, E is the applied exposure dose and E0 is the dose for which all the film is developed. For a chemically amplified resist, the contrast values are around 5. The contrast value also depends on the thermal conditions of the lithographic process. Figure 6.46 can also help to determine the thickness loss of a non-exposed zone, called “dark loss” or Unexposed Film Thickness Loss (UFTL). It is equal to e0 – e(0), and corresponds to the impact of the lithographic process, more particularly the development of the non-exposed areas of the film, and can represent a resist thickness loss of around 10 to 20% depending on the platforms. This phenomenon is all the more critical when the printed patterns are dense. 6.3.2. Process window 6.3.2.1. Depth of focus (DOF), energy latitude (EL) The depth of focus (DOF), defined by the Rayleigh criteria, corresponds to the maximum height for which the difference of the optical path (between the central ray at the zero order and the ray at the maximum order collected by the lens) is λ/4. The depth of focus is proportional to the wavelength and inversely proportional to the square of the numerical aperture (see Chapter 1 for further details): DOF = k2 × λ/ON2

[6.6]

with k2 being a constant. Defocusing occurs when the image projected through the lens is not exactly on its focal plane and therefore deforms the patterns. In practice, the DOF is the focus latitude available for obtaining patterns according to specifications required, in terms of CD and pattern shapes (vertical sidewalls, non-curved top).

318

Lithography

The energy latitude (EL) represents the dose variation, expressed as a percentage of the nominal dose, acceptable with respect to the final dimensions according to the specifications (generally CD ± 10%). 6.3.2.2. Process window (PW) The dimensions of the patterns printed in the resist depend on the dose and the focus; indeed, these two parameters can vary slightly in an uncontrolled way, for example if the resist layer is not perfectly flat or if the power of the laser changes. Given that the focus variations depend on the dose, the most efficient way to evaluate the process window is to measure the CD by simultaneously changing the dose and the focus using a focus exposure matrix (FEM). Bossung curves can then be established, representing the CD as a function of the focus for different doses (see Figure 6.47 for dense lines of 90 nm). 80

40.8 42.6 45.6 47.4

75

41.4 44.4 46.2

42 45 46.8

Line CD (nm) CD ligne (nm)

70

65

60

55

50

45 -0.2

-0.15

-0.1

-0.05

0

0.05

0.1

0.15

0.2

0.25

0.3

0.35

Focus(µm) (µm) Focus

Figure 6.47. Bossung curves for 65nm semi-dense lines at different doses

This type of graph leads to two fundamental parameters: DOF and EL (also called EL-DOF). The process window defined is all the smaller given that the targeted resolution is critical (Figure 6.48 for lines of 65 nm, pitch 180 nm, semidense lines). The process window defines the capacity of the resist to handle the variations of the scanner parameters, in which the dimensional variation does not affect the circuit functionality. A variation of a maximum of 10% of the net CD (mean-to-target CD) is usually accepted.

Lithography Resists

319

16

14

Energy d'energie latitude (%)(%) Latitude

12

10

8

6

4

2

0 0

0.05

0.1

0.15

0.2 0.25 0.3 Depth ofde focus (µm) (µm) Profondeur champ

0.35

0.4

0.45

Figure 6.48. Process window for semi-dense lines, CD = 65 nm (energy latitude as a function of the depth of focus)

6.3.3. Line roughness Apart from respect of the dimensions of the patterns, line roughness becomes a predominant parameter for circuit dimensions inferior to 100 nm. It can directly impact the electrical characteristics. The roughness of the lines is linked to the dissolution of the partially exposed zones on the edge of the patterns. The variations are characterized by two parameters: line edge roughness (LER) and line width roughness (LWR). LER is usually around 5-8 nm (3σ). However for lines narrower than 60 nm, the LER must reach values less than 3 nm. Line roughness is linked to the dissolution of partially exposed zones on the edge of the patterns. In microelectronics, the roughnesses are often evaluated in terms of LER and LWR. LER allows the evaluation of the standard deviation of a pattern edge compared to its average value whereas LWR quantifies the standard deviations of the pattern’s width (Figure 6.49). The LER and LWR of a pattern represent the standard deviations of the average values measured by the width of the lines (and not on the height).

320

Lithography

Leftgauche LER LER

Rightdroite LER LER

LWR

Figure 6.49. Representation of LER (left and right) and LWR for a pattern

Figure 6.50. Leakage current (Ioff) variations for an nMOS transistor for low statistic random access memory (SRAM). The critical dimension (CD) of the transistor drain varies from 70 to 120 nm [KIM 04]

It is important that the patterns obtained after exposure show the lowest possible roughness values. Indeed, the roughnesses are transferred through the different layers of the component and have an impact on their performances. Figure 6.50 shows the influence of the roughness of the drain of an nMOS transistor on values of leakage current (Ioff). An increasing roughness is clearly shown to lead to higher values of leakage current. It can also be observed that line roughness is more critical for high resolution: the roughness, meaning the value of

Lithography Resists

321

leakage current, increases significantly for drain dimensions inferior to 85 nm. Thus, for lines inferior to 60 nm, LER must reach values less than 3 nm. To our knowledge, no study has yet been published on the impact of roughness on the efficiency of the transistors of a gate size inferior to 80 nm. Indeed, at these dimensions, all the fabrication processes are critical (etch, implantation, deposition, etc.), it is therefore difficult to estimate the impact of the lithography step alone on the performances of the components [SCH 04]. In lithography, the roughness dimensions depend on the chemical formulation of the resist as well as on the diffusion control of the species responsible for the chemical reactions in the resist film. 6.3.4. Resist outgassing The problem of resist outgassing during lithography has emerged, marginally, with 248 nm lithography. Today, it is a critical aspect of photosensitive resist developments for new lithography techniques. Indeed, the more critical the dimensions of the patterns, the higher the energy used during exposure of the resist is (see Table 6.4). When it is of a value around or greater than the average value of the C-C bond in the resist (between 3.6 and 5.2 eV), global degradation of the polymer can be observed, increasing with the incident energy [DOM 05, FED 00]. Lithographic technique λ = 248 nm λ = 193 nm λ = 157 nm λ = 13.5 nm Energy (eV)

5

6.4

7.9

91.8

Table 6.4. Photonic energy corresponding to different exposure wavelengths

Outgassing takes place when the reactions of the polymer generate low molecular weight structures that rapidly diffuse outside the resist film and in the exposure chamber. In the transmission optical lithography tools, outgassing induces the creation of salts and quartz, or carbon growth on the last element of the optical system (see Figure 6.51(a) depending on the mechanisms involved. These phenomena are responsible for lens transmittance loss, inhomogeneous illumination, optical distortion and heating. In the case of reflection optical lithography, for example at a 13.5 nm wavelength, outgassing results in mirror contaminations in two competing ways [KAB 06], with either amorphous carbon growth at the mirror’s surface (see Figure 6.51(b)) [BOL 83] or their oxidation. If no precautions are taken, these two pollutions lead to a prohibitive reduction of the mirror’s reflectivity within a few hours, the maximum acceptable reflectivity loss being of around 1% per mirror.

322

Lithography

Figure 6.51. Carbonated growth: (a) on transmissive optical materials; (b) on reflection EUV multilayer mirrors

Many approaches are possible in order to reduce and control the contamination of optical elements, even for EUV lithography: mitigation of outgassing [MER 00], cleaning of the optical elements [GRA 02], disposable optical elements, optical element optimization [BAJ 03, MAL 02]. Nevertheless, reduction of the emission by understanding the mechanisms and optimization of the resist platforms is essential [HOU 99]. 6.3.4.1. Mechanisms For the electromagnetic spectrum range with regard to lithography (λ > 13.4 nm), incident photons predominantly ionize and excite the molecules of a polymer [SWA 04]. Chemically amplified resists are mainly composed of H, C, O and N atoms (organic platforms) and can also contain a low concentration of F, I, P, Cl, S, Sb (additives, for example in photo-acid generators). The new platforms for EUV lithography can also contain atoms of Si and B. The electrons bond to all of these atoms with bonding energies (ionization energies) and transition energies (excitation energies) considerably greater than those of the photon used in lithography. The Si atom is the only one to show an atomic transition from LII,III to M of 91.5 eV lower than the one of an EUV photon [ATT 00]. Consequently, the electrons rejected or excited come from high levels of the molecular orbitals or from the valence-band, and they are therefore not very localized. The electronic charge of the ions or the subsequent reaction site of the excited molecules can therefore be far from the initial reaction site. The ions and excited molecules can either react directly or, for the main part, decompose in order to give free radicals that produce, in turn, the final chemical changes observed in the polymers.

Lithography Resists

323

Exposure induces two main effects: the crosslinking and scission of chains (main or lateral) [FED 00]. A third described effect is the crosslinking of at least one of the fragments of the split chains onto the main chain of molecules nearby, leading to a molecule of many branches and of higher molecular weight. This effect is present but apparently does not play a main role. Consequently the change in the molecular weight of the polymers can only be described by two reactions: chain crosslinking and scission. Even if both chain crosslinking and scission can happen in one polymer, one of the two reactions has to prevail. If the number of bonds formed for 100 eV absorbed is over one quarter of the chain scissions, the exposure will lead to a crosslinked polymer. By contrast, if the number of chain scissions for 100 eV absorbed is greater than four times the number of bonds formed, the polymer will then be degraded. The quantum yield of reaction j is: Φj =

nj nQ

where nj is the number of molecules that has undergone the j process and nQ is the number of absorbed photons. The quantum yield of chain scission Φs and crosslinking ΦX can be determined by looking at the molecular weight variations [FED 00]. Thus: (Φ S − Φ X ) ⋅ nQ ⎧ 1 1 = + ⎪ NA ⎪ M n,Q M n,0 ⎨ ⎪ 1 = 1 + (Φ S 2 − 2Φ X ) ⋅ nQ ⎪M NA ⎩ w,Q M w,0

[6.7]

where Mn,0 (respectively, Mn,Q) is the initial average numeric molecular weight (respectively, for Q absorbed photons) and Mw,0 (respectively Mw,Q) is the initial average molecular weight (respectively for Q absorbed photons). Generic rules allow the tendency to crosslinking or chain scission of a polymer to be reasonably predicted. They are most often based on the structure of the polymer skeleton [COF 02]. For example, degradation prevails when in the presence of steric constraints, four times substituted carbon, polarized bonds and a high number of oxygen atoms, whereas tertiary carbons and a great number of C=C bonds are linked to crosslinking. In addition, the presence of aromatic groups protect from radiative damages. Finally, the atmosphere under which the polymer is

324

Lithography

exposed can drastically change the nature and the rate of damage, particular in the presence of oxygen. 6.3.4.2. Photosensitive resist outgassing In the specific case of chemically amplified resists, the outgassed species come either from the deprotection reaction itself (from PAG residues after photoacid generation, or from products (usually an ester) of the deprotection reaction) or from the degradation by photolysis of the chain skeleton (hydrogen by abstraction, fragments from lateral chain scission and from lower molecular weight chains coming from the principal chain scission). Figure 6.52 presents the example of the IMB/APEX resist. Photoacid

Norrish type 2 reaction

Hydrogen abstraction

Norrish type 1 reaction

CO2 loss Isobutene

Figure 6.52. The IBM/APEX resist

The greatest contribution to outgassing usually comes from the protecting groups and PAG fragments. The polymer chain is usually involved at a lower order of magnitude [DOM 05, CHA 00]. The protecting group size highly influences the outgassing quantity, in particular in the case of polymers protected by acetal groups for which the fragments produced during the deprotection reaction are alcohols. By increasing the protecting group size, the resulting compound’s evaporation point increases and therefore its capacity to evaporate under ultrahigh vacuum decreases [MAS 06]. The decrease of the protection rate of the polymer leads to a reduction in the outgassed quantity but, meanwhile, it increases the resist sensitivity.

Lithography Resists

325

The photoacid generator can also be optimized to reduce outgassing. If a nonionic PAG appears to outgas less [YUE 05], the chemical groups of a heavy PAG can also be selected [MAS 06]. Most importantly the PAG must be grafted to the polymer skeleton in order to avoid diffusion of the residues after breakdown [DEA 06, GON 05, THI 05]. With regard to the contribution of the polymer platform, three main families were identified in terms of outgassed quantity. The matrices containing aromatics produce low outgassing because of their stabilizing role. Consequently, in general, resist platforms for 193 nm lithography show more significant outgassing than those for 248 nm and EUV lithography platforms [DEN 00, DOM 05, FED 00, WAT 01]. The ESCAP type polymers (PHS-polyacrylate copolymer) are more stable in reliance to radiation. The link between the classic characteristics of each resist and the outgassed quantities is summarized in Table 6.5. Outgassed quantity Activation energy

Low ΦS = 0, ΦX > 0 High Ea [YUE 05] Big protecting group [DEA 06, MAS 06] Low protection rate [MAS 06] Resist platforms PolyHydroxyStyrene [WAT 01, DOM 05] Styrene based copolymer PAG Non ionic [YUE 05] PAG bond to the polymer [DEA 06, GON 05, THI 05]

Average High ΦS > 0, ΦX = 0 ΦS >> 0, ΦX = 0 Low Ea Small protecting group Tert-Butyl Acrylate Acrylate-based

PolyMethylMetAcrylate Metacrylate-based Ionic

Table 6.5. Summary of outgassed quantities as a function of associated resist characteristics

6.3.4.3. Outgassing characterization methods Three main experimental approaches can be used to study resist outgassing: – Gaseous chromatography (an analytical method) [KUN 04]; this consists of a semi-quantitative analysis of the desorbed species by a resist exposed to a photonic flow, after concentration of these species in an adsorbent tube, or transit in a thermal trapdoor. It allows molecular chains of more than just 3, 4 or 5 carbon atoms to be detected and determined, and is blind to species such as HF and HCl as well as to all the species reacting with the walls of the chamber, or those with a high molecular weight.

326

Lithography

– Mass spectrometry (an analytical method) [BAS 93]: this consists of a quantitative analysis using the ionization of the desorbed species by a resist exposed to a photonic flow but needs an adapted calibration. It allows time analysis of the phenomena in the case where mass spectrometers are dynamic. Mass spectrometry detects all species (usually ions from 0 to 200 amu), but the mass spectra are very hard to understand without knowing the exact resist chemistry. In addition, ion recombination phenomena introduce a great level of uncertainty into result interpretation. – Proof plate, another characterization method [HIL 06], has been developed using a contrast for which the determination of a detailed total spectrum of the chemical species is of a limited interest. The damage of outgassing being the pollution of the optical elements, the best way to characterize the outgassing is to quantify the photodeposition on the optical elements. This method usually consists of installing a glass or Si substrate as a mirror in order to model the optical elements, with several resist wafers successively outgassing under photonic flow. The following analysis determines the thickness of the layers deposited on the optical elements, the chemical nature of the layers or even the efficiency of the optical elements (transmittance, reflectivity). Despite the interesting new information, which outgassed molecule reacts with the optical surfaces is still to be determined; moreover, the experiments are sometimes achieved in conditions far from the geometric reality of a stepper. Finally, the current dilemma is, on the one hand to determine which species outgas from a resist under exposure and to determine their impact on the optical surfaces, in order to optimize both photosensitive chemistry and optical elements, and, on the other hand, to establish a strategy in order to ensure the quality of the optical elements of the exposure system for their entire lifetime. 6.3.5. Reflectivity control 6.3.5.1. Optical parameters of the resist The modeling of the lithographic process not only includes an optical model defining the aerial image (see Chapter 1) but also a model relating to light propagation in the resist film. The latter model is complex because it brings into play photochemical reactions that evolve during the exposure phase. The resist film is optically characterized by five main parameters: – the index of refraction n given at the exposure wavelength; – the absorption coefficient k; – Dill’s parameters A, B and C.

Lithography Resists

327

Dill [DIL 75] established equations that govern the photochemical reactions of the resist during exposure and simplified the resist exposure model by defining three measurable parameters (A, B and C) known as Dill’s parameters. Parameter A corresponds to the optical variation undergone by the resist during exposure. Parameter B represents the absorption of the resist compounds, the value of which does not vary during exposure. Finally, Parameter C measures quantum efficiency, meaning the proportion of photons absorbed by the PAC or the PAG that lead to a photochemical reaction. However, because absorption is not uniform in the resist film, the light intensity rapidly decreases from the surface to the resist–substrate interface, and the resist strongly reacts with the reflections coming from the substrate. 6.3.5.2. Effects of parasitic reflections in a film of small thickness The monochromatic incident light induces optical interferences in a thin resist film by reflection on the substrate. Light passes through the film and is reflected by the substrate, the reflected wave interferes with the incident wave resulting in the appearance, in the thickness of the film, of standing waves (Figure 6.53). The light intensity follows a sinusoidal variation of a λ/2n period, λ representing the wavelength and n the refractive index of the resist film. Incident light

Resist Substrate

Standing wave coupling + mass absorption

Swing effect Mass effect swing amplitude

Resist thickness

Figure 6.53. (a) Representation of reflectivity phenomena in a resist film; and (b) associated swing curve effects

328

Lithography

The topography, or thickness non-uniformity variations, of the resist lead to the same interference effects. They are technically described by swing curves, as for example in Figure 6.53, for the variation of the critical dimension of a pattern as a function of the resist thickness (for a given exposure dose). Their impact is all the greater when the pattern dimension is reduced. The amplitude of the swing curves can be reduced using several parameters: – by increasing the absorption of the resist, using a colored resist; – by decreasing the resist reflectivity by adding an anti-reflective layer: a top antireflective coating (TARC); – by reducing the substrate reflectivity by inserting an antireflective material between the resist and the substrate: a bottom antireflective coating (BARC). The reflectivity at the substrate–resist interface is also at the origin of effects on line profiles. Depending on the reflected wave phase, the line can represent a “footing” profile or an “undercut” profile, as illustrated in Figure 6.54.

Figure 6.54. Example of resist (left) footing profile, and (right) undercut profile associated with standing waves in the resist thickness

6.3.5.3. Attenuation of parasitic reflection This section approaches the solutions developed to reduce or even completely suppress parasitic reflection effects coming from the substrate. 6.3.5.3.1. PEB and resist thickness optimization The standing wave effects in the resist thickness can be reduced by adapting the diffusion length of the acid during PEB, which minimizes the resulting amplitude of the dimension variations in the film thickness.

329

Resist height (µm)

Resist height (µm)

Lithography Resists

Horizontal position (µm)

Resist height (µm)

Horizontal position (µm)

Horizontal position (µm)

Figure 6.55. Standing wave attenuation by acid diffusion during post-exposure bake: resist profile variation as a function of photogenerated acid diffusion for (a) 20 nm, (b) 40 nm and (c) 60 nm

The CD variation as a function of the resist thickness can be minimized by setting the thickness at the swing curve extremum, so that a weak thickness variation has a small impact on the dimensional aspect. By contrast, if thickness is set at the middle of the swing curve, the CD varies strongly with the same delta as for the thickness variation (Figure 6.53). Unfortunately these tricks become insufficient when the topography is too heterogeneous or the substrate too reflective. 6.3.5.3.2. With addition of a dye These problems can be limited by adding a dye, a non-photosensitive chemical agent, to increase the optical density of the film. The colored resist absorbs part of

330

Lithography

the light, which reduces the “swing amplitude” effect with no major modification in the process and no additional cost. However, colored resists show certain limits: they generate particles more easily, their lithographic performances are degraded and the doses applied are higher than for the equivalent non-colored resists. Even if more efficient new resist generations have been development by grafting dyes on the polymer, the profiles remain sloping because the energy received is not the same in all the film thickness, resulting from partial light absorption by the dye. 6.3.5.3.3. Addition of an anti-reflective layer: anti-reflective coating (ARC) Even if colored resists attenuate the effects of optical reflection, their absorption power is not sufficient enough to respect the CD uniformity or shape requirements when the CD required decreases. In this case, it is necessary to call upon antireflective materials (ARCs), either at the surface or between the substrate and the resist, called top anti reflective coating (TARC) or bottom antireflective coating (BARC), respectively. These layers need to be removed (during development in the case of TARCs, and at the etch step in the case of BARCs). Nevertheless, additional layers add extra complexities to the fabrication process, increase costs and can generate defects. Their use must be justified in terms of technical benefits (yield, etc.). BARC use The addition of BARC is the most efficient way to limit standing waves in film thickness, as well as limiting the interference effects resulting from resist film thickness variations or the topography of the underlying layers. This material is deposited by centrifugation using the same process as for the resist, and is then baked at high temperatures, often over 200°C. BARC is not photosensitive, nor soluble in the developer and therefore needs an extra step of plasma etching (also called BARC opening). The BARC is defined by three parameters: its thickness D2, and by n2 and k2, respectively the real and imaginary part of the refraction index, which must satisfy the following equation:

ρ12 + ρ23 ⋅ exp(−

i 4π ⋅ n2 D2 )=0 λ

[6.8]

where ρ12 is the reflectivity at the resist–BARC interface, ρ23 is the reflectivity at the BARC–substrate interface. The reflectivity coefficient at the interface of two layers i/j being defined as:

Lithography Resists

ρ ij =

ni − n j ni + n j

331

[6.9]

For the real refractive indexes, the following conditions for an anti-reflective can be obtained:

n2 = n1n3 D2 =

mλ 4n2

where m is a whole number

[6.10]

When the refractive index of the substrate has a large imaginary component, equation [6.10] is doubled: one for the real part and the other for the imaginary part of the index. umière incidente Incident light

n0, k0 Air Attenuated reflection Réflexion atténuée

ρ12 ρ23

n1, k1 Résine Resist n2, k2 BARC BARC n3, k3 Substrat Substrate

Figure 6.56. Representation of the BARC effects on reflectivity in a resist film

For a given organic BARC, the only optimization to be made is to the thickness of this layer in order to reach a reflectivity minimum (usually, a first or second minimum). TARC use The addition of a TARC allows the attenuation of the reflection coming from the substrate, provided the thickness and the index of the TARC are adjusted. The reflectivity is given by the following expression: R=

ρ12 + ρ 1ρ2 cos 2δ + ρ22 1 + 2ρ1ρ2 cos 2δ + ρ12ρ22

[6.11]

332

Lithography

where ρ1 is the reflectivity at the air–resist interface, and ρ2 is the reflectivity at the resist–substrate interface. At a normal incidence, δ =

2π nD where n and D are λ

respectively the refractive index and the resist thickness. For an anti-reflective surface (ρ1 → 0): nTARC = n DTARC =

[6.12]

( k + 1)λ 4n

Because the resist is not completely transparent, an ideal TARC does not exist and part of the light is reflected. TARCs are also used as protection against basic contaminants for chemically amplified resists. Incident Lumière light incidente

Air Air

ρ1

nTARC, kTARC TARC TARC n, k Resist Résine

ρ2

Attenuated Réflexion reflection atténuée

Absorbed energy (a.u.)

Substrate Substrat

with TARC without TARC

Resist thickness (nm)

Figure 6.57. (Top): representation of TARC effects on thin film reflectivity; and (bottom) the effect represented on a swing curve

Lithography Resists

333

As TARCs do not suppress reflections from the substrate, they are less efficient than BARCs for highly reflective substrates, or in cases where the reflectivity of the substrates varies strongly (see Figure 6.58).

Figure 6.58. Comparison of profiles obtained on BARC (left) and on SI with TARC on the surface (right) with the same resist

6.3.6. Pattern collapse After the development step, pattern collapse can be observed under certain conditions. This phenomenon is linked to the height/width ratio of the lines, which becomes critical when superior or equal to 3. For a given CD, the height of the printed patterns is therefore an additional constraint to satisfy. Figure 6.59 presents the results obtained using electron beam lithography in a chemically amplified resist. 80 nm-period lines can be correctly printed in a resist film of 81 nm thickness, but in a 109 nm film, they collapse (Figure 6.59a and b).

Figure 6.59. Top views of dense 80 nm-period lines printed using electron beam lithography in a XP9947W film of a thickness (a) 81 nm and (b) 109 nm

334

Lithography

For resolutions of around 35 nm, the critical aspect ratio is experimentally lower than 2 whereas it should be 4 or 5 to help the etch steps. Indeed, if the resist height is not sufficient, the patterns will not be correctly transferred in the underlying layer and a quality degradation of the transferred pattern will be observed (a rounding of the top, and loss of height; see Figure 6.60).

Figure 6.60. Side view of an etch transfer of lines on a too thin resist

6.3.6.1. Collapse origin Tanaka et al. observed several types of behavior for pattern collapse. One example given was of a negative resist of the Novolac type, the AZ-PN 100 [TAN 93] in which it was observed that, according to experimental conditions, the resist lines can: (a) bend; (b) break; (c) rip; or (d) lift-off from the substrate (Figure 6.61).

Figure 6.61. Example of resist line collapse. The resist used is the negative resist AZ-PN 100. The pattern shown are: (a) bent; (b) broken; (c) ripped; and (d) lifted-off. Under certain experimental conditions (e) these states are combined [TAN 93]

Lithography Resists

335

Under particular conditions, theses states can combine, as shown in Figure 6.61(e). These observations suggest several mechanisms at the origin of the pattern collapse. This behavior can be linked to the intrinsic physical properties of the polymer matrix, whether elasticity [DEG 92, KOT 05, LEE 03a, LEE 03b, TAN 93] or plasticity [NAM 95, STO 03, YOS 04], or to adhesion properties with regard to the substrate [SAN 05, TAR 03, VOG 04]. Collapse has a mechanical origin. More precisely, the applied force on the resist lines has a capillary origin and the imbalance is caused during the drying step of the rinse liquid of the developer [CAO 00, BRA 05]. When the rinse water is only present between the printed patterns, the inner curve of the liquid surface generates a pressure difference between the liquid media (de-ionized water) and the vapor (atmospheric pressure). The capillary pressure generated is constant on all the liquid height. The pattern collapse takes place when the mechanical response of the pattern is not significant enough to counterbalance the capillary force applied to the sidewalls (Figure 6.62).

Figure 6.62. Side view of the rinse water present between two lines of resist. The rinse liquid surface is curved. W is the width of the line, d is the distance between the lines, H is the height of the line and θ the contact angle of the rinse liquid on the resist. The effect of capillary forces on the resist profiles is represented in the bottom drawing

336

Lithography

Starting from Young-Laplace’s equation, Tanaka et al. have given a first definition of the capillary force applied on the vertical patterns [TAN 93]:

ΔP =

2γ cos θ d

[6.13]

where γ is the surface tension of the rinse liquid, and θ and d are linked to the bending radius R of the liquid by:

R=

d 2cos θ

The linear capillary force applied on each structure is then defined by:

ω=

2γD cos θ d

[6.14]

where D is the length of the printed line. According to these equations, only the distance between the patterns can drive the variation of the bending radius of the rinse liquid, and therefore influence the value of the capillary pressure. When the density or the pattern resolution increases, collapse is therefore increased and the critical aspect ratios are reduced. For resolutions less than 30 nm as foreseen by the microelectronics industry, the mechanical behavior of the patterns is a highly critical parameter. 6.3.6.2. Line profile effect In a very general manner, according to the properties of the polymer matrices and the parameters of the lithographic process after exposure (temperature, baking time), the patterns can have a negative or a positive slope (Figure 6.63). Experimentally, this slope is undesirable as it degrades the quality of the image transfer during etching. In addition, the slope changes the geometry of the patterns that will not then have the same response to a capillary force. In the case of undeformable patterns, the capillary pressure applied on nonvertical lines with an α slope can be expressed according to equation [6.15]:

ω=

2γD cos(θ − α) d

[6.15]

Lithography Resists positive α

337

negative α

Figure 6.63. Representation of the profiles of dense lines with non-vertical sidewalls: (a) resist profiles with an negative slope; and (b) resist profiles with an positive slope

In the case of a negative slope pattern, α is positive and the value of the capillary pressure is lower than the capillary pressure on a vertical pattern of same dimensions W and d. By contrast, in the case of a pattern of positive slope pattern, α is negative and the value of the capillary pressure is increased. A simulation of the non-verticality effect of the patterns for dense 100 nm-period lines predicts a better mechanical behavior for negative slope patterns (α positive). It can mainly be observed that the variation of the critical height of the lines is no longer linear to the slope angle. Indeed, the positive profile has a smaller impact than a negative profile on the value of the critical height of the line (Figure 6.64). +13° +7° +3° 0° -3° -7° -15°

300 250

Hc (nm)

200 150 100 50 0 20

30

40

50

60

70

W (nm)

Figure 6.64. Critical height (Hc) as a function of line width (W), calculated thanks to the ASD models for dense lines showing variable slopes

338

Lithography

6.3.6.3. Decrease in capillary forces by use of surfactant solutions In order to keep current tools and resists, surfactant solutions have been developed. These solutions show surface tensions smaller than that of de-ionized water. They are added to the developer rinse water which allows a decrease in the capillary pressure applied to the pattern. The use of these solutions does not require additional tools such as supercritical development. Many publications have proven the relevance of theses surfactant solutions in order to improve aspect ratios, or to enlarge the process windows of the patterns printed using KrF 248 nm lithography (PHS matrix resist) [BRA 05, TAN 03, ZHA 05A], or ArF 193 nm lithography (polyacrylate-based resist) [JUN 03, LEE 03c, LEE 03d, MAS 04, MIY 04, ZHA 03, ZHA 04a, ZHA 04b, ZHA 05B]. An improvement of the line roughness has also been noticed when using a surfactant solution as a rinse solution. This improvement is due to the resist–surfactant interaction which leads to a slight swelling of the resist film [MIY 04]. However, the concentrations of the surfactant solutions need to be optimized in order to avoid a degradation of the quality of the patterns to be developed because of a too strong resist–surfactant interaction (dissolution, deformation) [LEE 03c, TAN 03, ZHA 03]. The two main properties of surfactants are adsorption at the interface that leads to a decrease of the interface tensions, and auto-aggregation in a solution, or micellization. The surfactant molecules tend to orientate and concentrate themselves at the interfaces (liquid–liquid, liquid–solid, liquid–gas) in order to increase the attractive interactions [LAR 95]. Thus, a surfactant in an aqueous solution is preferentially adsorbed at the water–air and water–solid interfaces. Starting from a certain concentration, the excess of molecules of the surfactant self-arranges in a solution to form aggregates, called micelles (Figure 6.65).



Figure 6.65. Representation of the action of the surfactant molecules used in lithography as a function of its concentration

Lithography Resists

339

The concentration from which a surfactant compound self-aggregates to a micelle form in aqueous solution is called the “critical micelle concentration” (cmc). It is from the cmc that the lowest tension at the interfaces is obtained. When the concentration exceeds the cmc, the surface tension value is almost constant. For surfactants using carbon chains, this cmc is usually 10–2 to 10–5 mol.L–1; it is around 10–12 for surfactants containing two chains [MIL 04]. When using surfactants in microelectronics to rinse polymer patterns during the lithography step, it is important to define a second critical concentration: the critical concentration of interaction (cci). Indeed, according to the chemistry of the surfactant and the resist, a chemical reaction is produced between the surfaces of the surfactant and the resist. The cci represents the surfactant concentration from which the surfactant–polymer interaction is high enough to generate defects on the polymer’s surface (swelling, dissolution, bubbles, etc.). Surfactant solutions of higher concentration compared to the cci can partially dissolve the patterns. This phenomenon is even more critical on low resolution patterns. This critical concentration of interaction is always inferior to the cmc. Finally, the optimum concentration (Copt) is the surfactant concentration necessary for the surfactant solution application onto patterns that noticeably improves their mechanical behavior. 75

DIW Tension surface (mN/m) Surfacede tension (mN/m)

70 65

C < cmc

60

E D C

55 50

B

C>cmc A

45 40 0

0,1

0,2

0,3

0,4

0,5

Relative concentration Concentration relative (%)(%)

Figure 6.66. Variation of the surface tension of the surfactant solution as a function of its concentration

340

Lithography

In Figure 6.66, it can be observed that the surface tension of the liquid decreases when the surfactant concentration increases to reach a threshold value starting from a B (0.1) concentration. It can be concluded that the cmc of the surfactant is around this concentration. Figure 6.67 shows results obtained using electron beam lithography for dense 100 nm lines. The figure shows the very good efficiency of the surfactant on the reduction of capillary pressure, since the critical aspect ratio was improved from 2.3 to 2.8, meaning a 42% improvement of the collapsing critical height. The use of surfactants is limited because the surface tension of the surfactant liquid cannot be indefinitely decreased, and capillary pressure increases when the distance between patterns gets smaller. This is why the efficiency of the surfactant decreases with resolution. The current surfactants are efficient on dense patterns of dimensions of 50 nm. However, these compounds cannot counterbalance the increase of capillary forces for sub-40 nm resolutions. Thus, to reach the required aspect ratios for resolutions of 32 nm and higher, it is necessary to develop other solutions: either new more aggressive surfactant solutions, developing in a dry medium (supercritical fluids), or the use of resist trimming after lithography to reduce the critical dimensions. Hauteur de résine à l’effondrement (nm) Resist height when collapsing (nm) 200 160 120 80 40

Avecsurfactant surfactant With Rinçage DIW rinseEDI

0 20

30

40

50

60

70

CD (nm) (nm) CD

Figure 6.67. Variation of the collapsing resist height for a de-ionized water-based rinse (DIW), and with DIW and surfactant

Lithography Resists

341

6.3.7. Thin film effect Taking into account printed resist pattern collapse and the absorption of the exposure wavelength by the resist film (mostly critical for EUV lithography), it is commonly admitted that it is essential to work with ultra-thin resist films, of thickness inferior to 100 nm to achieve nodes 32 nm and lower. The consequences in term of lithography performances are the following: for whatever exposure system or resist type (PHS or polyacrylate based), pattern degradation is always observed when they are printed in resist films of a thickness inferior to 50 nm. A rounding of resist lines as well as an increase of the line roughness are observed. The quality variation of the lithographed patterns as a function of the resist thickness is shown in Figure 6.68, for dense 60 nm patterns in a resist of thickness varying from 30 to 142 nm. It can be observed that the LER and LWR of the dense lines clearly increase when the pattern height reaches values inferior to 50 nm. For very small thicknesses (30nm after development), the patterns are completely rounded and very rough (LER ≈ 16 nm ± 6 nm).

142 nm

120 nm

89 nm

70 nm

50 nm

30 nm

Figure 6.68. Observations using a scanning electron microscope of printed dense lines using electron beam lithography in a chemically amplified resist of varying thickness

6.3.7.1. Ultra-thin films of model polymers For several years, many publications have demonstrated that the properties of the materials at the surface or interfaces differ from their volumic properties [EHR 00, FOR 97, KIM 06]. A resist film shows an interface in contact with the substrate and a free surface, the observed phenomena at both interfaces (air–resist and substrate– resist) being different.

342

Lithography

6.3.7.1.1. At the air–resist interface The first research in this field was undertaken in 1994 by Keddie, Jones and Cory, who studied the glass transition temperature (Tg) of thin films [KED 94]. Their results showed that the Tg of polystyrene (PS) films deposited on native silicon oxide decrease when the film thickness is inferior to 40 nm for different molecular weights (Mw = 120 kg.mol–1 to 2,900 kg.mol–1). They were the first to assume that Tg variations can be the result of the existence of an almost liquid layer of 8 to 13 nm at the free surface of the polymer film [KED 94]. The experiments made later by Forrest et al. on suspended PS films (2 air interfaces) confirmed these observations. Indeed, they measured, using Brillouin diffraction, a Tg decrease of several dozens degrees (70°C) when the thickness of the PS film (Mw = 760,000 kg.mol–1) decreased from 65 nm (Tg = 96.85°C) to 30 nm (Tg = 26.8°C) [FOR 96]. Other experimental techniques have been used to characterize the Tg of ultrathin polymer films such as X-ray reflectivity [ZAN 96, WAL 95] or positron annihilation lifetime spectroscopy (PASL) [DEM 97, XIE 95]. To begin with, A.M. Mayes suggested that the increase of the molecular mobility at the free surface of ultra-thin films could be the result of an increase of the number of the polymer chain ends in that area, because of the conformation variation of the macromolecules with the resist film thickness [MAY 94]. On the other hand, the increase of the mobility at the free surface of the polymer films can also be observed in film made of pure cyclic macromolecules. P. Doruker thus demonstrated that the variations of the polymer film surface properties would be the result of a decrease of the macromolecular density rather than an increase of the chain end density [DOR 99]. Many simulations have made it possible to complete and support these multiple observations. Mansfield and Dorucker have, then, shown that the effect of a free surface is that it decreases the chain density and therefore increases their mobility at the surface [MAN 91]. According to them, this effect is present in the film at a distance greater than the radius of gyration of the polymer macromolecule (Rg). These publications support the idea that the surface of polymer thin films contains a greater free volume than the surface of a thick polymer film. And yet, a greater proportion of free volume increases the molecular mobility, which, as a consequence, lowers the material’s Tg. 6.3.7.1.2. At the resist–substrate interface In addition, many teams have been focusing on the study of the properties of thin films at the resist–substrate interface. To this end, B. Franck’s team achieved measurements of polymer chain mobility, detectable using fluorescence. This study showed the importance of the substrate’s nature on the PS chain mobility

Lithography Resists

343

(Mw = 30 kg.mol–1, Rg = 6 nm). When the polymer is deposited on SiO2 a decrease of the chain mobility is observed in films of thickness inferior to 12 nm [ZHE 95]. In addition, C. L. Soles et al. also observed, using X-ray reflectivity, a decrease of the molecular mobility when the thickness of the resist films decreases [SOL 01]. Previously, Keddie et al. had shown that the Tg of a PMMA thin film decreased with thickness when deposited on gold, whereas it increased when the polymer was deposited on a native silicon oxide layer [KED 94A; KED 94B]. The different authors granted these differences to the variation of resist–substrate interaction forces. J.L. Keddie et al. therefore highlighted that depending on the substrate, the molecule mobility was reduced at the resist–substrate interface at a distance of two to three chain lengths. When the film is deposited on a SiO2 substrate, it is preferably the presence of hydrogen bonds between two materials that enhances the interaction and reduces the molecular mobility [KED 94A]. In addition, molecular modeling of the chain structure around the substrate has allowed the demonstration of chain densification and, therefore, a reduction of the free volume [BAS 96, TOR 00]. In order to better understand the origins of the variations of the physical properties as a function of the thickness, several teams have tried to figure out the evolution of the polymer chain conformation as a function of the resist film thickness. In this way, L. Jones et al. have measured, using small angle neutron scattering (SANS), the macromolecule conformation in a PS film deposited on silicon of a thickness lower than Rg. The substrate–polymer interactions result in a chain orientation and their deformation as soon as the resist film’s thickness is inferior to 0.1 Rg. This different research shows that, at the resist–substrate interface of a thin polymer film, a resist film densification can be observed, particularly marked if the resist–substrate interactions are favorable. This densification leads to a reduction of the molecular mobility; this is why the Tg in this area is greater than the Tg of the bulk material. Finally, throughout these different works, it emerges that an ultra-thin film cannot be modeled as a layer with constant physical properties. It has to be described as a stack of three layers, each of them being of different density and molecular mobility [FOR 00, DON 96, OKO 00]: a first layer at the resist–substrate interface of which properties greatly depend on the interactions with the substrate, an intermediate layer of which properties are those of the bulk polymer, and, finally, a third layer at the resist–air interface of rubbery behavior and lower molecular density (Figure 6.69).

344

Lithography

Tg1< Tg

bulk polymer Tg ≈ Tg polymère massif Tg2> Tg Substrat Substrate

>Rg ≈ aqques nanomètres few nanometers a few nanometers ≈ qques nanomètres

Figure 6.69. Representation of an ultra-thin film (thickness inferior to 100 nm) according to the measurements made by U. Okoroanyanwu [OKO 00] and J.A. Forrest [FOR 00]

Because of the macromolecular density differences, each of these layers show different glass transition temperatures as well as different diffusion properties. 6.3.7.1.3. Ultra-thin films of chemically amplified resists To date, little research has been published on the study of the interface effects in thin, chemically amplified, resist films. D.S. Fryer et al. measured the Tg variations of chemically amplified resist films (PHS-based with low molecular weight) deposited on Si (UVN30, UV6, UV3, KRS and KRS-XE). The measurements were made using a local thermal analysis technique (using a thermal probe) and they observed an increase of the Tg from 4 °C to 22 °C depending on the resist for films of thicknesses ranging from 200 nm to 55 nm [FRY 00]. In addition, O. Okoroanyanwu’s team has achieved measurements using X-ray reflection on resist films of ESCAP polymer matrices bound to 157 nm lithography. This team was able to determine the width of the interfacial layers: around 2 nm for films of total thickness greater than 30 nm, increasing to 5 nm for thicknesses of around 16 nm (meaning 30% of the total thickness). Finally, these publications are the first to venture the hypothesis that the parameters of the lithographic process need to be adjusted when exposures are achieved in ultra-thin resist films. J.H. Kim’s team has shown that, by increasing the PAG concentration in the resist, it is possible to increase the exposure depth of focus and improve the roughness of the printed patterns in films of thicknesses lower than 100 nm [KIM 06]. This research has shown that the density (therefore the free volume distribution) of thin films of polymers was very different to that of thick polymer films. This configuration variation could therefore modify the diffusion properties of thin polymer films. This comment is particularly important in the case of chemically amplified resist thin films, because the migratory phenomena of the small dimension molecules intervene in many key steps of the lithographic process: – the photo-generated acid diffusion of the exposed areas towards the nonexposed areas of the resist; – the diffusion, in the resist, of basic contaminant species from the air or the substrate;

Lithography Resists

345

– the diffusion and evaporation of the residual solvent in the resist at the deposition step. Ordinarily, Fick’s law allows the continuous flow of the diffusing molecules per surface unit in a material to be expressed, thanks to a diffusion coefficient D (expressed in m.s–1) of which temperature dependence is expressed using Arrhenius’ law. This law has often been used as a first approximation in order to model the diffusion phenomena in polymer films for lithography [WAL 99A]. However, to describe the diffusion phenomena of the PAG into the polymer matrix during baking after exposure, it is essential to also consider the change of state of the film (film compaction during baking, and consequently the free volume variation, etc.). Indeed, the values usually found for the PAG diffusion coefficients in PHS matrices are of around 1.10–4 to 1.10–6 µm2/s [GOL 01, NAK 91, WAL 99B]. In a first approximation, the diffusion is considered to follow Fick’s law: L = 2 Dt

[6.16]

with L the diffusion length (in m). With D ≈ 1.10–5 µm2/s, it can be found that the diffusion length L of the acid is around 42 nm. This way, when the printed period tend towards 100 nm (meaning a line dimension of 50 nm and a space dimension of 50 nm), the exposed zone is of a dimension similar to the acid diffusion length. This is why the deprotection reaction is no longer really controlled and the LER and LWR slightly increase, even for thick films (> 122 nm). 6.3.7.1.4. Air–resist interface The fact that the air–resist surface layer shows more free volume than the rest of the resist film promotes the diffusion of the small dimension molecules in this area (therefore the photogenerated acid) under two criteria: – an increase of the number of reactional paths of the molecules because of the lower molecular density; – a decrease of the Tg meaning amplified molecular motion during PEB. This way, the deprotection reaction is promoted at the surface of the resist film. In addition the diffusion mechanisms are not as well controlled. On the other hand, the developer more easily penetrates the resist film when the density is low, it accelerates the dissolution of the polymer matrix at the film surface. Added together, all these effects lead to an increase of the rounding of the top of the resist patterns, as well as to an increase of the roughness when height decreases.

346

Lithography

6.3.7.1.5. Resist–substrate interface D.S. Fryer has shown that the interactions between the substrate Si + HMDS and a polymer-based resist were favorable [FRY 00]. This way, at the resist–substrate interface, the molecular mobility is reduced, the resist is denser and the reaction mechanisms are less easily activated. The deprotection reaction is therefore disadvantaged. To conclude, by reducing the thickness of the exposed resist films, the widths of these two external layers are increased at the expense of the central polymer layer of bulk properties. Thus, the heterogeneity of the reactional mechanisms in the resist film is increased; in the top part, the deprotection reaction is activated, whereas at the resist–substrate interface, it is slowed down. This hypothesis could allow the results observed in the first part of this section and in Figure 6.68 to be explained, that is to say, the verticality loss of the patterns but more importantly an increase of the pattern roughness when height decreases. In the case of ESCAP-based resists coated on a silicon substrate, and treated with HMDS, the air–resist interface is less dense than a bulk polymer, which leads to a degradation of the control of the deprotection reaction and an acceleration of the developer’s penetration. This phenomena leads to a rounding and a verticality loss of small height patterns. By contrast, if the resist–substrate interface is denser, the molecular mobility is reduced and the deprotection reaction less amplified. The combination of these two phenomena result in lower verticality of small patterns compared to patterns printed in thick resist films. Consequently, the use of films of thickness inferior to 100 nm to address more aggressive nodes could lead to a specific development of resist suited to this use and a readjustment of the process parameters to counterbalance these effects. 6.3.8. Etch resistance In addition to the lithographic aspects, the second key parameter for the resist is their etch resistance as they are used as a transfer mask for the etch step. It must resist to the etch attack long enough to allow the pattern transfer into silicon or into a hard mask. The maximum resist thickness (also called the resist budget) needed for this step is limited by two parameters: – if the aspect ratio of the patterns, meaning their height divided by their width, is too high, they might collapse during development (pattern collapse); – if the thickness is too great, the depth of focus is reduced and this leads to distortion of the patterns that are partially out of the focal plane.

Lithography Resists

347

6.3.8.1. Etch step The final aim of lithography being the obtaining of patterns in the active layer of a device, it is necessary to succeed in transferring these patterns using the resist as a mask. Inspired by existing etching technologies in copper, and by fabrication of printing plates, the microelectronics industry started by using wet etching processes. However, this method is limited because the etching is isotropic (Figure 6.70): it was changed to a technique that transferred the patterns according to the etching mask dimensions, mainly because of the reduction of the pattern dimensions. The use of plasmas for etching in microelectronics was therefore introduced in industry in the 1970s. Resist To etch Substrate

a) Before etching Resist To etch Substrate

b) Anisotropic etching Resist To etch Substrate

c) Isotropic etching Figure 6.70. Representation of the shape of the layer to etch: (a) before etching; (b) after anisotropic etching; and (c) after isotropic etching

In 1923, Langmuir defined plasmas as globally neutral, ionized gaseous media containing ions, electrons and non-charged particles undergoing collective interactions. Only the “cold” plasmas and those out of local thermodynamic equilibrium (LTE) are used in the microelectronic’s industry. They have a low ionization rate, of around 10–3, as opposed to “hot” plasmas of thermo-nuclear origin. These last-mentioned plasmas are of a temperature of around 5107 K and have an ionization rate of around 1, which corresponds to fusion. Among cold plasmas, those out of LTE are the only ones for which the electronic temperature is much less (105 K) than that of the non-charged particle’s and ion’s temperature (300 K).

348

Lithography

The plasmas out of LTE considered are always maintained by electrical fields. They are free electrons, which are accelerated under the electrical field, that is capable of exciting, dissociating or ionizing the gas atoms and molecules and therefore maintaining the plasma. Because of their low mass, the electrons will clearly be more accelerated by the electrical field than the ions. However, for this same reason, they will only be able to release part of their energy after their impact with the species in the plasma. Because of their great mobility difference (several orders of magnitude), the electrons are more quickly lost on the walls than the ions, which will supposedly lead to the plasma discharge. The plasma must stay electrically neutral, which is why the charge loss cannot be permanent. The electron leakage leads to the positive selfpolarization of the plasma in relation to the etch chamber. The potential loss between the plasma (of potential VP) and the walls takes place in a small region at the wall’s proximity, called the electrostatic sheath. It represents a potential barrier for the electrons that are therefore confined in the plasma, contrary to the positive ions that are accelerated by the sheath’s potential. The VP value auto-adjusts to ensure the plasma’s neutrality at a value of about 15 V. The minimum energy of the accelerated ions of the electrostatic sheath that bombard the walls is of about 15 eV. To increase the energy of the ions, it is possible to polarize the substrate by applying not a continuous tension but a periodic tension (V = VRF cos (2π fbias t ) ) through a capacitor of low impedance. At the most commonly used frequency (fbias = 13.6 MHz), the ions only see the mean value of the radiofrequency (RF) electric field and, by contrast, the electrons instantaneously react to the field oscillations. It is then possible to adjust the energy of the accelerated ions using the plasma potential and the RF field potential: Ei = q (V p + V RF )

[6.17]

with Ei the ion energy and q the ion charge. Plasma etching uses two etching phenomena: chemical etching and physical etching. 6.3.8.2. Chemical etching [WIN 83] Chemical etching is a spontaneous isotropic process that depends only on the nature of the species plasma reactive: it takes place only if the reaction is thermodynamically favorable. The etch mechanism is illustrated by the example of silicon (Si) etching using a CF4 plasma. It can be decomposed into four steps: 1. creation of reactive species in the plasma: CF4(g) + e–  C(g) + 4F(g) + e–; 2. adsorption of the reactive species at the surface: Si(s) +2 F(g)  SiF2(s);

Lithography Resists

349

3. synthesis of volatile reaction products: 4 F(g) + Si(s)  SiF4(g); and 4. desorption and pumping of the reaction products out of the chamber. For the etching to take place, the reaction products must be volatile species that can be desorbed at the surface to remove matter. The choice of the gaseous mix used to etch a given material is therefore fundamental. For example, silicon etching uses halogens that produce volatile products of the SiX4 type (with X = Cl, Br or F). These gases are assisted by other reactive gases [MUC 94] in addition to carrier gases such as helium, neon and argon, without which etching would be slower. The neutral gases do not have a direct role in the etch mechanism but can transfer their acquired energy after colliding with electrons. As well as being a surface phenomenon, chemical etching is selective: it has a tendency to attack a material rather than another in a preferential way, which is characterized by the ratio: S=

V(substrate) V(mask)

[6.18]

with V(substrate) the etch rate of the layer to etch and V(mask) the etch rate of the mask. The disadvantage of chemical etching is that it is slow (a few nm/s) and isotropic, which makes it incompatible with the fabrication of nanometric structures. 6.3.8.3. Physical etching [LIE 94] Physical etching is performed by bombarding a substrate with ions of the plasma, of which energy is tuned between 15 eV and a few hundred eV. During the impact of the ions, an energy transfer to the solid’s atoms can occur, which allows them to leave the surface. The transfer can only take place if the ions and the solid’s atoms have similar weights. This phenomenon is also called physical sputtering by ion bombardment. In the case where ion energy is too low, only ion adsorption or desorption phenomena can be observed, as well as atom displacements. Because of the ion directional acceleration due to the electrical field, the ions reach the sample to be treated with an almost normal incidence. The physical etching is therefore anisotropic and well adapted to the fabrication of small structures. However, it suffers from a great lack of selectivity because of the small weight difference between the masking and the materials to be etched. In addition, it can induce degradations in the whole layer of the material to be etched because of the high ion energy. Taken separately, these two etch mechanisms have disadvantages that present them as not being well adapted. By contrast, by combining them, the resulting

350

Lithography

plasma etching takes advantage of both ions and neutral species [COB 79A, COB 79B]. The simultaneous use of chemical etching and physical etching, then, allows either the assisting of chemical etching with ion bombardment, or a chemical activation of physical sputtering. In the first case, the ions create reactive species at the sample’s surface and bring energy. The chemical reactions are accelerated and the desorption of volatile species is promoted. In the second case, the ions from the plasma neutralize each other when approaching the sample. These highly energetic non-charged elements react with the substrate and directly participate in the chemical etching. The anisotropic characteristic is preserved because the neutralized ions are accelerated towards the surface beforehand and keep their trajectories almost perpendicular to the substrate. This type of plasma etching is called reactive-ion etching. During etching, a redeposition of the etched matter on the sample can occur. This deposition can either occur at the bottom of a space (or on top of a pattern), or on the sidewalls of a pattern. On horizontal surfaces, the deposited matter is quickly removed by directional etching. By contrast, on vertical surfaces, the matter is less exposed to bombardment. It then inhibits the chemical etching and protects the sidewalls of the patterns being transferred (Figure 6.71). Unfortunately, this passivation layer can generate transfer defects because it is also deposited on the sidewalls of the resist pattern. The progressive variation of the side dimension of the mask, as well as the reduction of the pattern’s width during etching, are at the origin of the slope in the transferred pattern. Passivating layers

Passivating layers

Resist To etch

Resist To etch

Substrate b) Sidewall protection using passivation

Substrate b) Transfer defect

Figure 6.71. Effects of passivation during etching: (a) sidewall protection; and (b) slope resulting from a transfer defect

By tuning the process parameters, a layer can be preferentially etched in comparison to another, thus defining the selectivity of the etch chemistry. Selectivities of over 50 can be reached for specific layers. However, the resist’s selectivity compared to silicon is very low. To obtain a more efficient transfer, an additional layer is inserted between the resist and silicon: a hard mask (HM).

Lithography Resists

351

By considering that the lithography step requires a BARC, the resist pattern transfer is done in several steps, described Figure 6.72. Resist BARC HM SiO2 Poly-Si SiO2 gate oxide Si Bulk

a) After lithography

b) BARC etching

c) HM etching

d) Resist + e) Poly-Si BARC removal etching

f) Si O2 removal

Figure 6.72. Steps in resist pattern transfer for silicon using a hard mask

6.3.8.4. Radiation emission in plasma As previously stated, electrons accelerated by the electrical field of the plasma can excite the atoms and the molecules to rotational, vibrational and electronic quantum states. The radiative de-excitation of the species is at the origin of the optical emission of plasmas: A + e–  A* + e– A*  A + hν The spectroscopy of the plasma’s optical emission during etching allows the analysis of the excited species present in the chamber. During radiative deexcitation, the atoms and molecules emit photons at characteristic wavelengths. This spectral analysis of the chemical species in the plasma, or produced during etching, is a qualitative and quantitative method. This technique is used to detect the end of the attack of certain layers. Indeed, during BARC etching, a characteristic emission-line of SiF can be observed at 440 nm. The recorded signal in the etch chamber will increase when part of the BARC surface is opened, until the process reaches the SiO2 underlayer. It will continue to increase until the entire surface is entirely opened and then will reach a stationary phase (Figure 6.73).

352

Lithography

Over-etch

Organic material etching

Start

End

Figure 6.73. Detection spectrum at the end of attack when opening the BARC

This method allows the time needed to entirely complete the etching of the material to be determined, but is not capable of determining the residual thickness of matter of the layer to etch. Table 6.6 summarizes the characteristic values of the emission wavelengths for some species. Material to etch

Observed species

Emission wavelength (nm)

Resist

CO*

278; 483; 586; 643

OH*

308

CO*/OH*

283

H*

656,3

F*

704; 755

SiF*

453; 640; 777

F*

704; 755

CN*

387

Si, Poly-Si SiN, Si3N4

Al GaAs

N*

674

AlCl*

261

Al*

396

As*

278

Ga*

287

Table 6.6. Characteristic emission wavelengths for some species in plasmas

Lithography Resists

353

Normalized emission (AU)

Figure 6.74 is the recording of emission rays of a plasma during plasma etching, with power of the RF source being 300 W, and with a gas flow of CF4 (10 sccm), and Ar (200 sccm).

Wavelength (nm) Figure 6.74. Recording of an emission spectrum for a plasma during hard mask type etching

6.3.8.5. Damage generated in the substrates during etching The fact that the surface bombardment by ions orients the etching in a directional manner has already been mentioned. However, highly energetic particles can lead to damage in the films and substrates. The ions generate shifting and implantation induced defects whereas the electrons, the photons and the X-rays can lead to the ionization of the materials. This damage leads to the alteration of the electrical properties of the semi-conductor substrate. The chemically amplified 193 nm resists had to be optimized in order to resist etch plasmas more efficiently. Indeed, the need to change the chemical nature of the resist when going from 248 nm to 193 nm lithography has led to a lowering of the etch resistance of the resists. The poly(hydroxystyrene)-based polymers have an etch resistance instrinsically better than 193 nm acrylic resists; this is because they have been improved by introducing etch resistant groups, grafted onto the main polymer chain. These groups increase the proportion of carbon in the resist chemical composition.

354

Lithography

6.3.8.6. Etch rate modeling Many studies have tried to link the etch resistance of the resists to their chemical composition as well to their architecture. The Ohnishi parameter [GOK 83] was the first criteria to model etch resistance. However, it does not take into account the insaturation that can be found in the resist, nor the polymer structure: Etch rate ∝

NT NC − NO

[6.19]

with NT, being the total number of atoms in the resist, NC, the number of carbon atoms and NO, number of oxygen atoms. The ring parameter, or Kunz parameter, takes into account the resist structure by emphasizing the carbon atoms present in the rings. This consideration has been made from the polymer chemistry used for the resists: Etch rate ∝

M CR M TOT

[6.20]

with MCR the mass of the carbon atoms in the rings and MTOT the total mass. These parameters have, then, been modified to be adapted to the new resist chemistries as well as to the specific role of particular chemical bonds. The IERF (index for etch resistance of fluoropolymers) includes the fluorine quantity of the resist in the Ohnishi parameter [KIS 02]: Etch rate ∝

NT N C − NO − N F

[6.21]

The ISP (incremental structure parameter) no longer considers the atoms but the existing chemical bonds [WAL 99B]:

Etch rate ∝ N X − H Q1 +

( N C −C ) 2 NC

Q2 + NC −O Q3 + NC − N Q4

[6.22]

with NC, the number of carbon atoms, NX-H the number of bonds with hydrogen atoms, NC-C the number of carbon-carbon bonds, NC-O the number of carbon-oxygen bonds and NC-N the number of carbon-nitrogen bonds. The factors Q1, Q2, Q3 and Q4 are weighting factors.

Lithography Resists

355

All these parameters can give information on the etch rate but remain incomplete as they do not include the etch chemistry. The exceptions to these rules are numerous, in particular, the last-mentioned formula which does not explain the better etch resistance of some acrylic polymers compared to their methacrylic equivalents. In addition, the energetic species of the plasma significantly modify the chemical composition of the resists during etching: ester functionalities used to, amongst other things, attack the etch-resistant groups of the main chain, are destroyed and the detached groups can outgas. This phenomena leads to a density change and a varying etch rate during the pattern transfer step.

Etch rate (normalized to a Novolac resist)

The etch resistance of different resist types, compared to the reference Novolac resist, is given in Figure 6.75. It shows the progress accomplished for etch resistances for 193 nm resists.

“V1” IBM resist

Non-imagable cycloaliphatic polymers

Alicyclic acrylate copolymers

“V2” IBM resist

DUV resist I-line resist

Ohnishi parameter Figure 6.75. Representation of the etch resistance for different resists as a function of the Ohnishi parameter, normalized to the Novolac resist (according to [KUN 96])

6.3.9. Implantation resistance

Resists are used as masks for the etch step but also for the ion implantation steps. They have been developed with less care, the required CD being less aggressive for these levels. However, these CD have become more critical with the reduction of transistor size.

356

Lithography

6.3.9.1. Implantation step Doping consists of injecting impurities in a crystalline semiconductor material in order to modify its electrical properties. Each dopant creates a positive or negative charge (hole or electron) in the semiconductor, locally changing its conductivity. Dopants such as boron, arsenic or phosphorus are introduced by using ion implantation from a gaseous source under vacuum. Doping is achieved by ion implantation with an ion source, an accelerator which enables the acceleration of high energy ions, and a target chamber where the ions are implanted directly in the substrate. Ion implantation is characterized by the ion’s nature, the dose, meaning the ionic current per time unit and deposited energy (from a few keV to a few MeV).

Substrate

Separation magnet

Ion source

Current source

Figure 6.76. Implantation tool with a mass separator

6.3.9.2. Resist selection criteria Selecting the resist for a given implantation is not only guided by its lithographic performances but also by its behavior to doping, meaning: – its mask capability: meaning its capability to protect the undoped zones; – its implantation resistance, in particular the respect of the final dimensions (shrink limitation); – its outgassing in the implantation chamber; and – its behavior during resist stripping. This section gives the required resist properties for the implantation levels.

Lithography Resists

357

6.3.9.3. Resist masking capability Masking capability can be measured by several characterization techniques: thermawave or resistivity measurements. The thermawave technique is the quickest and simplest technique. It consists of measuring the rate of crystalline defects created in the silicon. This rate is most often inferior to 100 when the silicon has not been implanted. The resistivity measurement or R2 corresponds to a resistance measurement (ohm/cm2) that varies along with the doping. For a given implantation, it is possible to combine the contrast curve with measurement monitoring using thermawave on one wafer. Squares (10 × 10 mm) are insulated by constant steps until the dose necessary to clear the resist. The decrease in thickness is measured using ellipsometry in each square; the wafer is then implanted, followed by resist stripping, and the wafer is finally measured using the thermawave technique in the same zones as the thickness measurements. From these two curves, the minimum required thickness in order to avoid the implantation of the silicon in zones protected by the resist is determined. 6.3.9.4. Intra-wafer CD uniformity control Until recently, implantation levels were considered as non-critical in terms of resolution, but with the reduction of transistor size, the CD of the post-gate implantation levels (junctions) becomes more critical, in particular for intra-wafer CD uniformity. Indeed, the resist opens to oxide zones used to isolate the transistors. And yet, the oxide, transparent to UV light of which thickness tolerance is high (> ± 10 nm), induces strong intra-wafer CD variations of which amplitude can reach the maximum amplitude of the resist swing curve. This is why colored resists absorb part of the parasitic reflections coming from the underlying oxide thickness variation, thus replacing the standard transparent resists. However, the current limits of these resists are present from the 65 nm technological node. A bottom anti-reflective coating (BARC) cannot be used to control the implanted ion trajectory, since the BARC opening using plasma induces surface oxidation of the silicon, unfavorable to the implanted ion path. New materials such as BARCs developable during the resist development step have emerged, playing the same role as a standard BARC but introducing no surface modifications of the silicon before doping. In addition to their anti-reflective characteristics, such materials called wetBARC (or D-BARC) must be removed with the same developer as the one used for the resists, during a similar time, in order to be adapted to industry. 6.3.9.5. Implantation resistance As for resists dedicated to etching, mask resists for implantation levels must resist the ion bombardment. After ion implantation, the resists are chemically

358

Lithography

modified more particularly at the surface, thus creating a carbonated “crust” at the surface. In order to limit these effects, the resists are often hardened using a UV cure before the implantation step. This treatment enables resist crosslinking, in the case of i-line resists. However, in the case of DUV resists that do not show crosslinking functionalities, the UV cure does not appear to be necessary, although it is still widely used. Nonetheless, it would contribute to the deprotection of the protecting group by the action of heat, and would densify the resist, limiting the outgassing in the implantation chamber. This treatment also has a role of preventing the burst of the resist by the residual solvent evaporation during the removal of the resist by plasma, also known as popping (Figure 6.77). Popping must indeed be avoided in order to prevent the pollution of the tool by projection of resist shreds on the chamber’s walls, and thus avoiding the possible contamination of the next lots. Ion beam

Burst pressure

Photosensitive resist Substrate

Resist extrathickness

Resist lift-off

Film

Resist Resist fragment

Figure 6.77. Generated residues when popping, after 15 s of ashing

6.4. Conclusion

The adjustment of efficient resists is of major importance for the success of lithography both today and tomorrow, and many providers concentrate their efforts on the adjustment of these formulations. For example, a resist adapted to the 32 nm

Lithography Resists

359

node must satisfy requirements which are ever more acute, such as line roughness, etch resistance, adhesion to the substrate, pattern aspect ratio, etc. At these dimensions, all the parameters become critical and respond differently, or even inversely, to the adjustable parameters of the chemical formulation such as graft rate of the matrix, PAG quantity, the addition of functionality, an additive, etc. Therefore, the difficulties of formulating a satisfying resist are about reaching a very small resolution and, at the same time, satisfying a great number of criteria. In addition, new constraints are brought by the new exposure techniques (such as the resist–immersion liquid interface control for 193 nm immersion lithography, or the control of resist outgassing for extreme ultra-violet lithography). 6.5. Bibliography [ALL 91] ALLEN R. et al., “High performance acrylic polymer for chemically amplified photoresist applications”, J. Vac. Sci. Tech., vol. B9 (6), p. 3357, 1991. [ALL 92] ALLEN R. et al., “High speed, aqueous developing negative resist based on triflic acid catalized epoxy polymerization”, Proc. of SPIE, vol. 1672, p. 513, 1992. [ALL 98] ALLEN R. et al., “Design of an etch resistant cyclic olefin photoresist”, Proc. of SPIE, vol. 3333, p. 463, 1998. [ATT 00] ATTWOOD D., Soft X-ray and EUV radiation principles and applications, Cambridge University Press, Cambridge, 2000. [BAJ 03] BAJT S. et al., “Design and performance of capping layers for EUV multilayer mirrors, emerging lithographic technologies VI”, Proceedings of SPIE, vol. 5037, p. 236, 2003. [BAS 93] BASFORD J., BOECKMANN M., ELLEFSON R., FILIPELLI A., HOLKEBOER D., LIESZKOVZSKY L., STUPAK C., “Recommended practice for the calibration of mass spectrometers for partial pressure analysis”, J. Vac. Sci. Technol. A, 11(3), p. A22, 1993. [BAS 96] BASCHNAGEL J., BINDER K., “Dynamics of glassy polymer melts in confined geometry: a Monte Carlo simulation”, J. Phys. I, vol. 6, p. 1271–1294, 1996. [BOL 83] BOLLER K., HAELBICH R.P., HOGREFE H., JARK W., KUNZ C., Nuclear Instruments and Methods, vol. 208, p. 273–279, 1983. [BRA 99] BRAINARD R.L., HENDERSON C., COBB J., RAO V., MACKEVICH J.F., OKOROANYANWU U., GUNN S., CHAMBERS J., CONOLLY S., “Comparison of the lithographic properties of positive resists upon exposure to deep and extreme ultraviolet radiation”, J. Vac. Sci. Technol. B, vol. 17(6), p. 3384–3389, 1999. [BRA 03] BRAINARD R., COBB J., CUTLER C.A., “Current status of EUV photoresists”, J. Photopolym. Sci. Technol., vol. 16, p. 401, 2003.

360

Lithography

[BRA 05] BRAKENSIEK N.L., ZHANG P., KING D., GHELLI C., “Advanced rinse process alternatives for reduction of photolithography development cycle defects”, Proc. of SPIE, vol. 5753, p. 241, 2005. [BRA 06] BRATTON D., AYOTHI R., FELIX N., CAO H., DENG H., OBER C.K., “Molecular glass resists for next generation lithography”, Proc. of SPIE, vol. 6153, 2006. [BRA 04] BRAVO-VASQUEZ J.P., KWARK Y.J., OBER C.K., “Silicon backbone polymers as EUV resists”, Proc. of SPIE, vol. 5376, p. 739–745, 2004. [BRA 05] BRAVO-VASQUEZ J.P., KWARK Y.J., OBER C.K., “Inorganic polymer resists for EUVL”, Proc. of SPIE, vol. 5753, p. 732–737, 2005. [BRU 94] BRUNSVOLD W. et al., “Further improvements in CGR formulation and process”, Proc. of SPIE, vol. 2195, p. 329, 1994. [BRU 01] BRUNNER T. et al., “Optimum tone for various feature types: positive versus negative”, Proc. of SPIE, vol. 4345, p. 30, 2001. [CAO 00] CAO H.B. et al., J. Vac. Sci. Technol. B, vol. 18 (6), p. 3303–3307, 2000. [CHA 00] CHAUHAN M., NEALEY P., “Outgassing of photoresists in EUV lithography”, J. Vac. Sci. Technol. B, 18(6), p. 3402, 2000. [CHO 00] CHOI S. et al., “Design and synthesis of new photoresist materials for ArF lithography”, Proc. of SPIE, vol. 3999, p. 54, 2000. [CHO 03] CHOI Y. et al., “Polarity effects of polymer on the 193 nm resist performance”, Proc. of SPIE, vol. 5039, p. 781, 2003. [COB 79] COBURN J. W. et al.,” Ion- and electron-assisted gas phase surface chemistry. An important effect in plasma etching”, J. Appl. Phys., vol. 50, p. 3189–3196, 1979. [COB 79] COBURN J. W. et al., “Plasma etching, a discussion of mechanisms”, J. Vac. Sci. Technol., 16, p. 391, 1979. [COF 02] COFFEY T. et al., “Characterization of the effects of soft X-ray irradiation on polymers”, J. of Electron Spectroscopy and Related Phenomena, 122, p. 65, 2002. [CON 02] CONLEY W. et al., “Negative photoresist for 157 nm microlithography: a progress report”, Proc. of SPIE, vol. 4690, p. 94, 2002. [CRI 77] CRIVELLO J. et al., “Diaryliodonium salts, a new class of photoinitiators for cationic polymerisation”, Macromolecules, vol. 10, p. 1307, 1977. [CRI 79] CRIVELLO J. et al., “Photoinitiated cationic polymerization with triarylsulfonium salts”, J. Pol. Sci., Pol. Chem., vol. 17, p. 977, 1979. [DAI 04] DAI J., OBER C.K., “Novel resists with nontraditional compositions for EUV lithography”, Proc. of SPIE, vol. 5376, p. 508–516, 2004. [DEA 06] DEAN K., GONSALVES K., THIYAGARAJAN M., “Effects of material design on extreme ultraviolet (EUV) resist outgassing”, Proc. of SPIE, vol. 6153, p. 6153E, 2006.

Lithography Resists

361

[DEG 92] DEGUCHI K., MIYOSHI K., ISHII T., MATSUDA T., Jpn. J. Appl. Phys., vol. 31, p. 2954–2958, 2002. [DEM 97] DEMAGGIO G.B., FRIEZE W.E., GIDLEY D.W., HRISTOV H.A., YEE A.F., Phys. Rev. Lett., vol. 78, p. 1524, 1997. [DEN 00] DENTINGER P., “Outgassing of photoresist materials at EUV wavelengths”, J. Vac. Sci. Technol. B, 18(6), 2000. [DEN 02] DENTINGER P.M., HUNTER L.L., O’CONNELL D.J., GUNN S., GOODS D., FEDYNYSHYN H., GOODMAN B., ASTOLFI D.K., J. Vac. Sci. Technol., vol. B20(6), 2002. [DIL 75] DILL F.H., HORNBERGER W.P., HAUGE P.S., SHAW J.M., “Characterization of Positive Photoresist”, IEEE Trans. Electron Devices, ED-22(7), p. 445–452, July 1975. [DOM 05] DOMKE W.D., KRAGLER K., KERN M., LOWACK K., KIRCH O., BERTOLO M., “Comparison of resist outgassing at wavelengths from 193 nm to 13 nm”, Proc. of SPIE, vol. 5753, p. 1066, 2005. [DON 96] DONTH E., “Characteristic length of the glass transition”, J. Polym. Sci., Part B: Polym. Phys., vol. 34, p. 2881, 1996. [DOR 99] DORUKER P., MATTICE W.L., “Segregation of chain ends is a weak contributor to increased mobility at free polymer surfaces”, J. Phys. Chem. B, vol. 103, p. 178–183, 1999. [EHR 00] EHRENSTEIN G.W., MONTAGNE F., Matériaux polymères, Hermès Science Publications, Paris, 2000. [FED 00] FEDYNYSHYN T. et al., “Polymer photochemistry at advanced optical wavelengths”, J. Vac. Sci. Technol. B, 18(6), p. 3332, 2000. [FEE 86a] FEELY W. et al., “Microplastic structures”, Proc. of SPIE, vol. 631, p. 48, 1986. [FEE 86b] FEELY W. et al., “The role of the latent image in a new dual image, aqueous developable, thermally stable photoresist”, Polym. Engin. Sci., vol. 26, 16, p. 1101, 1986. [FOR 00] FORREST J.A., MATTSON J., Phys. Rev. E, vol. 61 (1), R53–R56, 2000. [FOR 96] FORREST J.A., DALNOKI-VERESS K., STEVENS J.R., DUTCHER J.R., Phys. Rev. Lett., vol. 77(10), p. 2002–2005, 1996. [FOR 97] FORREST J.A., DALNOKI-VERESS K., DUTCHER J.R., “Interface and chain confinement effects on the glass transition temperature of thin polymer films”, Phys. Rev. E, vol. 56(5), p. 5705, 1997. [FRY 00] FRYER D.S., NEALEY P.F., DE PABLO J.J., “Reptation Dynamics of a Polymer Melt near an Attractive Solid Interface”, J. Vac. Sci. Technol. B, vol. 18(6), p. 3376–3380, 2000. [FUJ 97] FUJIMOTO M. et al., “Comparison between optical proximity effect of positive and negative tone patterns in KrF lithography”, Proc. of SPIE, vol. 3051, p. 739, 1997.

362

Lithography

[GOK 83] GOKAN H. et al., “Dry etch resistance of organic materials”, J. Electochem. Soc., vol. 130, p. 143, 1983. [GOL 01] GOLDFARB D.L., ANGELOPOULOS M., LIN E.K., JONES R.L., SOLES C.L., LENHART J.L., WU W.L., J. Vac. Sci. Technol., B, vol. 19(6), p. 2699–2704, 2001. [GON 05] GONSALVES K., THIYAGARAJAN M., DEAN K., SANTIAGO P., REDON L., JEYAKUMAR A., HENDERSON C., “Materials design an devaluation of nanocomposites resist for NGL”, Proc. of SPIE, 5753, p. 467, 2005. [GON 05] GONSALVES K.E., THIYAGARAJAN M., DEAN K., “Newly developed polymer bound photoacid generator resist for sub-100 nm pattern by EUV lithography”, Proc. of SPIE, vol. 5753, p. 771–777, 2005. [GRA 02] GRAHAM S. et al., “Studies of EUV contamination mitigation, emerging lithographic technologies VI”, Proceedings of SPIE, vol. 4688, p. 431, 2002. [HIL 06] HILL S., ERMONOSKI I., TARRIO C., LUCATORTO T.B., MADEY T., BAJT S., CHANDHOK M., YAN P., XOOD O., WURM S., EDWARDS N., “EUV testing of multilayers mirrors: critical issues”, Proc. of SPIE, Vol 6151, 61510F, 2006. [HIN 93] HINSBERG W. et al., “Influence of polymer properties on airborne chemical contamination of chemically amplified resists”, Proc. of SPIE, vol. 1925, p. 43, 1993. [HOU 99] M. HOULIHAN et al., “Study of 157 nm resists with full field exposure tools”, Proc. SPIE, 3678, p. 264, 1999. [HUN 01] HUNG R. et al., “Resist Materials for 157 nm Microlithography”, Proc. of SPIE, vol. 4345, p. 385, 2001. [ITO 01] ITO H. et al., “Polymer Design for 157 nm Chemically Amplified Resists”, Proc. of SPIE, vol. 4345, p. 273, 2001. [ITO 85] ITO H., MACDONALD S. A., MILLER R. D., AND WILLSON C. G., Radiation Sensitive and Oxygen Plasma Developable Resist, U.S. Patent 4,552,833, 1985. [JUN 03] JUNG M.H., KIM H.W., LEE S.H., WOO S.G., CHO H.K., HAN W.S., “Pattern collapse improvement in ArF resist: effect of surfactant-added rinse and soft bake”, J. Photopolym. Sci. Technol., vol. 16, p. 463–466, 2003. [KAB 06] KABUTANI Y., NIIBE M., GOMEI Y., TAKASE H., TERASHIMA S., MATSUNARI S., AOKI T., MURAKAMI K., FUKUDA Y., “Effect of residual gas atmosphere on lifetime of Rucapped EUVL projection optics mirror”, Proc. of SPIE, vol. 6151, 61510H, 2006. [KAI 92] KAIMOTO Y., “Alicyclic polymer for ArF and KrF excimer resist based on chemical amplification”, Proc. of SPIE, vol. 1672, p. 66, 1992. [KAM 02] KAMON Y. et al., “Newly developed acrylic copolymers for ArF photoresist”, Proc. of SPIE, vol. 4690, p. 615, 2002. [KED 94A] KEDDIE J.L., JONES R.A.L., CORY R.A., “Size-dependent depression of the glasstransition temperature in polymer-films”, Europhys. Lett., vol. 27, p. 59, 1994.

Lithography Resists

363

[KED 94B] KEDDIE J.L., JONES R.A.L., CORY R.A., Farday Discuss. Chem. Soc., vol. 98, p. 219, 1994. [KIM 03] KIM D. et al., “Effects of quencher ability on profile in chemically amplified resist systems”, Proc. of SPIE, vol. 5039, p. 1086, 2003. [KIM 04] KIM H.W., LEE J.Y., SHIN J., WOO S.G., CHO H.K., MOON J.T., “Experimental investigation of the impact of LWR on sub-100-nm device performance”, IEEE Transactions on Electron Devices, vol. 51(12), 2004. [KIM 06] KIM J.H., CHOI N., KIM Y.H., KIM T.S., “Thickness dependence of the lithographic performance in 193nm photoresists”, Proc. of SPIE, 2006. [KIS 02] KISHIMURA S. et al., “New 157-nm resist platform based on etching model for fluoropolymers”, Proc. of SPIE, vol. 4690, p. 200, 2002. [KOT 05] KOTERA M., OCHIAI N., “Three-dimensional simulation of resist pattern deformation by surface tension at the drying process”, Microelectronic Eng., vol. 78–79, p. 515–520, 2005. [KUN 93] KUNZ R. et al., “Acid catalyzed single layer resists for ArF lithography”, Proc. of SPIE, vol. 1925, p. 167, 1993. [KUN 04] KUNZ R. R., “Photoresist outgassing: a potential Achilles heel for short wavelength optical lithography?”, Proc. of SPIE, Vol 5376, 2004. [KUN 96] KUNTZ R. et al., “Limits to etch resistance for 193 nm single layer resists”, Proc. of SPIE, vol. 2724, p. 365, 1996. [KUN 99] KUNZ R. et al., “Outlook for 157 nm resist design”, Proc. of SPIE, vol. 3678, p. 13, 1999. [LAR 95] LARPENT L., Tensioactifs, Techniques de l’ingénieur, K 342, 1995. [LEE 03a] LEE H.J., PARK J.T., YOO J.Y., ASN I., OH H.K., “Resist pattern collapse with top rounding resist profile”, Jpn., J. Appl. Phys., vol. 42, p. 3922–3927, 2003. [LEE 03b] LEE H.J., PARK J.T., YOO J.Y., ASN I., OH H.K., “Resist pattern collapse modeling for smaller features”, Journ. of Kor. Phys. Soc., vol. 42, p. S202–S206, 2003. [LEE 03c] LEE G., LEE S.K., HWANG Y.S., JUNG J.C., BOK C., Proc. of SPIE, vol. 5039, p. 1416–1424, 2003. [LEE 03d] LEE G., HWANG Y.S., BAN K.D., BOK C., MOON S.C., SHIN K.S., “Novel rinse process for reducing pattern collapse in 0.30-k1 ArF lithography”, Proc. of SPIE, vol. 5376, p. 813–818, 2004. [LEV 01] LEVINSON H.J., Principle of Lithography, SPIE Optical Engineering Press, 2001. [LIE 94] LIEBERMAN M.A., LICHTENBERG A.J., Principles of Plasma Discharges and Materials Processing, Wiley, New York, 1994. [MAC 92] MACK C. et al., “Fundamental differences between positive and negative tone imaging”, Microlithography World, July/August 1992.

364

Lithography

[MAL 02] MALINOWSKI M. et al., “Controlling contamination in Mo/Si multilayer mirrors by Si surface capping modifications, emerging lithographic technologies VI”, Proceedings of SPIE, vol. 4688, p. 442, 2002. [MAN 91] MANSFIELD K.F., THEODOROU D.N., “Molecular-dynamics simulation of a glassy polymer surface”, Macromolecules, vol. 24, p. 6283–6294,1991. [MAS 06] MASUDA S., KAWANISHI Y., HIRANO S., KAMIMURA S., MIZUTANI K., YASUNAMI S., KAWABE Y., “The material design to reduce outgassing in acetal based chemically amplified resist for EUV lithography”, Proc. of SPIE, vol. 6153, p. 615342, 2006. [MAS 04] MASUDA S., KOBAYASHI M., KIM W.K., TANAKA K., “Effect of the rinse solution to avoid 193 nm resist line collapse: A study for modification of resist polymer and process conditions”, Proc. of SPIE, vol. 5376, p. 819–829, 2004. [MAT 00] MATSUZAWA N.N., OIZUMI H., MORI S., IRIE S., YANO E., OKAZAKI S., ISHITANI A., “Theoretical estimation of absorption coefficients of various polymers at 13 nm”, Microelectronic Eng., vol. 53, p. 671–674, 2000. [MAY 94] MAYES A.M., “Glass-transition of amorphous polymer surfaces”, Macromolecules, vol. 27, p. 3114–3115, 1994. [MER 00] MERTENS B., VAN DER SWAN B., DE JAGER P., LENDERS M., WERIJ H., BENSCHOP J., VAN DIJSSELDONK A., “Mitigation of surface contamination from resist outgassing in EUV lithography”, Microelectronic Engineering, 53, p. 659–662, 2000. [MID 93] MIDDLEMAN S., HOCHBERG A.K., Process Engineering Analysis in Semiconductor Device Fabrication, McGraw-Hill, p. 313, 1993. [MIL 04] MILLET C., Les applications des fluides supercritiques en microélectronique –Retrait de la résine photosensible et nettoyage de matériaux de très faible permittivité, Doctoral thesis, Montpellier II University, 2004. [MIY 04] MIYAHARA O., TANAKA K., WAKAMIZU S., KITANO J., YAMADA Y., Proc. of SPIE, vol. 5376, p. 830–841, 2004. [MUC 94] MUCHA J. A. et al., Introduction to Microlithography, 2nd edition, ACS, chap. 5, 1994. [NAK 91] NAKAMURA J., BAN H., DEGUCHI K., TANAKA A., “Effect of acid diffusion on resolution of a chemically amplified resist in x-ray-lithography”, Jpn. J. Appl. Phys., vol. 30 (10), p. 2619–2625, 1991. [NAL 98] NALAMASU O. et al., “193 nm single layer strategies, concepts and recent results”, J. Vac. Sci. Technol., vol. B16 (6), p. 3716, November/December 1998. [NAM 95] NAMATSU H., KURIHARA K., NAGASE M., IWADATE K., MURASE K., “Dimensional limitations of silicon nanolines resulting from pattern distortion due to surface-tension of rinse water”, Appl. Phys. Lett., 66 (20), p. 2655, 1995. [NON 85] NONOGAKI S. et al., “Azide phenolic resin resists sensitive to visible light”, Proc. of SPIE, vol. 539, p. 189, 1985.

Lithography Resists

365

[OIZ 06] OIZUMI H., KUMASAKA F., TANAKA Y., HIRAYAMA T., SHIONO D., HADA H., ONODERA J., YAMAGUCHI A., NISHIYAMA I., Microelectronic Eng., vol. 83, p. 1107–1110, 2006. [OKO 00] OKOROANYANWU U., “Thin film instabilities and implications for ultrathin resist processes”, J. Vac. Sci. Technol. B, vol. 18(6), p. 3381–3387, 2000. [OPI 98] OPITZ J. et al., “Lithographic characteristics of 193 nm resists imaged at 193 nm and 248 nm”, Proc. of SPIE, vol. 3333, p. 571, 1998. [PAN 94] PANIEZ P. et al., “Origin of delay times in chemically amplified positive duv resists”, Proc. of SPIE, vol. 2195, p. 14, 1994. [PAT 04] PATTEL K. et al., “IBM-JSR negative tone resist: polymer design, material properties and lithographic performance”, Proc. of SPIE, vol. 5376, p. 94, 2004. [RAH 99] RAHMAN M.et al., “Cycloolefin/maleic anhydride copolymers for 193-nm resist compositions”, Proc. of SPIE, vol. 3678, p. 1193, 1999. [RAH 00] RAHMAN M. et al., “Cycloolefin/maleic anhydride copolymers for 193-nm resist compositions”, Proc. of SPIE, vol. 3999, p. 220, 2000. [ROB 06] ROBINSON A.P.G., ZAID H.M., GIBBONS F.P., PALMER R.E., MANICKAM M., PREECE J.A., BRAINARD R., ZAMPINI T., O’CONNELL K., “Chemically amplified molecular resists for electron beam lithography”, Microelectronic Eng., vol. 83, p. 1115–1118, 2006. [RUS 01] RUSHKIN I. et al., “Thermal properties of COMA materials”, Proc. of SPIE, vol. 4345, p. 131, 2001. [SAN 05] SANADA M., TAMADA O., ISHIKAWA A., KAWAI A., “Analysis for collapse behavior of resist pattern in short develop time process using atomic force microscope”, Proc. of SPIE, vol. 5753, p. 988–995, 2005. [SCH 03] SCHUBERT D.W., DUNKEL T., “Spin coating from a molecular point of view: its concentration regimes, influence of molar mass and distribution”, Materials Research Innovations, vol. 7, p. 314, 2003. [SCH 04] SCHEIBLIN P., FOUCHER J., “3D simulation of the effect of e-beam lithography induced line-edge roughness on 50 nm NMOS Id-Vg characteristics”, Jpn., J. Appl. Phys., vol. 43(6B), p. 3838–3842, 2004. [SHA 97] SHAW J. et al., “Negative photoresist lithography”, IBM J. res. Develop., vol. 41, n° 1/2, January/March, 1997. [SMI 73] SMITH G. et al., Photosolubilizable compositions and elements, U.S. patent n° 3779778, 1973. [SOL 01] SOLES C.L., LIN E.K., LENHART L., JONES R.L., WU W.L., “Thin film confinement effects on the thermal properties of model photoresist polymers”, J. Vac. Sci. Technol. B, vol. 19(6), p. 2690–2693, 2001. [SWA 60] SWALLOW A.J., Radiation chemistry of organic compounds, vol. 2, Pergamon Press, New York, 1960.

366

Lithography

[TAN 03] TANAKA K., NAITO R., KITADA T., KIBA Y., YAMADA Y., “Improvement of pattern collapse issue by additive added D.I water rinse process”, Proc. of SPIE, vol. 5039, p. 1366–1381, 2003. [TAN 93] TANAKA T., MORIGAMI M., ATODA N., “Mechanism of resist pattern collapse during development process”, Jpn. J. Appl. Phys., vol. 32, p. 6059–6064, 1993. [TAR 03] TARDIF F., RACCURT O., BARBÉ J.C., DE CRÉCY F., BESSON P., DANEL A., “Proceedings of ECS, vol. 26: cleaning technology”, in J. RUZYLLO (ed.), Semiconductor Device Manufacturing VIII, p. 153–160, 2003. [THA 89] THACKERAY J. et al., “Deep UV ANR photoresists for 248 nm excimer laser photolithography”, Proc. of SPIE, vol. 1086, p. 34, 1989. [THI 05] THIYAGARAJAN M., DEAN K., GONSALVES K., “Improved lithographic performance for EUV resists based on polymers having a photoacid generator in the backbone”, J. Photopolymer Sci. &Technol., Vol 18 (6), p. 737, 2005. [THI 05] THIYAGARAJAN M., GONSALVES K., DEAN K., SYKES E., “Design and performance of EUV resist containing photoacid generator for sub 100 nm lithography”, J. Nanoscience and Nanotech., 5, p. 1181, 2005. [TOR 00] TORRES J.A., NEALEY P.F., DE PABLO J.J., “Molecular simulation of ultrathin polymeric films near the glass transition”, Phys. Rev. Lett., vol. 85, p. 3221–3224, 2000. [VOG 04] VOGT B.D., SOLES C.L., PRABHU V.M., JONES R.L., WU W.L., LIN E.K., GOLDFARB D.L., ANGELOPOULOS M., “Measurements of water distribution in thin lithographic films”, Proc. of SPIE, vol. 5376, p. 56–62, 2004. [WAL 98] WALLOW T. et al., “Reactive ion etching of 193 nm resist candidates: current platforms; future requirements”, Proc. of SPIE, vol. 3333, p. 92, 1998. [WAL 95] WALLACE W.E., VAN ZANTEN J.H., WU W.L. , “Influence of an impenetrable interface on a polymer glass-transition temperature”, Phys. Rev. E, vol. 52, R3329, 1995. [WAL 99a] WALLOW T. et al., “Development of an Incremental Structural Parameter Model for Predicting Reactive Ion Etch Rates of 193-nm Photoresist Polymer Platforms”, Proc. of SPIE, vol. 3678, p. 26, 1999. [WAL 99b] WALLRAFF G.M., HINSBERG W.D., “Lithographic imaging techniques for the formation of nanoscopic features”, Chem. Rev., vol. 99, p. 1801–1821, 1999. [WAT 01] WATANABE T., KINOSHITA H., NII H., HAMAMOTO K., TSUBAKINO H., HADA H., KOMANO H., IRIE S., “Photo-induced outgassing from the resist for extreme ultraviolet lithography by the analysis of mass spectroscopy”, J. Vac. Sci. Technol. B., 19 (3), p. 736, 2001. [WIL 06] WILLSON C., “Resist for deep UV lithography”, SPIE Professional Development Course SC103, février 2006. [WIN 83] WINTERS H.F. et al., “Surface processes in plasma-assisted etching environments”, J. Vac. Sci. Technol. B, 1, p. 469, 1983.

Lithography Resists

367

[XIE 95] XIE L., DEMAGGIO G.B., FRIEZE W.E., DE VRIES J., GIDLEY D.W., HRISTOV H.A., YEE A.F., “Positronium formation as a probe of polymer surfaces and thin-films”, Phys. Rev. Lett., vol. 74, p. 4947–4950, 1995. [YAN 06] YANG D., CHANG S.W., OBER C.K., “Sub-50 nm feature sizes using positive tone molecular glass resists for EUV lithography”, J. Mater. Chem., vol. 16, p. 1693–1696, 2006. [YOS 00] YOSHINO H. et al., “193 nm chemically amplified resists based on poly(norbornenealt-maleic anhydride) with plasticizing additives”, Proc. of SPIE, vol. 3999, p. 112, 2000. [YOS 04] YOSHIMOTO K., STOYKOVITCH M.P., CAO H.B., DE PABLO J.J., NEALEY P.F., “A two-dimensional model of the deformation of photoresist structures using elastoplastic polymer properties”, J. of Appl. Phys., vol. 96(4), p. 1857–1865, 2004. [YUE 05] YUEH W., CAO H., THIRMALA V., CHOI H., “Quantification of EUV resist outgassing”, Proc. of SPIE, vol. 5753, p. 765, 2005. [ZAN 96] VAN ZANTEN J.H., WALLACE W.E., WU W.L., “Effect of strongly favorable substrate interactions on the thermal properties of ultrathin polymer films”, Phys. Rev. E, vol. 53, R2053, 1996. [ZHA 03] ZHANG P., JARAMILLO M., KING D., ROSS B., WITKO D., PAXTON T., DAVIS T., “The impact of surfactant in developer and rinse solution on 193 nm lithography performance”, Proc. of SPIE, vol. 5039, p. 1409–1415, 2003. [ZHA 04a] ZHANG P., JARAMILLO M., KING D.M., RAO M.B., O’BRIEN B.L., ROSS B.F., “Surface conditioning solutions for pattern collapse reduction”, Proc. of SPIE, vol. 5376, p. 807–812, 2004. [ZHA 04b] ZHANG P., JARAMILLO M., KING D.M., RAO M.B., O’BRIEN B.L., ROSS B.F., “Surface conditioning solutions to reduce resist line roughness”, Proc. of SPIE, vol. 5376, p. 801–806, 2004. [ZHA 05a] ZHANG P., JARAMILLO M., RAO M.B., ROSS B., HORVATH B., “Combined pattern collapse and LWR control at 70 nm node through application of novel surface conditioner solutions”, Proc. of SPIE, vol. 5753, p. 1018–1023, 2005. [ZHA 05b] ZHANG P., RAO M.B., JARAMILLO M., HORVATH B., ROSS B., “Pattern collapse and line width roughness reduction by surface conditioner solutions for 248nm lithography”, Proc. of SPIE, vol. 5753, p. 252–260, 2005. [ZHE 95] ZHENG, X.., SAUER A.P., VAN ALSTEN J.G., SCHWARTZ S.A., RAFAILOVICH M.H., SOKOLOV J., RUBINSTEIN M., Phys. Rev. Lett., vol. 74, p. 407, 1995.

List of Authors

Philippe BANDELIER CEA-LETI-Minatec Grenoble France Maxime BESACIER Polytech’ Grenoble Joseph Fourier University Grenoble France Michel BRILLOUËT CEA-LETI-Minatec Grenoble France Anne-Laure CHARLEY, CEA-LETI/CNRS-LTM/STMicroelectronics Grenoble France Christophe CONSTANCIAS CEA-LETI-Minatec Grenoble France

370

Lithography

Jörge DE SOUSA NORONHA Ecole Supérieure d’Art et Communication (EAC) Paris France Jacques GIERAK Laboratoire de Photonique et de Nanostructures – CNRS Marcoussis France Peter HAWKES CEMES-CNRS Toulouse France Amandine JOUVE CEA-LETI-Minatec Grenoble France Alexandre LAGRANGE CEA-LETI-Minatec Grenoble France Stefan LANDIS CEA-LETI-Minatec Grenoble France Serdar MANAKLI CEA-LETI-Minatec Grenoble France Luc MARTIN CEA-LETI-Minatec Grenoble France Michael MAY IBM Crolles France

List of Authors

Laurent PAIN CEA-LETI-Minatec Grenoble France David RIO CEA-LETI-Minatec Grenoble France Jean-Yves ROBIC CEA-LETI-Minatec Grenoble France Isabelle SERVIN CEA-LETI-Minatec Grenoble France Julia SIMON CEA-LETI-Minatec Grenoble France

371

Index

A

C

absorbance, 17, 298, 312, 314 aerial image, 27, 32, 33, 37, 40, 71, 80, 81, 169, 287, 288, 326 alignment, 8, 118, 119, 120, 161, 167, 234, 253 astigmatism, 133, 248, 253

capping layer, 68, 69, 72, 96 cathode, 93, 106, 129, 130, 131, 135, 136, 160, 184, 233, 259, 260 CD, 4, 8, 29, 74, 284, 285, 317–20, 329, 330, 333, 355, 357 cell, 107, 108, 111, 148, 149, 152, 154, 219, 276 charge density, 134, 197, 202 chemical amplification, 10, 11, 27, 37, 81, 176, 290–1, 297, 299, 306– 7, 315 chromatic aberrations, 130, 133, 134, 136, 234, 263, 264, 266, 268, 282 coherence, 4, 26, 28, 39, 80 coma, 248 contamination, 12, 43, 64, 68, 69, 96, 176, 192, 199, 218, 276, 281, 282, 296, 298, 300, 322, 357 continuous background, 29, 121 contrast, 11, 29–38, 40, 46, 65–68, 73, 75, 112, 118–9, 128, 129, 161, 163, 169–175, 187, 215, 286, 300, 316, 317, 323, 326, 329, 337, 346– 50, 356

B BARC, 9, 23, 24, 277, 300, 330, 331, 351, 352, 357 beam current, 124, 128, 157, 158, 163, 165, 166 binary, 5, 8, 30, 72, 74, 80, 127, 128, 148, 157, 171 blank, 72, 73, 78, 113, 219 blanker, 113, 129, 160, 161, 164 Boersch effect, 197, 198, 259 bottom-up, 220, 221 Bragg, 43, 61, 62, 63, 65, 72, 78, 96 brightness, 117, 185, 194, 198, 226, 259, 260, 261, 262, 264 buffer, 73, 78, 95, 96 layer, 73, 78, 96

374

Lithography

cross section, 53 crosslink, 303 crossover, 129, 130, 131, 132, 210

D defects, 12, 22, 23, 70, 78, 79, 96, 156, 167, 191, 211, 213, 217, 220, 221, 245, 281, 286, 330, 339, 350, 353, 356 deprotection, 10, 11, 12, 37, 81, 284, 294, 296, 297, 298, 299, 305, 306, 308, 310, 315, 323, 345, 346, 357 depth of focus, 8, 13, 15, 16, 29, 30, 39, 44, 158, 305, 317, 319, 344, 346 designers, 146, 148, 149 differential algebra, 254 diffraction, 4, 14, 25, 26, 27, 28, 29, 33, 37, 45, 67, 70, 73, 84, 87– 90, 102, 121, 125, 149, 212, 218, 263, 287, 316, 342 diffusion, 10, 37, 68, 69, 81, 96, 124, 194, 281, 284–300, 315, 321, 325, 328, 329, 344, 345 direct etching, 183, 189 discharge produced plasma, 90 dispersion, 46, 47, 55, 144, 192, 201 distortion, 29, 82, 92, 133, 247, 248, 252, 281, 282, 285, 321, 346 dose latitude, 30, 155 dose-to-size, 163, 171, 316 dye, 289, 291, 329

electric susceptibility, 49, 97 electrostatic optics, 112, 200, 202, 208 etch selectivity, 192 etch stop layer (ESL), 75, 76 exact ray tracing, 254

F FIB, 183, 186, 187, 188, 190, 191, 192, 193, 201, 202, 208, 210, 211, 212, 215–223, 225, 229 focal length, 133, 134, 205, 233, 234, 237, 238, 241, 242, 244 focus, 4, 8, 15, 22, 40, 46, 93, 99, 129, 132, 134, 135, 158, 166, 184, 204, 205, 211, 215, 240, 244, 317, 318 focused ion beam, 183, 185, 189, 199, 210, 211, 213, 219, 222, 223, 225, 226, 229, 230 format, 146, 147, 148, 149, 162, 268 Fowler–Nordheim, 261, 270

G gallium, 186, 187, 189, 191, 195, 196, 197, 198, 199, 201, 211, 212, 214, 218, 225, 229, 230 geometrical aberration, 71, 132, 234, 268 coefficients, 247 group velocity, 54, 55, 56 guns, 133, 254, 258, 259, 262, 263

E

H

EBDW, 146 einzel lens, 242, 244 electric permittivity, 84

hard PSM, 74 hierarchy, 149, 158 HMDS, 9, 277, 346 hydrocarbon, 17

Index

I

O

IF, 91 index of refraction, 50, 56, 326 indice, 89 inhibitor, 81, 284, 286, 289, 290, 294, 297 interferences, 62, 64, 327

octopole, 265, 266 OPC, 27, 29, 30, 45, 103 overlay, 25, 119, 162, 167

ion column, 199 microscopy, 185 source, 68, 106, 184, 185, 188, 194, 195, 197, 198, 199, 206, 211, 226, 230, 257, 355

L laser produced plasma, 90 layout, 108, 146, 156, 159, 164 LMIS, 185, 194, 195, 196, 197, 198, 199, 226

M magnification, 6, 25, 106, 107, 129, 196, 197, 211, 238, 240, 244, 245, 247, 250, 251, 252, 267 MEBS, 254 microfabrication, 193 mobility, 342, 343, 345, 348 Monte Carlo, 139, 140, 142, 143, 144–146, 150, 152, 153, 173, 198

N nanoetching, 193 nanostructuring, 219, 225 numerical aperture, 6, 7, 13–16, 19, 22, 23, 24, 25, 28, 29, 31–36, 38, 39, 45, 46, 71, 80, 102, 288, 317

375

P PAB, 10, 170, 171, 174–178, 281, 284 PAG, 10, 23, 289, 291, 298, 305, 306, 307, 308, 314, 324, 325, 327, 344, 345, 358 parasitic aberrations, 234, 245, 248, 253 paraxial astigmatism, 248, 267 PEB, 10, 81, 99, 171, 284, 285, 297, 298, 299, 307, 308, 328, 345 phase velocity, 46, 53, 54, 55, 56 phase, 5, 27, 30, 39, 45, 46, 49, 53– 56, 58, 62, 70, 73–79, 83, 194, 198, 199, 221, 226, 229, 326, 328, 351 plasmas, 91, 347, 348, 351, 353 Poisson, 128, 130, 145, 175, 202 polarization, 23, 31, 32, 33, 34, 35, 36, 38, 40, 49, 50, 58, 85, 86, 194 polymer, 10, 24, 81, 123, 278, 281, 288, 290–298, 301–315, 321–325, 330, 335, 336, 339, 342–346, 353, 354 principal plane, 233, 237, 238, 240, 241, 243 process window, 8, 16, 27, 33, 45, 67, 118, 287, 318, 338 profile, 73, 76, 80, 81, 106, 153, 154, 208, 215, 217, 218, 220, 280, 328, 329, 336, 337 protecting group, 294, 298, 308, 311, 324, 325, 357 proximity function, 153, 154, 155

376

Lithography

PSM, 5, 27, 30, 45, 73, 74, 75 pupil, 4, 15, 26, 28, 29, 33, 34, 37, 71, 246, 247, 248, 249

Q quencher, 23, 291, 296

R raster scan, 164, 165 Rayleigh, 13, 14, 15, 44, 187, 215, 317 RCWA, 87 reflectivity, 9, 24, 45, 61, 63, 64, 65, 66, 67, 68, 69, 75, 76, 78, 82, 84, 92, 321, 326, 327, 328, 330, 331, 332, 333, 342, 343 refractive index, 6, 15, 33, 42, 45, 46, 47, 48, 49, 51, 52, 53, 57, 63, 65, 75, 237, 327, 331, 332 resolution, 1, 4, 6, 8, 9, 13– 16, 24, 26, 29, 30, 37–42, 44–46, 71, 73, 74, 87, 90, 92, 95, 101–103, 108, 110, 113, 114, 116, 120, 121, 125, 128, 129, 159, 162, 163, 166–169, 172–177, 185, 187, 188, 192, 193, 200, 210– 220, 247, 266, 305, 314, 315, 318, 320, 336, 339, 340, 357, 358 RET, 5, 27, 45, 102 Richardson-Dushman, 260 rigorous coupled waves analysis, 87

secondary electrons, 139, 140, 141, 142, 151, 169, 170, 173, 176, 178, 186, 192 segmentation, 146, 147 sensitivity, 8, 64, 75, 92, 95, 96, 120, 127, 128, 144, 169, 170, 171, 172, 173, 174, 175, 176, 177, 183, 190, 191, 283–285, 296, 298, 305, 314, 316, 324 SIMION, 253 spatial frequencies, 26, 66 spherical aberrations, 130, 133, 264 SPOC, 254, 255, 256, 257 spot, 109, 116, 129, 130, 132, 133, 135, 136, 144, 151, 152, 153, 160, 162, 164, 165, 167, 168, 184, 191, 194, 195, 197, 201, 210, 211, 212, 226 standing waves, 283, 327, 328, 330 stencil, 107 stitching, 167

T TARC, 12, 330–332 TE, 85, 86, 89 threshold, 27, 64, 189, 194, 195, 221, 286, 316, 317, 340 TM, 31, 85, 86 top-down, 220, 221 topography, 9, 87, 88, 278, 328, 329, 330 transverse magnetic, 31, 85, 200

S

V

scattering, 50, 51, 53, 67, 124, 136– 140, 143–145, 151–156, 170, 172, 173, 268, 343

vector beam, 156 scan, 106, 164, 165

Index

W water, 12, 16, 17, 19, 22–24, 40, 68, 193, 277, 285, 335, 338, 340 wave packet, 54, 55

377