Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions [1 ed.] 1119750369, 9781119750369

The increasing demand for electronic devices for private and industrial purposes lead designers and researchers to explo

966 92 56MB

English Pages 608 [614] Year 2021

Report DMCA / Copyright

DOWNLOAD PDF FILE

Table of contents :
Cover
Half-Title Page
Series Page
Title Page
Copyright Page
Contents
Preface
Part I: DESIGN AND ANALYSIS
1 Strain Engineering in Modern Field Effect Transistors
1.1 Introduction
1.2 Theory of Strain Technology
1.2.1 Stress and Strain
1.2.2 Stress Matrix for Biaxial and Uniaxial Stress
1.2.3 Impact of Strain on MOSFET Parameters
1.3 Simulation Studies in Strain Technology
1.4 Experimental Studies on Strain Technology
1.5 Summary and Future Scope
Future Scope
Acknowledgement
References
2 Design and Optimization of Heterostructure Double Gate Tunneling Field Effect Transistor for Ultra Low Power Circuit and System
2.1 Introduction
2.2 Fundamental of Device Physics
2.2.1 Basic Working Principles of TFET
2.2.2 Kane’s Model
2.3 Analysis Approach and Device Parameters
2.4 Switching Behavior of TFET
2.5 Results and Discussion
2.6 Conclusion
Acknowledgement
References
3 Polymer Electrolytes: Development and Supercapacitor Application
3.1 Introduction
3.1.1 The Basic Principle and Types of Supercapacitors
3.1.2 Key Characteristics of the Electrolyte
3.1.3 Polymer Electrolytes and Types
3.1.4 Modification Strategies for Polymer Electrolytes
3.2 Preparation and Characterization Techniques
3.3 Latest Developments
3.4 Summary
References
4 Tunable RF/Microwave Filter with Fractal DGS
Tunable RF/Microwave Filter with Fractal DGS
4.1 Introduction
4.2 Literature Review
4.2.1 Planar Reconfigurable Filters
4.3 Proposed Work
4.3.1 Design of Hairpin Bandpass Filter
4.3.2 Design of Hairpin Bandpass Filter with Fractal DGS
4.3.3 Design of Tunable Hairpin Bandpass Filter with Fractal DGS
4.4 Conclusion
Acknowledgement
References
5 GaN High Electron Mobility Transistor Device Technology for RF and High-Power Applications
5.1 Introduction
5.2 HEMT Structures
5.2.1 GaAs-Based HEMTs
5.2.2 InP-Based HEMTs
5.2.3 GaN-Based HEMTs
5.3 Polarization Impact and Creation of 2DEG in GaN HEMT
5.3.1 Polarization Effect
5.3.2 Formation of 2DEG
5.4 GaN-Based HEMT Performance Affecting Factors
5.4.1 Surface Passivation
5.4.2 Parasitic Effects
5.4.3 Field Plate Engineering Technique
5.4.4 Impact of Barrier Layer
5.5 Conclusion
References
6 Design and Analyses of a Food Protein Sensing System Based on Memristive Properties
6.1 Introduction
6.2 Background
6.2.1 Principle of a Memristor
6.2.2 Bio-Memristors
6.2.3 Applications of Memristors
6.3 Motivation
6.4 Experimental Set-Up
6.5 Experimental Methodology and Preliminary Validation
6.5.1 Experimental Methodology
6.5.2 Preliminary Validation
6.6 Sensitivity Parameters
6.6.1 Resistance-Based Sensitivity (Sr)
6.6.2 Point Slope-Based Sensitivity (Sm)
6.6.3 Hysteresis-Line Slope Sensitivity
6.7 Results and Discussion
6.7.1 Category I: Egg Albumin and Milk
6.7.2 Category II: Protein Blend
6.8 Conclusions and Prospects
References
7 Design of Low-Power DRAM Cell Using Advanced FET Architectures
7.1 Introduction
7.2 1T-DRAM (MOS)
7.3 1T-DRAM (CNT-FET)
7.4 1T-DRAM (FinFET)
7.5 1-T DRAM (TFET)
7.6 Conclusion
References
8 Application of Microwave Radiation in Determination of Quality Sensing of Agricultural Products
8.1 Microwave Heating and its Applications to Agricultural Products
8.1.1 Principle of Microwave Heating
8.1.2 Moisture Sensing
8.1.3 Promoting Germination
8.1.4 Food Processing
8.1.5 Weeds, Insects and Pests Control
8.1.6 Product Conditioning
8.1.7 Microwave Drying
8.1.8 Quality Sensing in Fruits and Vegetables
8.2 Measurement Techniques
8.2.1 Open-Ended Coaxial Probe – Network Analyzer Technique
8.2.2 Network Analyzer
8.3 Dielectric Spectroscopy of Agricultural Products at Different Temperatures
8.4 Correlation of Dielectric Properties with Nutrients
8.5 Conclusion
References
9 Solar Cell
Introduction
9.1 History of Solar Cell
9.2 Constructional Features of Solar Cell [2]
9.3 Criteria for Materials to Be Used in Manufacturing of Solar Cell
9.4 Types of Solar Cells [5]
9.5 Process of Making Crystals for Solar Cell Manufacturing [2]
9.6 Glass
9.7 Cell Combinations
9.7.1 Series Combination of Solar Cells [4]
9.7.2 Parallel Combination of Solar Cells [4]
9.7.3 Series-Parallel Combination of Solar Cells [4]
9.8 Solar Panels
9.9 Working of Solar Cell [3]
9.10 Solar Cell Efficiency
9.11 Uses/Applications of Solar Cells
Conclusion
References
10 Fabrication of Copper Indium Gallium Diselenide (Cu(In,Ga)Se2) Thin Film Solar Cell
10.1 Introduction
10.2 Device Structure of CIGS Thin Film Solar Cell
10.3 Fabrication and Characterization of CIGS Thin Film Solar Cell
10.3.1 Effect of Thermally Evaporated CdS Film Thickness on the Operation of CIGS Solar Cell
10.3.2 Effect of Heat Soaks on CIGS/CdS Hetero-Junction
10.3.3 Effect of Flash Evaporated CdS Film Thickness on the Performance of CIGS Solar Cell
10.3.4 Effect of i-ZnO Film Thickness on the Performance of CIGS Solar Cell
10.4 Conclusion
References
11 Parameter Estimation of Solar Cells: A Multi-Objective Approach
11.1 Introduction
11.2 Problem Statement
11.2.1 SDM
11.2.2 DDM
11.3 Methodology
11.4 Results and Discussions
11.4.1 Results for the Single-Diode Model
11.4.2 Results for Double-Diode Model
11.5 Conclusions
References
12 An IoT-Based Smart Monitoring Scheme for Solar PV Applications
12.1 Introduction
12.2 Solar PV Systems
12.2.1 Solar Photovoltaic (PV) Systems
12.2.2 Concentrates Solar Power (CSP)
12.2.3 Solar Water Heater Systems
12.2.4 Passive Solar Design
12.2.5 Solar Microgrid System
12.2.6 Battery
12.2.7 MPPT
12.2.8 Inverters & Other Electronic Equipment
12.2.9 Charge Controller
12.2.10 Additional Systems Equipment
12.3 IoT
12.3.1 Artificial Intelligence (AI) and Machine Learning
12.3.2 Big Data and Cloud Computing
12.3.3 Smart Sensors
12.3.4 Additional Devices for Control and Communication
12.3.5 Renewable Energy and IoT in Energy Sector
12.3.6 Application of IoT
12.4 Remote Monitoring Methods of Solar PV System
12.4.1 Wireless Monitoring
12.4.2 Physical/Wired Monitoring
12.4.3 SCADA Monitoring
12.4.4 Monitoring Using Cloud Computing
12.4.5 Monitoring Using IOT
12.5 Challenges and Issues of Implementation of IoT on Renewable Energy Resources
12.5.1 Challenges
12.5.2 Solutions
12.6 Conclusion
References
13 Design of Low-Power Energy Harvesting System for Biomedical Devices
13.1 Introduction
13.2 Investigation on Topologies of DC-DC Converter
13.2.1 Hybrid Source Architecture Based on Synchronous Boost Converter
13.2.2 Hybrid Source Architecture Using Single-Inductor Dual-Input Single-Output Converter
13.2.3 Hybrid Source Architecture Employing a Multi-Input DC Chopper
13.3 Hardware Results
13.4 Conclusion
References
14 Performance Analysis of Some New Hybrid Metaheuristic Algorithms for HighDimensional Optimization Problems
14.1 Introduction
14.2 An Overview of Proposed Hybrid Methodologies
14.3 Experimental Results and Discussion
14.4 Conclusions
References
15 Investigation of Structural, Optical and Wettability Properties of Cadmium Sulphide Thin Films Synthesized by Environment Friendly SILAR Technique
15.1 Introduction
15.2 Experimental Details
15.3 Results and Discussion
15.3.1 Film Formation Mechanism
15.3.2 Thickness Measurement
15.3.3 Structural Studies
15.3.4 Raman Spectroscopy
15.3.5 Scanning Electron Microscopy
15.3.6 Optical Studies
15.3.7 Wettability Studies
15.4 Conclusion
15.5 Acknowledgement
References
Part II: DESIGN, IMPLEMENTATION ANDAPPLICATIONS
16 Solar Photovoltaic Cells
16.1 Introduction
16.2 Need for Solar Cells
16.3 Structure of Solar Cell
16.4 Solar Cell Classification
16.4.1 First-Generation Solar Cells
16.4.2 Second-Generation Solar Cells
16.4.3 Third-Generation Solar Cells
16.5 Solar PV Cells
16.6 Solar Cell Working
16.7 Mathematical Modelling of Solar Cell
16.8 Solar Cell Connection Methods
16.9 Types of Solar PV System
16.10 Conclusion
References
17 An Intelligent Computing Technique for Parameter Extraction of Different Photovoltaic (PV) Models
17.1 Introduction
17.2 Problem Formulation
17.2.1 Single-Diode Model
17.2.2 Double-Diode Model
17.2.3 Three-Diode Model
17.3 Proposed Optimization Technique
17.3.1 Various Phases of Optimization of Harris Hawks
17.4 Results and Discussions
17.5 Conclusions
References
18 Experimental Investigation on Wi-Fi Signal Loss by Scattering Property of Duranta Plant Leaves
18.1 Introduction
18.1.1 Duranta Golden Plant
18.1.2 Foliage Loss
18.2 Measurement and Calculation
18.2.1 Scattering Feasibility
18.2.2 Comparison with Tree Shadowing Effect
18.3 Result and Discussion
18.4 Conclusions
References
19 Multi-Quantum Well-Based Solar Cell
19.1 Introduction
19.2 Theoretical Aspects of Solar Cell
19.3 Device Design and Simulation Setup
19.4 Results and Discussion
19.4.1 GaSb/GaAs MQWs Solar Cell
19.4.2 InGaP/GaAs MQW Solar Cell
19.4.3 InP/GaAs MQW Solar Cell
19.4.4 AlGaAs/GaAs MQW Solar Cell
19.4.5 Optimization
19.5 Comparative Analysis
19.6 Conclusion
References
20 Mitigation Techniques for Removal of Dust on Solar Photovoltaic System
20.1 Introduction
20.2 Influencing Factors for Deposition of Dust
20.2.1 Ecological Factors
20.2.2 Factors Influencing Installation
20.2.3 Installed Location and Exposure Time
20.3 Effects of Deposition of Dust on the Solar Panels
20.3.1 Influence of Electrical Characteristics
20.3.2 Influence of the Optical Characteristics
20.3.3 Influence of the Thermal Characteristic
20.4 Methods of Cleaning System
20.4.1 Natural Cleaning Method
20.4.2 Manual Cleaning Method
20.4.3 Self-Cleaning Method
20.5 Conclusion
References
21 Solid-State Air-Conditioning System Using Photovoltaic Module
21.1 Introduction
21.1.1 Thermoelectric Cooler (TEC)
21.2 Fabrication of the Solid State Air-Conditioning System
21.2.1 Description of the Proposed Model
21.2.2 Peltier Effect
21.2.3 Comparison Between the Existing Framework and Proposed System
21.3 Hardware Implementation
21.3.1 8051 Architecture
21.3.2 Microcontroller PCB
21.3.3 Photovoltaic Module
21.3.4 Solar Radiation
21.3.5 Battery
21.3.6 Relay
21.3.7 5×1 Keypad
21.3.8 Peltier Sensor
21.3.9 Solenoid Valve
21.4 Software Analysis
21.4.1 KEIL Compiler
21.4.2 Gathering with Cx51
21.4.3 Running Cx51 from the Command Prompt
21.4.4 Program for AT89S52
21.5 Conclusion
References
22 Cu2ZnSnS4 Thin Film Solar Cell: Fabrication and Characterization
22.1 Introduction
22.1.1 Solar Photovoltaics: A Key to Energy Elucidation
22.1.2 Thin Film Solar Cells
22.1.3 CZTS Solar Cells
22.2 Fabrication of Cu2ZnSnS4 Thin Film Solar Cell
22.2.1 Glass Cleaning
22.2.2 Molybdenum Deposition
22.2.3 CZTS Thin Film Coating
22.2.4 CdS Deposition
22.2.5 ZnO and Al-ZnO Coating
22.2.6 Chromium/Silver Front Contact Grid
22.2.7 CZTS Solar Cell Device
22.3 Characterization of Cu2ZnSnS4 Thin Film Solar Cell
22.3.1 Typical Solar Cell Characterizations
22.3.2 Current-Voltage (I-V) Measurement
22.3.3 Quantum Efficiency (QE)
22.4 Conclusion
Acknowledgement
References
23 Parameter Estimation of Solar Cell Using Gravitational Search Algorithm
23.1 Introduction
23.2 Modelling of Photovoltaic Unit
23.2.1 Two-Diode Structure
23.3 Formation of Function
23.4 Gravitational Search Algorithm
23.4.1 The Gravitational Search Algorithm is Shown in Steps as Follows
23.5 Review of GSA
23.6 Application of GSA
23.7 Summary and Future Scope of Work
23.8 Particle Swarm Optimization (PSO)
23.8.1 Steps Involved for Particle Swarm Optimization
23.9 Results and Discussion
23.10 Conclusion
References
24 Study of the Most Commonly Utilized Maximum Power Point (MPP) Tracking (MPPT) Schemes for SPV Systems
24.1 Introduction
24.2 Problem Overview in SPV Power Extraction
24.3 Modeling of SPV System
24.4 MPPT Schemes
24.4.1 Perturb and Observe (P&O)
24.4.2 Incremental Conductance
24.4.3 Fuzzy Logic (FL) Based
24.4.4 Hybrid
24.5 Conclusion
References
25 An Investigation and Design of Symmetric and Asymmetric Inverter for Various Applications
25.1 Introduction
25.2 Evaluation of Multilevel Inverters and Its Application in Recent Times
25.3 Design of 15-Level Inverter With Symmetric Voltage Source
25.4 Experimentation of 27-Level Symmetric Inverter
25.5 Design of 31-Level Inverter Using Asymmetric Voltage Sources
25.5.1 Mathematical Model of 31-Level Inverter
25.6 Development of 53-Level Inverter Using Packed Structures
25.7 Summary
References
26 A Demand Side Management Controller Configuration for Interleaved DC-DC Converters Applicable for Renewable Energy Sources
26.1 Introduction
26.2 Control Method and Proposed Controller Investigation
26.2.1 Power Sharing and Demand Side Management
26.3 Simulation Results
26.4 Experimental Results
26.5 Conclusion
References
27 Applications of Hybrid Wind Solar Battery Based Microgrid for Small-Scale Stand-Alone Systems and Grid Integration for Multi-Feeder Systems
27.1 Introduction
27.2 Stand-Alone HRES System
27.2.1 System Description
27.2.2 Results and Discussion
27.2.3 Conclusion
27.3 Grid-Connected HRES System
27.3.1 System Description
27.3.2 Results and Discussion
27.3.3 Conclusion
Acknowledgements
References
28 Challenging Issues and Solutions on Battery Thermal Management for Electric Vehicles
28.1 Introduction
28.2 Principle and Working of Battery
28.3 Types of Batteries
28.3.1 Primary or Non-Rechargeable Batteries
28.3.2 Secondary or Rechargeable Batteries
28.3.3 Selection of Batteries
28.4 Thermal Behavior of Batteries
28.5 Battery Thermal Management Systems
28.6 Methods of Battery Thermal Management Systems
28.6.1 Air Cooling BTMS
28.6.2 Liquid Cooling BTMS
28.6.3 Refrigerant Direct Cooling System BTMS
28.6.4 Phase Change Material-Based BTMS
28.6.5 Heat Pipe-Based BTMS
28.6.6 Thermoelectric Cooling
28.7 Conclusion
References
Index
Also of Interest
Check out these other related titles from Scrivener Publishing
EULA
Recommend Papers

Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions [1 ed.]
 1119750369, 9781119750369

  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

Electrical and Electronic Devices, Circuits, and Materials

Scrivener Publishing 100 Cummings Center, Suite 541J Beverly, MA 01915-6106 Publishers at Scrivener Martin Scrivener ([email protected]) Phillip Carmical ([email protected])

Electrical and Electronic Devices, Circuits, and Materials Technological Challenges and Solutions

Edited by

Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam

This edition first published 2021 by John Wiley & Sons, Inc., 111 River Street, Hoboken, NJ 07030, USA and Scrivener Publishing LLC, 100 Cummings Center, Suite 541J, Beverly, MA 01915, USA © 2021 Scrivener Publishing LLC For more information about Scrivener publications please visit www.scrivenerpublishing.com. All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted, in any form or by any means, electronic, mechanical, photocopying, recording, or otherwise, except as permitted by law. Advice on how to obtain permission to reuse material from this title is available at http://www.wiley.com/go/permissions. Wiley Global Headquarters 111 River Street, Hoboken, NJ 07030, USA For details of our global editorial offices, customer services, and more information about Wiley prod-ucts visit us at www. wiley.com. Limit of Liability/Disclaimer of Warranty While the publisher and authors have used their best efforts in preparing this work, they make no rep­resentations or warranties with respect to the accuracy or completeness of the contents of this work and specifically disclaim all warranties, including without limitation any implied warranties of merchant-­ability or fitness for a particular purpose. No warranty may be created or extended by sales representa­tives, written sales materials, or promotional statements for this work. The fact that an organization, website, or product is referred to in this work as a citation and/or potential source of further informa­tion does not mean that the publisher and authors endorse the information or services the organiza­tion, website, or product may provide or recommendations it may make. This work is sold with the understanding that the publisher is not engaged in rendering professional services. The advice and strategies contained herein may not be suitable for your situation. You should consult with a specialist where appropriate. Neither the publisher nor authors shall be liable for any loss of profit or any other commercial damages, including but not limited to special, incidental, consequential, or other damages. Further, readers should be aware that websites listed in this work may have changed or disappeared between when this work was written and when it is read. Library of Congress Cataloging-in-Publication Data ISBN 978-1-119-75036-9 Cover image: Cyberspace Technology Background, Alongkorn Paingam | Dreamstime.com; Circuit Board Background, Artnoy Vector | Dreamstime.com Cover design by Kris Hackerott Set in size of 11pt and Minion Pro by Manila Typesetting Company, Makati, Philippines Printed in the USA 10 9 8 7 6 5 4 3 2 1

Contents Preface xvii

Part I Design and Analysis

1

1 Strain Engineering in Modern Field Effect Transistors Kunal Sinha 1.1 Introduction 1.2 Theory of Strain Technology 1.2.1 Stress and Strain 1.2.2 Stress Matrix for Biaxial and Uniaxial Stress 1.2.3 Impact of Strain on MOSFET Parameters 1.3 Simulation Studies in Strain Technology 1.4 Experimental Studies on Strain Technology 1.5 Summary and Future Scope Future Scope Acknowledgement References

3

2 Design and Optimization of Heterostructure Double Gate Tunneling Field Effect Transistor for Ultra Low Power Circuit and System Guenifi Naima and Shiromani Balmukund Rahi 2.1 Introduction 2.2 Fundamental of Device Physics 2.2.1 Basic Working Principles of TFET 2.2.2 Kane’s Model 2.3 Analysis Approach and Device Parameters 2.4 Switching Behavior of TFET 2.5 Results and Discussion 2.6 Conclusion Acknowledgement References 3 Polymer Electrolytes: Development and Supercapacitor Application Anil Arya, Anurag Gaur and A. L. Sharma 3.1 Introduction 3.1.1 The Basic Principle and Types of Supercapacitors 3.1.2 Key Characteristics of the Electrolyte

3 4 4 6 8 9 12 14 15 15 15 19 19 20 20 21 21 23 24 34 35 35 37 37 38 40 v

vi  Contents 3.1.3 Polymer Electrolytes and Types 3.1.4 Modification Strategies for Polymer Electrolytes 3.2 Preparation and Characterization Techniques 3.3 Latest Developments 3.4 Summary References 4 Tunable RF/Microwave Filter with Fractal DGS Mehul Thakkar, Pravin R. Prajapati and Hitesh Shah 4.1 Introduction 4.2 Literature Review 4.2.1 Planar Reconfigurable Filters 4.3 Proposed Work 4.3.1 Design of Hairpin Bandpass Filter 4.3.2 Design of Hairpin Bandpass Filter with Fractal DGS 4.3.3 Design of Tunable Hairpin Bandpass Filter with Fractal DGS 4.4 Conclusion Acknowledgement References 5 GaN High Electron Mobility Transistor Device Technology for RF and High-Power Applications A. B. Khan 5.1 Introduction 5.2 HEMT Structures 5.2.1 GaAs-Based HEMTs 5.2.2 InP-Based HEMTs 5.2.3 GaN-Based HEMTs 5.3 Polarization Impact and Creation of 2DEG in GaN HEMT 5.3.1 Polarization Effect 5.3.2 Formation of 2DEG 5.4 GaN-Based HEMT Performance Affecting Factors 5.4.1 Surface Passivation 5.4.2 Parasitic Effects 5.4.3 Field Plate Engineering Technique 5.4.4 Impact of Barrier Layer 5.5 Conclusion References 6 Design and Analyses of a Food Protein Sensing System Based on Memristive Properties Rupam Goswami, Arighna Deb, Rithik Dilip Rathi and Prateek Mahajan 6.1 Introduction 6.2 Background 6.2.1 Principle of a Memristor 6.2.2 Bio-Memristors 6.2.3 Applications of Memristors

43 46 47 51 62 62 67 67 70 70 71 71 72 76 80 80 80 83 83 85 85 85 86 88 88 90 92 92 93 94 95 95 96 101 101 103 103 103 104

Contents  vii 6.3 Motivation 105 6.4 Experimental Set-Up 105 6.5 Experimental Methodology and Preliminary Validation 106 6.5.1 Experimental Methodology 106 6.5.1.1 Food Items 106 6.5.1.2 Reading Voltage and Current Values 107 6.5.2 Preliminary Validation 107 6.6 Sensitivity Parameters 108 6.6.1 Resistance-Based Sensitivity (Sr) 108 6.6.2 Point Slope-Based Sensitivity (Sm) 108 6.6.3 Hysteresis-Line Slope Sensitivity 109 6.7 Results and Discussion 110 6.7.1 Category I: Egg Albumin and Milk 110 6.7.2 Category II: Protein Blend 113 6.8 Conclusions and Prospects 114 References 115 7 Design of Low-Power DRAM Cell Using Advanced FET Architectures A. Durgesh and Suman Lata Tripathi 7.1 Introduction 7.2 1T-DRAM (MOS) 7.3 1T-DRAM (CNT-FET) 7.4 1T-DRAM (FinFET) 7.5 1-T DRAM (TFET) 7.6 Conclusion References 8 Application of Microwave Radiation in Determination of Quality Sensing of Agricultural Products Dr. Ravika Vijay, Dr. Nidhi Bhargava and Prof. K. S. Sharma 8.1 Microwave Heating and its Applications to Agricultural Products 8.1.1 Principle of Microwave Heating 8.1.2 Moisture Sensing 8.1.3 Promoting Germination 8.1.4 Food Processing 8.1.5 Weeds, Insects and Pests Control 8.1.6 Product Conditioning 8.1.7 Microwave Drying 8.1.8 Quality Sensing in Fruits and Vegetables 8.2 Measurement Techniques 8.2.1 Open-Ended Coaxial Probe – Network Analyzer Technique 8.2.2 Network Analyzer 8.3 Dielectric Spectroscopy of Agricultural Products at Different Temperatures 8.4 Correlation of Dielectric Properties with Nutrients 8.5 Conclusion References

119 119 120 123 124 128 130 131 133 133 133 135 136 136 136 136 137 137 137 138 139 140 148 151 151

viii  Contents 9 Solar Cell Dr. Arvind Dhingra Introduction 9.1 History of Solar Cell 9.2 Constructional Features of Solar Cell 9.3 Criteria for Materials to Be Used in Manufacturing of Solar Cell 9.4 Types of Solar Cells 9.5 Process of Making Crystals for Solar Cell Manufacturing 9.6 Glass 9.7 Cell Combinations 9.7.1 Series Combination of Solar Cells 9.7.2 Parallel Combination of Solar Cells 9.7.3 Series-Parallel Combination of Solar Cells 9.8 Solar Panels 9.9 Working of Solar Cell 9.10 Solar Cell Efficiency 9.11 Uses/Applications of Solar Cells Conclusion References 10 Fabrication of Copper Indium Gallium Diselenide (Cu(In,Ga)Se2) Thin Film Solar Cell Jaymin Ray, Keyur Patel, Gopal Bhatt, Priya Suryavanshi and C. J. Panchal 10.1 Introduction 10.2 Device Structure of CIGS Thin Film Solar Cell 10.3 Fabrication and Characterization of CIGS Thin Film Solar Cell 10.3.1 Effect of Thermally Evaporated CdS Film Thickness on the Operation of CIGS Solar Cell 10.3.2 Effect of Heat Soaks on CIGS/CdS Hetero-Junction 10.3.3 Effect of Flash Evaporated CdS Film Thickness on the Performance of CIGS Solar Cell 10.3.4 Effect of i-ZnO Film Thickness on the Performance of CIGS Solar Cell 10.4 Conclusion References 11 Parameter Estimation of Solar Cells: A Multi-Objective Approach Saumyadip Hazra and Souvik Ganguli 11.1 Introduction 11.2 Problem Statement 11.2.1 SDM 11.2.2 DDM 11.3 Methodology 11.4 Results and Discussions 11.4.1 Results for the Single-Diode Model 11.4.2 Results for Double-Diode Model

155 155 155 158 158 159 160 161 161 161 162 163 164 165 166 166 167 167 169 169 170 171 174 175 176 179 186 186 189 189 191 192 194 196 197 198 203

Contents  ix 11.5 Conclusions References 12 An IoT-Based Smart Monitoring Scheme for Solar PV Applications Senthil Kumar Ramu, Gerald Christopher Raj Irudayaraj and Rajarajan Elango 12.1 Introduction 12.2 Solar PV Systems 12.2.1 Solar Photovoltaic (PV) Systems 12.2.1.1 Stand-Alone PV Modules 12.2.1.2 Grid-Connected PV Systems 12.2.2 Concentrates Solar Power (CSP) 12.2.3 Solar Water Heater Systems 12.2.4 Passive Solar Design 12.2.5 Solar Microgrid System 12.2.5.1 PV Module 12.2.6 Battery 12.2.6.1 Flooded Lead Acid Battery 12.2.6.2 VRLA Battery 12.2.6.3 Lithium-Ion Battery 12.2.7 MPPT 12.2.8 Inverters & Other Electronic Equipment 12.2.9 Charge Controller 12.2.10 Additional Systems Equipment 12.3 IoT 12.3.1 Artificial Intelligence (AI) and Machine Learning 12.3.1.1 Hardware 12.3.1.2 Middleware 12.3.1.3 Cloud 12.3.2 Big Data and Cloud Computing 12.3.3 Smart Sensors 12.3.3.1 Temperature Sensor 12.3.3.2 Humidity Sensor 12.3.3.3 Tilt Sensor 12.3.3.4 CO2 Sensor 12.3.3.5 Voltage and Current Sensor 12.3.3.6 Light Sensor 12.3.3.7 MEMS (Micro Electro Mechanical Systems) Sensor 12.3.3.8 Ultrasonic Sensor 12.3.3.9 IR Sensor 12.3.3.10 Proximity Sensor  12.3.4 Additional Devices for Control and Communication 12.3.4.1 Arduino 12.3.4.2 Raspberry Pi 12.3.4.3 GSM Module 12.3.5 Renewable Energy and IoT in Energy Sector

208 209 211 211 213 213 214 214 214 215 216 216 217 217 218 219 219 219 219 220 220 220 221 221 221 221 221 221 221 222 223 223 223 223 223 223 224 224 224 224 224 225 225

x  Contents 12.3.6 Application of IoT 226 12.3.6.1 Application to Renewable Energy Systems 226 12.3.6.2 Application to Grid Management 227 12.4 Remote Monitoring Methods of Solar PV System 228 12.4.1 Wireless Monitoring 228 12.4.2 Physical/Wired Monitoring 228 12.4.3 SCADA Monitoring 228 12.4.4 Monitoring Using Cloud Computing 228 12.4.5 Monitoring Using IOT 228 12.4.5.1 IoT-Based Remote Monitoring 229 12.5 Challenges and Issues of Implementation of IoT on Renewable Energy Resources 230 12.5.1 Challenges 230 12.5.2 Solutions 231 12.6 Conclusion 231 References 231 13 Design of Low-Power Energy Harvesting System for Biomedical Devices 235 Dr. R. Seyezhai and S. Maheswari 13.1 Introduction 235 13.2 Investigation on Topologies of DC-DC Converter 236 13.2.1 Hybrid Source Architecture Based on Synchronous Boost Converter 236 13.2.2 Hybrid Source Architecture Using Single-Inductor Dual-Input Single-Output Converter 237 13.2.3 Hybrid Source Architecture Employing a Multi-Input DC Chopper 239 13.3 Hardware Results 246 13.4 Conclusion 247 References 247 14 Performance Analysis of Some New Hybrid Metaheuristic Algorithms for High-Dimensional Optimization Problems Souvik Ganguli, Gagandeep Kaur and Prasanta Sarkar 14.1 Introduction 14.2 An Overview of Proposed Hybrid Methodologies 14.3 Experimental Results and Discussion 14.4 Conclusions References 15 Investigation of Structural, Optical and Wettability Properties of Cadmium Sulphide Thin Films Synthesized by Environment Friendly SILAR Technique Sampat G. Deshmukh, Rohan S. Deshmukh and Vipul Kheraj 15.1 Introduction 15.2 Experimental Details 15.3 Results and Discussion 15.3.1 Film Formation Mechanism 15.3.2 Thickness Measurement

251 251 253 256 282 283 285 285 286 288 288 289

Contents  xi 15.3.3 Structural Studies 15.3.4 Raman Spectroscopy 15.3.5 Scanning Electron Microscopy 15.3.6 Optical Studies 15.3.7 Wettability Studies 15.4 Conclusion 15.5 Acknowledgement References

Part II Design, Implementation and Applications 16 Solar Photovoltaic Cells V. Mohanapriya and V. Manimegalai 16.1 Introduction 16.2 Need for Solar Cells 16.3 Structure of Solar Cell 16.4 Solar Cell Classification 16.4.1 First-Generation Solar Cells 16.4.2 Second-Generation Solar Cells 16.4.3 Third-Generation Solar Cells 16.5 Solar PV Cells 16.6 Solar Cell Working 16.7 Mathematical Modelling of Solar Cell 16.8 Solar Cell Connection Methods 16.9 Types of Solar PV System 16.10 Conclusion References 17 An Intelligent Computing Technique for Parameter Extraction of Different Photovoltaic (PV) Models Shilpy Goyal, Parag Nijhawan and Souvik Ganguli 17.1 Introduction 17.2 Problem Formulation 17.2.1 Single-Diode Model 17.2.2 Double-Diode Model 17.2.3 Three-Diode Model 17.3 Proposed Optimization Technique 17.3.1 Various Phases of Optimization of Harris Hawks 17.3.1.1 Exploration Phase 17.3.1.2 Turning from Global to Local Search 17.3.1.3 Exploitation Phase 17.4 Results and Discussions 17.5 Conclusions References

289 292 293 294 295 296 296 296

299 301 301 302 302 303 303 304 304 305 306 306 309 311 313 313 315 315 317 317 319 320 322 323 323 324 324 324 339 339

xii  Contents 18 Experimental Investigation on Wi-Fi Signal Loss by Scattering Property of Duranta Plant Leaves Khalid Ali Khan, Syed Gulraze Anjum, M. Nasim Faruque and Dinkisa Dechasa Geyesa 18.1 Introduction 18.1.1 Duranta Golden Plant 18.1.2 Foliage Loss 18.2 Measurement and Calculation 18.2.1 Scattering Feasibility 18.2.2 Comparison with Tree Shadowing Effect 18.3 Result and Discussion 18.4 Conclusions References

341 341 342 343 343 346 347 347 348 348

19 Multi-Quantum Well-Based Solar Cell Ashish Raman, Chetan Chaturvedi and Naveen Kumar 19.1 Introduction 19.2 Theoretical Aspects of Solar Cell 19.3 Device Design and Simulation Setup 19.4 Results and Discussion 19.4.1 GaSb/GaAs MQWs Solar Cell 19.4.2 InGaP/GaAs MQW Solar Cell 19.4.3 InP/GaAs MQW Solar Cell 19.4.4 AlGaAs/GaAs MQW Solar Cell 19.4.5 Optimization 19.5 Comparative Analysis 19.6 Conclusion References

351

20 Mitigation Techniques for Removal of Dust on Solar Photovoltaic System Pandiyan P, Saravanan S, Chinnadurai T, Ramji Tiwari, Prabaharan N and Umashankar S 20.1 Introduction 20.2 Influencing Factors for Deposition of Dust 20.2.1 Ecological Factors 20.2.1.1 Direction of Wind and its Velocity 20.2.1.2 Temperature and Moisture 20.2.1.3 Humidity 20.2.1.4 Rainfall 20.2.1.5 Dust Properties 20.2.1.6 Bird Droppings 20.2.2 Factors Influencing Installation 20.2.2.1 Orientation and Tilt Angle 20.2.2.2 Height 20.2.2.3 Top Surface of the Solar Panels

373

351 353 354 356 356 358 360 361 363 367 370 370

373 375 375 375 376 377 377 377 378 378 378 378 378

Contents  xiii 20.2.3 Installed Location and Exposure Time 20.3 Effects of Deposition of Dust on the Solar Panels 20.3.1 Influence of Electrical Characteristics 20.3.2 Influence of the Optical Characteristics 20.3.3 Influence of the Thermal Characteristic 20.4 Methods of Cleaning System 20.4.1 Natural Cleaning Method 20.4.2 Manual Cleaning Method 20.4.3 Self-Cleaning Method 20.4.3.1 Active Cleaning 20.4.3.2 Passive Cleaning 20.5 Conclusion References 21 Solid-State Air-Conditioning System Using Photovoltaic Module Dr. Y. Thiagarajan, S. Karthikeyan, K. Santhosh, M. Keerthana and Gabriel Gomes de Oliveira 21.1 Introduction 21.1.1 Thermoelectric Cooler (TEC) 21.2 Fabrication of the Solid State Air-Conditioning System 21.2.1 Description of the Proposed Model 21.2.2 Peltier Effect 21.2.3 Comparison Between the Existing Framework and Proposed System 21.3 Hardware Implementation 21.3.1 8051 Architecture 21.3.2 Microcontroller PCB 21.3.3 Photovoltaic Module 21.3.4 Solar Radiation 21.3.5 Battery 21.3.6 Relay 21.3.7 5×1 Keypad 21.3.8 Peltier Sensor 21.3.9 Solenoid Valve 21.4 Software Analysis 21.4.1 KEIL Compiler 21.4.2 Gathering with Cx51 21.4.3 Running Cx51 from the Command Prompt 21.4.4 Program for AT89S52 21.4.4.1 Solar Coding 21.4.4.2 Peltier Coding 21.5 Conclusion References

379 379 379 380 381 381 384 384 385 385 388 389 389 393 393 394 395 395 395 396 396 396 397 397 397 398 399 400 400 400 400 401 401 401 402 402 406 409 409

xiv  Contents 22 Cu2ZnSnS4 Thin Film Solar Cell: Fabrication and Characterization Kinjal Patel, Neelkanth G. Dhere, Vipul Kheraj and Dimple Shah 22.1 Introduction 22.1.1 Solar Photovoltaics: A Key to Energy Elucidation 22.1.2 Thin Film Solar Cells 22.1.3 CZTS Solar Cells 22.2 Fabrication of Cu2ZnSnS4 Thin Film Solar Cell 22.2.1 Glass Cleaning 22.2.2 Molybdenum Deposition 22.2.3 CZTS Thin Film Coating 22.2.4 CdS Deposition 22.2.5 ZnO and Al-ZnO Coating 22.2.6 Chromium/Silver Front Contact Grid 22.2.7 CZTS Solar Cell Device 22.3 Characterization of Cu2ZnSnS4 Thin Film Solar Cell 22.3.1 Typical Solar Cell Characterizations 22.3.2 Current-Voltage (I-V) Measurement 22.3.3 Quantum Efficiency (QE) 22.4 Conclusion Acknowledgement References

411

23 Parameter Estimation of Solar Cell Using Gravitational Search Algorithm Kaustuv Das, Raju Basak, Souvik Ganguli and Asoke Kumar Paul 23.1 Introduction 23.2 Modelling of Photovoltaic Unit 23.2.1 Two-Diode Structure 23.3 Formation of Function 23.4 Gravitational Search Algorithm 23.4.1 The Gravitational Search Algorithm is Shown in Steps as Follows 23.5 Review of GSA 23.6 Application of GSA 23.7 Summary and Future Scope of Work 23.8 Particle Swarm Optimization (PSO) 23.8.1 Steps Involved for Particle Swarm Optimization 23.9 Results and Discussion 23.10 Conclusion References

427

24 Study of the Most Commonly Utilized Maximum Power Point (MPP) Tracking (MPPT) Schemes for SPV Systems Pawan Kumar Pathak, Anil Kumar Yadav and P. A. Alvi 24.1 Introduction 24.2 Problem Overview in SPV Power Extraction 24.3 Modeling of SPV System 24.4 MPPT Schemes

411 412 413 414 415 416 417 417 417 418 418 419 420 420 421 423 424 425 425

427 429 430 431 433 435 436 436 436 437 439 439 443 443 447 447 448 449 451

Contents  xv 24.4.1 Perturb and Observe (P&O) 24.4.2 Incremental Conductance 24.4.3 Fuzzy Logic (FL) Based 24.4.4 Hybrid 24.5 Conclusion References 25 An Investigation and Design of Symmetric and Asymmetric Inverter for Various Applications L. Vijayaraja, S. Ganesh Kumar and M. Rivera 25.1 Introduction 25.2 Evaluation of Multilevel Inverters and Its Application in Recent Times 25.3 Design of 15-Level Inverter With Symmetric Voltage Source 25.4 Experimentation of 27-Level Symmetric Inverter 25.5 Design of 31-Level Inverter Using Asymmetric Voltage Sources 25.5.1 Mathematical Model of 31-Level Inverter 25.6 Development of 53-Level Inverter Using Packed Structures 25.7 Summary References 26 A Demand Side Management Controller Configuration for Interleaved DC-DC Converters Applicable for Renewable Energy Sources Davood Ghaderi, Gökay Bayrak and Umashankar Subramaniam 26.1 Introduction 26.2 Control Method and Proposed Controller Investigation 26.2.1 Power Sharing and Demand Side Management 26.3 Simulation Results 26.4 Experimental Results 26.5 Conclusion References 27 Applications of Hybrid Wind Solar Battery Based Microgrid for Small-Scale Stand-Alone Systems and Grid Integration for Multi-Feeder Systems P. Satish Kumar 27.1 Introduction 27.2 Stand-Alone HRES System 27.2.1 System Description 27.2.2 Results and Discussion 27.2.2.1 Performance of HRES During Source Variations Only 27.2.2.2 Performance of HRES During Load Variations Only 27.2.3 Conclusion 27.3 Grid-Connected HRES System 27.3.1 System Description 27.3.2 Results and Discussion 27.3.2.1 HRES Output 27.3.2.2 Performance of Grid-Connected HRES for Nonlinear Loads

451 455 459 466 470 470 473 473 474 476 477 482 483 487 491 491 493 493 496 501 504 508 512 514 517 517 518 518 520 520 523 523 525 525 525 526 528

xvi  Contents 27.3.2.3 Performance of Grid-Connected HRES for Source Voltage Imperfections 27.3.3 Conclusion Acknowledgements References 28 Challenging Issues and Solutions on Battery Thermal Management for Electric Vehicles A. Gayathri, V. Manimegalai and P. Krishnakumar 28.1 Introduction 28.2 Principle and Working of Battery 28.3 Types of Batteries 28.3.1 Primary or Non-Rechargeable Batteries 28.3.2 Secondary or Rechargeable Batteries 28.3.2.1 Lead-Acid Batteries 28.3.2.2 Nickel Cadmium (Ni-Cd) 28.3.2.3 Nickel-Metal Hydride (Ni-MH) 28.3.2.4 Lithium-Ion (Li-Ion) 28.3.3 Selection of Batteries 28.3.3.1 Why Lithium-Ion Battery? 28.4 Thermal Behavior of Batteries 28.5 Battery Thermal Management Systems 28.6 Methods of Battery Thermal Management Systems 28.6.1 Air Cooling BTMS 28.6.2 Liquid Cooling BTMS 28.6.3 Refrigerant Direct Cooling System BTMS 28.6.4 Phase Change Material-Based BTMS 28.6.5 Heat Pipe-Based BTMS 28.6.6 Thermoelectric Cooling 28.7 Conclusion References

529 530 531 533 535 535 536 536 537 537 538 538 538 539 539 540 542 543 544 544 546 547 548 549 550 551 551

Index 555

Preface The objective of this edition is to provide a broad view of the electronic device and Circuit design and technological challenges in a concise way for fast and easy understanding. This book provides information regarding almost all the aspects to make it highly beneficial for all the students, researchers and teachers of this field. Fundamental principles of electrical and electronic device & circuit design are discussed herein in a clear and detailed manner with an explanatory diagram wherever necessary. All the chapters are illustrated in simple language will felicitate readability of the chapters. Chapter Organization This book is organized into two parts as Part I & Part II with 28 chapters in total. Part I mainly deals with technological challenges and solutions to electronic devices and circuit including the use of advanced materials. Part II describes electrical devices and systems such as solar cells, power converters, and renewable energy systems. Part I Design and Analysis Chapter 1 discusses various theoretical, simulation and experimental research work on strain engineering that is discussed in brief. The gradual development of this ­performanceenhancing technique is also included along with some latest reports in this field of research in modern devices. Chapter 2 is mainly focused on double-gate (DG) TFET, having band engineering and high  - k dielectrics with a detailed investigation of the transfer (Id -Vg), C-V and RF characteristics DG-TFET. Chapter 3 deals with a brief introduction to supercapacitors, followed by characteristics of electrolyte, types and modification strategies for the electrolyte. Further, important preparation techniques and advanced characterization techniques are also explored. Chapter 4 presents a tunable microstrip filter with fractal DGS. Fractal DGS is used to reduce the size of the filter. The proposed filter is a hairpin bandpass filter shape, which is designed for 3.5 GHz center frequency on the substrate of Rogers 3010 (ɛr=10.2) with a thickness of 1.27 mm. Chapter 5 This chapter delivers the study approaching an emerging GaN-based HEMTs device technology appropriate for Radio Frequency and high-level power applications.

xvii

xviii  Preface Chapter 6 addresses the design, experiment and prospects of an electronic sensing system to determine the protein content in common food items, chicken egg albumin, milk and protein blend. Chapter 7 presents a detailed design and analysis of DRAM cells with a focus on the comparison of the different technologies such as CNTFET, FinFET and TFET that are suitable for low power high-performance memory design. Chapter 8 intended to provide information about the agricultural applications of microwave heating. It emphasizes the importance of dielectric properties in the quality sensing of agricultural products. Chapter 9 describes the history of solar cell right from the time of inception till the present day. The prevalent technologies of today were discussed in detail with their pros and cons. Chapter 10 explores the influence of the thicknesses of i-ZnO and CdS layer has been explained for CIGS solar cell. The influence of flash evaporated CdS and the thermally evaporated CdS are also studied. Chapter 11 presents a comparative study is presented with the help of multi-objective function for some newly developed metaheuristic algorithm and also a mathematical model developed for the PV cell which may help to determine the parameters of the cell more accurately. Chapter 12 explores how to monitor the solar Photo Voltaic (PV) system using IoT and various remote monitoring methods are addressed. Also, it would elaborate on the realtime implementation of smart sensors used in the renewable energy system and its challenges with some solutions. Chapter 13 dedicated to design and analyze a low power hybrid micro energy harvester circuit with hybrid inputs namely, PV and vibration for bio-medical devices. By using vibration sources, human movement can be converted into electrical energy. Chapter 14 tests the performance of five global metaheuristic algorithms based on the firefly optimization technique to obtain the solution of some high-dimensional benchmark problems without constraints. Chapter 15 presents an investigation of the nanostructured cadmium suilphide (CdS) thin films by inexpensive, eco-friendly successive ionic layer adsorption and reaction (SILAR) technique. Part II Design, Implementation and Applications Chapter 16 deals with the fundamentals of the solar cell including working, design and analysis. It also compares solar energy with other forms of energy in terms of performance and throughput.

Preface   xix Chapter 17 is mainly focused on a new metaheuristic approach, namely the Harris Hawk Optimization (HHO) is introduced for the optimization of SD, DD and TD PV models. This algorithm was triggered by two or more Harris Hawks cooperative hunting manner to hunt the running preys like rabbits. Chapter 18 deals with extensive experimental observations that have been taken to evaluate the scattering effect due to heavily dense and tiny leaves of the Duranta Golden plant on Wi-Fi signal. Chapter 19 presents study the phenomenon of multi-quantum wells (MQWs) based type 1 AlGaAs/GaAs heterojunction solar cells and a comparative study and impact of various materials between type 1 (AlGaAs/GaAs, InGaP/GaAs, InP/GaAs) and type 2 (GaSb/GaAs) based MQWs heterojunction solar cells on the crucial parameters. Chapter 20 gives insight review about existing methods that focus on causes of dust accumulation on the top of the solar panel surface as well as the effects of dust deposition on the solar panel’s characteristics such as thermal, electrical and optical characteristics. Chapter 21 is related to the thermoelectric climate control system is another elective strong state heat siphons that work as indicated by the Peltier impact that describes the heating or cooling impact that happens when an electric flow goes through two transmitters. Chapter 22 presents a study to prepare CZTS solar cell by depositing CZTS films by a dip coating method. The prepared cells were assessed for their complete functioning using standard Current-Voltage (I-V) characterization and Quantum efficiency (QE) measurement techniques. Chapter 23 emphasizing on the design of solar cell and parameter estimation of a solar photovoltaic unit based on a voltage-current characteristic graph to achieve a desirable performance level. Chapter 24 provides a comprehensive and effective idea about the most important and most common MPPT schemes, which are quite frequently utilized in SPV system in the present era. Chapter 25 is an introduction to different topologies of MLI with the application and also describes the design topology work of 15 level inverters with the symmetric voltage source, experimentation of 27 level symmetric inverters. Chapter 26 presents converter types for interleaved blocks for the power-sharing process and the proposed adaptive control strategy for converter blocks to power transmission in C micro-grid along the simulation results are presented with the proposed droop coefficients values with 3 parallel quadratic boost converter units.

xx  Preface Chapter 27 deals with new developments in standalone and grid-tied systems. Also, the hardware implementation of a small scale standalone HRES system is discussed with simulation analysis of a high-power grid-connected HRES multi feeder system. Chapter 28 describes different types of batteries and their battery thermal management system (BTMS). The advantages of selecting lithium-ion batteries for electric vehicles and a brief about each thermal management system’s arrangements and working were also discussed.

Part I DESIGN AND ANALYSIS

1 Strain Engineering in Modern Field Effect Transistors Kunal Sinha

*

Dept. of Electronics, Asutosh College, Kolkata, India

Abstract

Generation of thermal strain while fabricating modern nano-scale Metal-Oxide-SemiconductorField-Effect-Transistor (MOSFET) devices is inevitable since the standard CMOS fabrication process includes various high and low thermal stages. Different regions and materials of a MOSFET behave differently due to their difference in thermal expansion co-efficient and it leads to the generation of thermal stress within the device. Various researchers have studied the impact of strain on material and device characteristics in the last few decades through theoretical calculations, software simulations and various experiments and found that introduction of controlled strain within the transistor active region can be used to improve device performance. In this chapter, various theoretical, simulation and experimental research works on strain engineering are discussed in brief. Gradual development of this performance-enhancing technique is also included along with some of the latest reports in this field of research in modern devices. Keywords:  Strain, stress, MOSFET, FinFET, silicon-germanium (SiGe)

1.1 Introduction The last century has witnessed the growth of physics and technology to a new height. In 1930, J. E. Lilienfield invented and filed a patent [1] for a new device structure called MOSFET that later became an integral part of modern technology. In addition, in the field of material science, the innovation of silicon (Si) as semiconductor material opened a new field of research where the conductivity of a material can be varied according to the requirement. Particularly from the late 1940s, several researchers started publishing various theoretical research findings in this new domain of physics. From the early 1960s, the fabrication of MOSFET started, and technological development has grown very rapidly since then. In 1965, Gordon Moore proposed his famous prediction on future technological progress that the number of transistors in an Integrated Circuit (IC) will double in every 18 months [2] and this law was followed by the industry for a long time by gradually reducing the dimensions of the transistor. However, as the physics of planner Si MOSFET operation started approaching its fundamental limit in reduced dimensions, scientists started Email: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (3–18) © 2021 Scrivener Publishing LLC

3

4  Electrical and Electronic Devices, Circuits, and Materials looking for alternatives to dimensional reductions to sustain the technological growth. In this regard, several new semiconductor materials (e.g., GaAs, InGaAs, Graphene, MoS2 etc.) are studied in place of Si to identify the possible alternative to it. In addition, various new device structures of transistor are also explored to overcome the challenges of MOSFET in such small-scaled dimensions. Among the new transistor structures, the FinFET device has been accepted by both academia and industry due to its several advantages over the other devices. Currently the latest processors are designed by leading companies by using FinFET devices to perform the high-speed operations with improved performance. On the other hand, there are many scientists working on the performance improvement techniques such that the improved performance of lower dimensional structures can be achieved at higher dimensional devices and the challenges of lower dimensions can be avoided. Among these performance enhancement techniques, strain engineering has been emerging as the most feasible approach, and leading companies are using this technique currently to improve the performance of their transistors. However, there are many unknown areas in strain technology, and it needs to be explored further so that it can be used in new devices also. In this chapter, gradual discoveries of strain engineering are discussed in brief for better understanding of how this performance enhancement technique has developed. In Section 1.2, theoretical analysis of strain engineering by various researchers is discussed. Strain affects various properties of material, like the band structure, conductivity, carrier mobility, etc., and how strain-induced changes modify the device parameters are calculated by several researchers and published in reputed journals and conferences. Some of these results are discussed in brief. In Section 1.3, different simulation studies on strain engineering are included. Various simulation data are compared with theoretical and experimental data to validate the simulation results, and future technological data are predicted by using the calibrated simulators. These simulations help to forecast the output of any new device or technique which is yet to be fabricated or implemented, and in this way the simulation process helps to continue future research without worrying about the fabrication cost and time. Due to these reasons, both scientists and fabrication companies are now depending upon the data shown by properly calibrated simulation software. In Section 1.4, various experimental results on strain engineering are shown and compared with theoretical and simulated data. In the last few decades, various scientists have explored strain engineered FET devices through several experiments and these results indicate that by using this performance enhancement technique, several challenges of unstrained nano-scale transistors can be overcome. Although there are not many laboratories to fabricate these modern FETs, many published reports are available to validate the theoretically and simulated predictions in this field of strain engineering. Some of these experimental data are discussed in brief to get some idea on real-time fabrication output. Finally, in Section 1.5, a summary of this chapter is discussed in brief and some possible future research problems in this area are included.

1.2 Theory of Strain Technology 1.2.1 Stress and Strain Strain: The result of applying force which slightly deform/misalign the atomic structure of a material. Stress: It is the result of strain in any material.

Strain Engineering in Modern FETs  5 Therefore, it can be said that by applying some external force, if the atomic arrangements of a material can be altered slightly, then interatomic force will change, and as a result, the material will be called stressed/strained material. Now, let us consider a point P having radius vector r and position vector (x, y, z) under stable condition. When an external force is applied, the point P shifted slightly at P’ and the new radius vector becomes r’ and new position vector becomes (x’, y’, z’). The displacement is given by [3];



    U (r ) = r ′ − r

(1.1)

and this displacement gives the measure of deformation of the material under strain quantitatively. The distance between the two points can be found by using the following relation:

     ∂U ∂U ∂U ∂U  j k + k ∆L ′ = ∆L2 +  i +  ∆xi ∆x j ; x ∂ x ∂ x ∂ x ∂   j j i i 

where i , j, k = x , y , z respectively .

(1.2)

∆L = (∆x )2 + (∆y )2 + (∆z )2 is the distance in the relaxed solid. Using the strain tensor matrix, equation (1.2) can be further written as,



∆L′ = ∆L′2 + 2ik ∆xi ∆x j ,

(1.3)



    1  ∂U i ∂U j ∂U k ∂U k  + + where the strain matrix is ε ij =   ∂xi ∂x j  2  ∂x j ∂xi

(1.4)

Since the strain tensor is symmetrical in nature, the strain tensor can be diagonalized by appropriate coordinate transformation. After diagonalizing the strain tensor in a system, the modified distance ΔL can be expressed as,



∆L ′ = (1 + 2ε ξξ )∆L2ξ + (1 + 2ενν )∆L2ν + (1 + 2ε ξξ )∆L2ξ

(1.5)

So, for a small displacement along an axis α = ξ, ν, ζ, the change in length (Lα) becomes,



∆Lα − ∆Lα′ = εαα ∆Lα The strain tensor in this case simplified to,

(1.6)

6  Electrical and Electronic Devices, Circuits, and Materials



  1  ∂U i ∂U j  ε ij =  +  2  ∂x j ∂xi 

(1.7)

The strain tensor, in general, can be written in terms of individual strain co-efficient (εαβ), and is given by [4],



  xx  ε =  yx   zx

xz   yz   zz 

xy yy zy

(1.8)

The stress components for any lattice surface can be obtained from equation (1.8).

1.2.2 Stress Matrix for Biaxial and Uniaxial Stress Now the uniaxial stress is defined as induced stress directed predominantly along any one axis. On the other hand, for biaxial stress, the induced stress is directed along two perpendicular axis direction. For [001] and [110] surface, the uniaxial stress after simplification of (1.8) becomes [4],





 0 τ 001 =  0  0

0 0 0

 1 T τ 110 =  1 2  0

0 0 T 1 1 0

0 0 0

       

(1.9)

(1.10)

Since from Hooke’s law it can be written that stress is proportional to strain, therefore,



τ ij =



αβ

Cijαβ eαβ

(1.11)

Where Cijαβ are called elastic stiffness constant. As stress and strain tensors are both symmetrical in nature, so these can be written in terms of a six component array and the elastic stiffness tensor reduces to a 6×6 matrix



τi =



m

Cimem

(1.12)

Strain Engineering in Modern FETs  7 In case of cubic crystal structure, the stiffness constant matrix in equation (1.12) becomes a simple form as [4],



    Cij =     

C11

C12

C12

0

0

0

C12

C11

C12

0

0

0

C12

C12

C11

0

0

0

0

0

0

C44

0

0

0

0

0

0

C44

0

0

0

0

0

0

C44

        

(1.13)

Introduction of strain technology to improve material parameters was theoretically published by several researchers in the 1950s [5–7]; however, this technology was implemented in transistor fabrication started only in the 1980s [8, 9]. The initial works of stress incorporation in the channel of a MOSFET structure was performed by using a SiGe virtual substrate and on top of that a thin Si layer was deposited as channel region [10]. The lattice mismatch at the interface of virtual substrate and thin channel layer creates a biaxial stress in the Si channel which reduces both the inter-valley scattering and effective mass of the carriers. As a result, the conductivity of carriers through the channel increases and transistor performance improves. When a thin semiconductor layer is grown on top of a semiconductor surface, then the induced biaxial stress has been theoretically expressed by several researchers and the strain tensor in this case becomes [4];



 ε xx  ε = 0  0 

0

0

ε xx

0

0

ε zz

    

(1.14)

However, the challenges with biaxial channel stress is that it creates traps and defects along the channel, performance loss at high vertical field along with the degradation of Si-SiGe interface quality due to out-diffusion of Ge from the virtual substrate region [11]. To overcome these challenges of biaxial stress, a new technique of channel stress incorporation was introduced where instead of using SiGe virtual substrate, the source and drain regions are embedded with SiGe material, such that stress is induced in the channel from the interfaces of source-channel and drain-channel interfaces [12]. The advantage of this new technique is that the induced stress in the channel is uniaxial, and it improves both hole and electron mobility in the high vertical field when compared with biaxial strained channel. In addition, the induced uniaxial stress also improves the drive current significantly in nano-scale MOSFETs [13]. Therefore, in the case of uniaxial channel stress, the lattice mismatch at channel-source/drain interfaces creates an induced stress towards the channel from the interfaces, which is directed predominantly in one direction, and for this induced stress, the uniaxial strain tensor takes the following form [4]:

8  Electrical and Electronic Devices, Circuits, and Materials

 ε xx  ε =  ε xy / 2   0



ε xy / 2

0

ε xx

0

0

ε zz

    

(1.15)

1.2.3 Impact of Strain on MOSFET Parameters The effect of strain-induced changes on semiconductor properties and CMOS device performances have long been studied extensively by researchers. Lim et al. [14] and Numata et al. [15] has modeled the impact of strain on the strained-Si band structure as:



 N   m * h, Si  (∆E C )s − Si = 0.57 ; (∆E g )s − Si = 0.4 x ; φt ln  V ,Si  = φt ln   m * h, s − Si   NV ,s − Si 

3

2

≈ 0.075 x (1.16)

Where x is the Ge mole fraction in Si(1-x)Gex substrate. Furthermore, in [15] and [16], the energy band parameters are also calculated for strained Si material and is given by,

(ΔEg)SiGe = 0.467x; NV,SiGe = (0.6x + 1.04(1 – x)) × 1019cm–3; εSiGe = 11.8 + 4.2x

(1.17)

Where (∆Eg)SiGe is the reduced band gap of SiGe from Si. Zhang and Fossum [17] have calculated the flat band voltage and built-in voltage across channel-source and drain-­ channel interface in MOSFETs where strained-Si have been used as channel material, and the flat band voltage is given by,

(VFB,f)s−Si = (VFB,f)Si + ΔVFB,f Where, (VFB,f )Si = ϕm - ϕSi ∆VFB , f = and, the built in voltage is given by,

(1.18)

  N −(∆EC )s−Si (∆E g )s− Si + − VT ln  V ,Si  q q  N V ,s− Si 

Vbi,s−Si = Vbi,Si + (ΔVbi)s−Si

(1.19)

−( E g )s−Si   N E g ,Si + VT ln  V ,Si  + φΦ F ,Si and (∆Vbi )s−Si = q 2q  N V ,s−Si  The induced channel strain (both uni-axial and bi-axial) modulates the threshold voltage of a MOSFET also. In [14], the strain induced threshold voltage shift is given by, Where Vbi ,Si =



 N (0)  q∆VT (σ ) = (m − 1) ∆E g (σ ) + kTln V ; for uniaxial tensile strain (1.20) N V (σ )  

Strain Engineering in Modern FETs  9 and



 N (0)  = ∆EC (σ ) + (m − 1) ∆E g (σ ) + kTln V ; for biaxial tensile strain (1.21) N V (σ )  

On the other hand, the impact of induced strain on the 2-D nature of electron in the inversion layer has been studied in [18] using Monte-Carlo simulation. For the behavior of holes in inversion layer of p-type strained silicon MOSFET, Michielis et al. [19] have proposed a new semi-analytical model and by using the new model, effective hole mobility has been calculated and validated with experimental data. Furthermore, Batwani et al. [20] have modeled the drain current under the influence of strain in the channel of a Si MOSFET and the expression of drift and diffusion component of output current are given by;



   γ α I drift = k1  VGB − VFB ,s−Si − φsm  (φsL − φs 0 ) − φs2L − φs20   2 2  

(

)

(1.22)

and

Idiff = k1.α.ϕt(ϕsL – ϕs0)

(1.23)

The strain engineering is explored by the researchers in modern FET structures also. Chatterjee et al. [21] have modeled the carrier transport in partially embedded strained channel of a Nanowire-Field Effect Transistor (NW-FET) and found that under tensile strain, the phonon scattering occurs at the cost of electron energy, though when compressive strain is applied, then the electron gains energy during such scattering. Thus, strain engineering is studied theoretically by several scientists for the last few decades and its impact is still under investigation for different new materials and device structures.

1.3 Simulation Studies in Strain Technology Although several researchers are investigating the impact of strain on different aspects of material science and device performance theoretically, before using this technique in fabrication, various fabrication and device parameters need to be checked to keep the fabrication cost and time under control and predicting the results properly. In this regard, various Technology Computer Aided Design (TCAD) simulation software is designed by various companies, like Synopsys, Silvaco, Crosslight, Nano TCAD ViDES etc., and are currently being used by both academic researchers and the fabrication industry. These software use several mathematical- and physics-based models to estimate the impact of any changes on material and device properties and accordingly predict the device performance. As an example, the introduction of stress modifies the lattice constants and consequently, effective mass, mobility of the carrier changes significantly. Furthermore, induced stress modifies the band structure of semiconductor materials also. Due to these variations of material,

10  Electrical and Electronic Devices, Circuits, and Materials several other depending parameters (e.g., conductivity, sensitivity, etc.) also vary and device performance parameters alter. The simulation software calculates the changed parameters of materials and device structure by using the mathematical and physical relationships among them. The researchers calibrate the simulator by validating the simulation data with the available experimental data to eliminate the errors, and then perform the required study in a virtual world. Thus, the simulators help to reduce the time of experiment, manufacturing cost and perform several studies with clear indicative results. In this section, several published simulation results will be discussed for better visual understanding of strain technology. Since the invention of the modern Field Effect Transistor by J. E. Lilienfeld [1], researchers have been exploring different techniques to improve its performance further. Strain technology is one such technique where the transistor performance can be improved significantly without increasing the fabrication cost and time. The author has studied the impact of strain on the performance of uniaxially strain channel planner MOSFET device by using both Process and Device TCAD simulators of Synopsys and has found that the introduction of strain not only increases the device drive current, but also device transconductance and threshold voltage have improved significantly [22]. The virtual device structure and results of the studies are presented in Figure 1.1. When the impact of biaxial stress on threshold voltage is studied, it has been found that channel doping modulation is required for such threshold voltage improvement, and as a result, half of the strain induced mobility improvement was lost [23]. After careful analysis of biaxial and uniaxial channel stress in MOSFET devices, scientists have found that uniaxial process-induced stress offers more benefits, in terms of fabrication cost and time, ease of implementation with standard CMOS fabrication process flow, etc., when compared with the substrate induced biaxial stress [4, 13, 23]. The advantages of uniaxial channel stress have been investigated in modern Fin channel Field Effect Transistors (FinFETs) by Xu et al. [24], and they have studied the impact of process-induced stress on the performance of aggressively scaled FinFET structure. The studies show that strain technology improves the modern transistor performance also and the work provides guidelines for optimizing FinFET stressor technology and performance enhancement trends for future technology nodes.

−1.2E−01

(a)

0.2

−6.0E−02

−2.0E−02

0.3

−0.1

0 0.1 Source to Drain (um)

0.2

0.137

0.35

−8.0E−02

−4.0E−02

0.140

0.40

(b)

Si source/drain Ge = 0.1 Ge = 0.2 Ge = 0.3

0.0E+00

0.0 −0.2 −0.4 −0.6 −0.8 −1.0 VD (volt)

0.134

0.30

(c)

0.25

Threshold Voltage

0.15 0.10

0.131 0.128

0.20

Transconductance

0

0.1 0.2 0.3 Ge mole Fraction

gm(max) (mS/um)

0.1

ID (mA/um)

−1.0E−01

0.45

VTh(V)

0

0.125 0.122

Figure 1.1  (a) The virtually fabricated p-MOSFET device structure (b) The output characteristics (ID - VD) of the transistor under study and (c) The variation of transconductance and threshold voltage of the p-MOSFET under study for different stressor material compositions [22].

Strain Engineering in Modern FETs  11 The improvement of FinFET drive current due to induced strain, is thoroughly studied by Yu et al. [25]. The authors have performed full 3D process, stress, and device level simulation to quantify the impact of stress on device performance. The data shows good agreement with experimental data and it shows that channel mobility is higher, when compared with planner unstrained MOSFET device, due to lower transverse electric field. Furthermore, the stress engineering in cutting-edge 7-nm technology node transistor devices are also explored by Suyog Gupta et al. [26] using detailed simulation studies. They have investigated Group-IV materials (Si, Ge, Sn and their alloys) as stressor material in the source-drain regions of the transistor and proposed a new FinFET based CMOS design. Their investigations provide a path to sustain the technological scaling with improved device performance. The effect of volume of the stressor material in the source-drain regions plays an important role to induce the channel stress. The present author has modulated the volume of stressor material (Si1-xGex) in Si as well as Ge FinFET device structures and the results are analyzed thoroughly [27, 28]. The study revealed that by changing the volume of SiGe material, in the fractionally filled embedded source-drain regions, the channel stress could be altered between tensile and compressive stress. The tensile channel stress is required to improve the performance of n- channel FET, and channel stress should be compressive in nature to improve p- channel FET performance [29]. In these works, for the first time a new technique has been proposed where without increasing any fabrication cost, the p- and n- channel FinFET performance improves by using strain technology in a suitable manner. The simulated device structure and channel stress variation for various SiGe length from channel interface inside source-drain regions of the study [27] are shown in Figure 1.2. The strain technology is used in various new FET device structures also. In this regard, the present author has proposed a new modified FinFET architecture, where two extended source regions are proposed, and the physics of operation are analyzed thoroughly by both theoretical calculation and TCAD simulation [30]. The virtually fabricated new device structure (MOD-FinFET) of [30] is presented below in Figure 1.3. The study also revealed that even in new device structures, strain technology provides more significant improvement than the conventional FinFET device having similar device foot print area, in terms of drive current, transconductance, and drain conductance.

SiGe SiGe Ge

(a)

SiGe

(b)

Stress (MPa)

Ge SiGe

800 700 600 500 400 300 200 100 0 45 −100 −200 −300

SiGe=50nm

SiGe=40nm

(c)

SiGe=30nm

65 75 85 +++55 +++ ++++++++++ Sige=25nm +++++++++++++++++++++ Sige=5nm Sige=15nm

95

Distance along channel (nm)

Figure 1.2  TCAD Simulated (a) Ge p-FinFET device with fractionally SiGe filled source-drain; (b) Same device with fully SiGe embedded source and drain; (c) Deviation of Longitudinal channel stress for different SiGe length from channel interface [28].

12  Electrical and Electronic Devices, Circuits, and Materials z

Channel

Source G2

G1

y

x

Gate (G1)

Source extension x

y

Gate (G2)

Channel Source extension

z

Drain extension Gate (G2)

Drain

Figure 1.3  The MOD-FinFET structure with dual source extensions [30].

1.0E-09

(a) −1.00 −0.75 −0.50 −0.25 Gate Voltage (V)

6.0 5.0 4.0

1.0E-11

3.0

1.0E-13 0.00

2.0

Conventional FinFET Mod-FitFET

Dual channel FinFET

8.0

Raised source/drain Mod FinFET

6.0

(b)

4.0 2.0

1.0

0.0

0.0 −1.00

−0.75 −0.50 −0.25 Gate Voltage (V)

0.00

Drain Current (uA)

1.0E-07

Single channel FinFET

Drain Current (uA)

1.0E-05

10.0

7.0

Drain Current (uA)

1.0E+01 Conventional 1.0E-01 FinFET Mod-FinFET 1.0E-03

1.00

−0.80

−0.60

−0.40

−0.20

0.00

Drain voltage (V)

Figure 1.4  Comparisons of (a) Id-Vg (Transfer characteristics) and; (b) Id-Vd (Output characteristics) curves for various FinFET and proposed MOD-FinFET structures, having similar footprint area [30].

The detailed results for the device are published in [30]; however, the performance comparison with conventional FinFET device is shown in Figure 1.4. Thus, several studies have been performed to quantify the impact of strain technology in modern nano-scale transistors (FETs) and the results clearly indicate that strain engineering will help to sustain the growth of technology in the coming years for both new materials and device structures.

1.4 Experimental Studies on Strain Technology Although the idea of strain technology first arrived in the 1950s [5–7], experimental implementation of strain engineering was performed in the 1980s [8, 9] when Si-SiGe hetero-junction devices were fabricated and change in carrier mobility and other

Strain Engineering in Modern FETs  13 parameters was analyzed. Later, in 1989, Harmand et al., studied the InAlAs/InGaAs hetero-junction growth on GaAs substrate and observed that the mismatch of lattice constants at the interface of different materials generate significant amount of stress and the carrier transport improves [31]. A major finding was observed by A. Hamada et al. [32], where the authors reported that in scaled MOSFET, the high vertical stress causes compressive surface stress that results in electron trapping in SiO2 gate dielectric medium and as a result, trap assisted gate tunneling leakage current increases. These results gave some important guidelines on the use of mechanical stress for enhancing transistor performance in sub-micron dimensions. Now, the strain engineering in planner MOSFET structure started with substrate induced biaxial stress [4, 29]. Although several research works were done by various scientists using this biaxial stress, however, due to several challenges, discussed in the earlier section, Ito et al. have proposed a new idea of introducing uniaxial tensile stress in the channel by Nitride capping layer [33] to improve n- channel MOSFET performance. For p- channel devices, Gannavaram et al. demonstrated that SiGe stressor material embedded sourcedrain regions induce compressive stress in the channel [34], and improves hole mobility in the channel. The new technique of introducing compressive channel stress to improve p- channel device performance led the scientists to explore more in this field of work, and in 2003, Ghani et al. of Intel fabricated a 90nm technology node MOSFET device [12] and reported several advantages of this SiGe embedded source-drain technology. Since then, several research works have been published on this field [4, 13, 23, 29] and the studies show that the lattice mismatch at the interface of source/drain and channel induces uniaxial compressive stress in the channel region of transistors. In [13], the authors have compared the performance of both uniaxial and biaxial channel stress in p- channel device and reported that, unlike biaxial stress, uniaxial channel stress helps to improve the mobility of holes more at low strain - high vertical field condition. The impact of uniaxial channel stress on SiGe embedded source-drain MOSFET device performance have been studied thoroughly by Fossum and Zhang [35] and reported that threshold voltage shift is almost 5× smaller when compared to unstrained devices and device speed increases almost 16% due to the induced uniaxial stress in the CMOS ring oscillator. In [36], Thompson et al. studied the strain induced mobility enhancement in planner MOSFET for both holes and electron by theoretical modeling and experimentally measurement. It has been observed that due to uniaxial stress, maximum mobility enhancement is found to be greater than 4× and 1.7× for holes and electrons respectively. The impact of strain engineering in non-volatile memory devices has been studied by Arghavani et al. [37] and it has been observed that the retention time is improved by tensile stress in n- channel devices, and degrades by the compressive stress in p- channel devices. The tensile stress improves the retention time due to change in barrier height at Si-SiO2 and conductivity effective mass. Various scientists are exploring the possible alternative of Si material in the channel region, and Xuan et al. [38] have reported that III-V compound semiconductor InGaAs could be the material with high mobility and improve performance. Furthermore, Yokoyama et al. [39] have shown that by introducing lateral strain in these III-V n- MOSFETs, performance can be improved further. However, for p- channel devices, T. J. Drummond et al. [40] have reported that biaxial stress needs to be applied in these InGaAs based quantum well devices to improve the hole mobility. There are many other reports available where several studies

14  Electrical and Electronic Devices, Circuits, and Materials are performed on strained III-V materials for possible usage as nanowire transistors, and it has been found that by modulating the strain at core and shell regions, these materials can be used for these modern FETs [41, 42]. Thus, the compatibility of strain engineering in III-V compound semiconductors are becoming an alternative for Group-IV materials for various high-speed applications in coming days. On the other hand, few researchers are also exploring thin films to generate a large amount of stress by the crystalline mismatch at substrate-thin film interface. Gilardi et al. [43] have shown the real-time monitoring of stress generation during the growth of thin films and presented a new measurement technique to measure the substrate curvature in strain engineering. There are other scientists exploring the possibilities of strain engineering in nanowire FETs. Li Song et al. [44] have explored the impact of strain engineering in Si nanowire and found that when ultra-large (> 10%) strain is applied along direction, the Si keeps an indirect band gap; however, when the strain is applied along and direction, it becomes direct band gap semiconductor. These results provide a significant insight of strain engineering in Si nanowire applications.

1.5 Summary and Future Scope In this chapter, the gradual development of strain technology has been discussed. Scientists have studied and found that by applying stress in a FET channel region, the transport properties of carriers can be improved. This improvement of carrier transport results in significant device performance improvement and these improvements are studied in detail by several researchers. Some of these are discussed in the present chapter. In section 1.2, various theoretical explorations by different scientists are included. Since the early 1950s, various researchers have studied the impact of strain on material and device properties and these observations are reported in various reputed journals. It has been found that by inducing strain, band structure of a material can be altered and as a result, the behavior of the materials changes too. Therefore, fundamental process of stress and strain calculations through theoretical analysis becomes very important. In this section, basic steps of strain matrix formation, two different types of strain, biaxial and uniaxial, formalism are discussed. These are the main stress components researchers have used for the last couple of decades in transistor to further explore their impact on device performance. In this regard, the impact of induced strain on device performance parameters is also explored by several scientists, and these calculations are also briefly included. In section 1.3, different studies are discussed where impact of induced strain on device performance is calculated by using different standard simulation software. In the last few decades various simulation software have been designed to reduce the fabrication cost and time while exploring new ideas. These software are well accepted by all communities and used in an efficient manner to predict the possible impact under different scenarios. The present author has also performed several studies on strain engineering in MOSFET and FinFET devices by using Synopsis TCAD process and device simulators and the results are briefly discussed in this section. In addition, published simulation results by various other authors are also included. In the following section 1.4, various experimental data published by scientists in reputed journals and conferences are discussed in brief. These results give an idea on the

Strain Engineering in Modern FETs  15 experimentally achievable data in this field of strain engineering. These experimental observations also indicate that the theoretical and simulated predictions are well calculated and by using this strain engineering technique, performance of modern nano-scale transistors can be improved significantly.

Future Scope The present chapter presents how strain technology has developed over the years. Starting from theoretical analysis, through various simulation and fabrication processes, the scientists have studied the physics of strain engineering and how it can be used in modern transistors to improve the performance. Although gradual miniaturization of transistor dimension was performed for several years to accommodate more transistors in a chip, with the challenges of low-dimensional transistor devices, scientists are looking for new device structures, materials, and technologies to sustain the growth. In this regard, various III-V semiconductor materials like InGaAs, GaAs, InP, etc., as well as several new twodimensional materials like Graphene, Molybdenum Sulfide (MoS2), Boron Nitride, etc., are under investigation for their superior characteristics compared to conventional group-IV semiconductors. In these materials, researchers are exploring the impact of induced stress by controlled manner; however, several studies need to be performed to reach a definite conclusion. Furthermore, in new device structures like Nanowire (NW) FETs, Quantum well (QW) FETs, 2D channel material FETs, etc., these strain-induced changes in device performances, need to be explored in detail. Plenty of studies need to be undertaken for future devices and with the help of previously published reports, these new analyses will lead to innovative new technologies and ideas.

Acknowledgement The author wants to mention special thanks to Prof. Hafizur Rahaman, Indian Institute Engineering Science and Technology (IIEST) - Shibpur, and Dr. Sanatan Chattopadhyay, University of Calcutta, India, for providing me several valuable suggestions and comments during the entire work.

References 1. J.E. Lilienfeld, US Patent 1, 745, 175, (1930, filled October 26, 1926), 1, 877, 840 (1932, filled December 8, 1928), and 1, 900, 018 (1933, filled March 28, 1928). 2. Moore G. E. (1965) Cramming more components onto integrated circuits. Electronics. 38. 114-117. 3. Sverdlov Viktor (2011) Strained Induced Effect in Advanced MOSFETs. Springer Wien. New York. US. 4. Sun Y., Thompson S. E., & Nishida T. (2010) Strain Effect in Semiconductors. Springer. New York. US. 5. Hall H.H., Bardeen J., & Pearson G.L. (1951) The effects of pressure and temperature on the resistance of p-n junctions in germanium. Phys. Rev. 84(1). 129–132.

16  Electrical and Electronic Devices, Circuits, and Materials 6. Smith CS. (1954) Piezoresistance effect in germanium and silicon. Phys Rev. 94. 42–49. 7. Herring C., & Vogt E. (1956) Transport and deformation-potential theory for many-valley semiconductors with anisotropic scattering. Phys Rev. 101. 944–961. 8. Manasevit HM, Gergis IS, & Jones AB. (1982) Electron mobility enhancement in epitaxial multilayer Si-Si1−xGex alloy films on (100) Si. Appl Phys Lett, 41. 464–466. 9. People R., Bean J.C., Lang D.V., Sergent A.M., Stormer H.L., Wecht, V, Lynch R.T., & Baldwin K. (1984) Modulation doping in GexSi1-x / Si strained layer heterostructures. Appl Phys Lett. 45. 1231. 10. Maity C. K, Bera L K, & Chattopadhyay S (1998) Topical Review: Strain Si heterostructure field effect Transistor. Semiconductor Science Technology. 13. 1225-1246. 11. Dalapati Goutam Kumar, Chattopadhyay Sanatan, Kwa Kelvin S. K., Olsen Sarah H., Tsang Y. L., Agaiby Rimoon, O’Neill Anthony G., Dobrosz Piotr, & Bull Steve J. (2006) Impact of strained-Si thickness and Ge out diffusion on gate oxide quality for strained-Si surface channel n-MOSFETs. IEEE Transaction on Electron Devices. 53(5). 1142–1152. 12. Ghani T., Armstrong M., Auth C., Bost M., Charvat P., Glass G., Hoffmann T., Johnson K., Kenyon C., Klaus J., McIntyre B., Mistry K., Murthy A., Sandford J., Silberstein M., Sivakumar S., Smith P., Zawadzki K., Thompson S., & Bohr M. (2003) A 90nm high volume manufacturing logic technology featuring novel 45nm gate length strained silicon CMOS transistors. IEEE Int. Electron Devices Meeting Tech. Digest. p.11.6.1. 13. Thompson S.E., Sun G., Wu K., Lim J., & Nishida, T. (2004) Key differences for process-induced uniaxial vs. substrate-induced biaxial stressed Si and Ge channel MOSFETs. IEEE Int. Electron Devices Meeting Tech. Digest. 221–224. 14. Lim J.S., Thompson S.E., & Fossum J.G. (2004) Comparison of threshold-voltage shifts for uniaxial and biaxial tensile-stressed n-MOSFETs. IEEE Electron Device Letters. 25. 731–733. 15. Numata T., Mizuno T., Tezuka T., Koga J., & Takagi, S. (2005). Control of threshold-voltage and short-channel effects in ultrathin strained-SOI CMOS devices. IEEE Trans. Electron Devices. 52(8). 1780–1786. 16. Takagi S., Hoyt J.L., Welser J.J., & Gibbons J.F. (1996) Comparative study of phonon limited mobility of 2 dimensional electrons in strained and unstrained Si MOSFET’s. J. Appl. Phys. 80. 1567. 17. Zhang W., & Fossum J.G. (2005) On the threshold voltage of strained-Si-Si1-xGex MOSFETs. IEEE Trans. Electron Devices. 52. 263–268. 18. Martin J. S., Bournel A., Monsef F., Chassat C., & Dollfus P. (2006) Multi sub-band Monte Carlo simulation of ultrathin double gate MOSFET with 2-D electron gas. Semicond. Sci. Technol. 21. 29–31. 19. Michielis M. D., Esseni D., Tsang Y. L., Palestri P., Selmi L., O’Neill A. G., & Chattopadhyay S. (2007) A Semianalytical Description of the Hole Band Structure in Inversion Layers for the Physically Based Modeling of pMOS Transistors. IEEE Trans. Electron Devices. 54. 2164-73. 20. Batwani H., Gaur M., & Jagadesh Kumar M. (2009) Analytical drain current model for nanoscale strained-Si/SiGe MOSFETs. COMPEL - International Journal for Computation and Mathematics in Electrical and Electronic Engineering. 28(2). 353-371. 21. Chatterjee S., Sikdar S., Chowdhury B. N., & Chattopadhyay S. (2019) Investigation of the performance of strain-engineered silicon nanowire field effect transistors (ε-Si-NWFET) on IOS substrates. Journal of Applied Physics. 125(8). 082506. 22. Sinha K, Rahaman H, & Chattopadhyay S. (2012). A Study on the Performance of Stress Induced p-channel MOSFETs with Embedded Si1-xGex Source/Drain. 5th International Conference on Computers and Devices for Communication (CODEC-2012). India. 1–4. 23. Thompson S. E, Sun G., Choi Y. S., & Nishida T. (2006). Uniaxial-Process-Induced Strained-Si: Extending the CMOS Roadmap. IEEE Transactions on Electron Devices. 53(5). 1010–1020.

Strain Engineering in Modern FETs  17 24. Xu N., Ho B., Choi M., Moroz V., & Liu T-J. K. (2012). Effectiveness of Stressors in Aggressively Scaled FinFETs. IEEE Transactions on Electron Devices. 59(6). 1592–1598. 25. Yu T-H, Ho J-H, Liu C-W, Wang C-C. Chen W-Y, Chen H-S, Wu K-H, Tu K-C, Hsieh W-H, Huang C-F, Shen T-M, Sheu Y-M, Wu J, & Diaz C.H. (2012) Improvement of Drive Current Prediction in FinFET using Full 3D Process/Stress/Device Simulations. International Conference on Simulation of Semiconductor Processes and Devices, (SISPAD ‘12). USA. 26. Gupta S., Moroz V., Smith L., Lu Q., & Saraswat, K.C. (2014) 7-nm FinFET CMOS Design Enabled by Stress Engineering Using Si, Ge, and Sn,. IEEE Transactions on Electron Devices. 61(5). 1222–1230. 27. Sinha K, Chattopadhyay S, Gupta P. S., & Rahaman H. (2017) A technique to incorporate both tensile and compressive channel stress in Ge FinFET architecture. Journal of Computational Electronics. 16(3). 620–630. 28. Sinha K, Gupta P. S., Rahaman H, & Chattopadhyay S. (2018) Incorporation of Tensile and Compressive channel Stress by Modulating SiGe Stressor length in Embedded Source/Drain Si-FinFET Architecture. IEEE Electron Device Kolkata Conference (EDKCON). India. 126–131. 29. Maity C. K., Chattopadhyay S., Bera L.K. (2007) Strained-Si Heterostructure Field Effect Devices. The Taylor & Francis Group. UK. 30. Sinha K, Gupta P. S., Chattopadhyay S, & Rahaman H, (2016) Investigating the performance of SiGe embedded dual source p-FinFET architecture. Superlattices and Microstructures. 98. 37–45. 31. Harmand J. C., Matsuno T., & Inoue K. (1989). Lattice-Mismatched Growth and Transport Properties of InAlAs/InGaAs Heterostructures on GaAs Substrates. Japanese Journal of Applied Physics. 28(2). Number 7. L1101. 32. Hamada A., Furusawa T., Saito N., & Takeda E., (1991) A new aspect of mechanical stress effects in scaled MOS devices. IEEE Transactions on Electron Devices. 38(4). 895-900. 33. Ito S., Namba H., Yamaguchi K., Hirata T., Ando K., Koyama S., Kuroki S., Ikezawa N., Suzuki T., Saitoh T., & Horiuchi T. (2000) Mechanical stress effect of etch-stop nitride and its impact on deep submicron transistor design. International Electron Devices Meeting IEEE. 247–250. 34. Gannavaram S., Pesovic N., & Ozturk C. (2000) Low temperature (800°c) recessed junction selective silicon–germanium source/drain technology for sub-70 nm CMOS. IEEE Int. Electron Devices Meeting Tech. Digest. 437–440. 35. Fossum J. G., & Zhang W. (2003) Performance projections of scaled CMOS devices and circuits with strained Si-on-SiGe channels. IEEE Trans Electron Devices. 50(4). 1042–1049. 36. Thompson S. E., Suthram S., Sun Y., Sun G., Parthasarathy S., Chu M., & Nishida, T. (2006). Future of Strained Si/Semiconductors in Nanoscale MOSFETs. International Electron Devices Meeting, San Francisco, CA, 1-4. 37. Arghavani R., Derhacobian N., Banthia V., Balseanu M., Ingle N., M’Saad H., Venkataraman S., Yieh E., Yuan Z., Xia L.-Q., Krivokapic Z., Aghoram U., MacWilliams K., & Thompson S. E. (2007). Strain Engineering to Improve Data Retention Time in Nonvolatile Memory. IEEE Trans Electron Devices, 54(2). 363–365. 38. Xuan Y., Shen T., Xu M., Wu Y. Q., & Ye P. D. (2008) High-performance surface channel In-rich In0.75Ga0.25As MOSFETs with ALD high-k as gate dielectric. IEEE International Electron Devices Meeting, San Francisco. 39. Yokoyama M., Yasuda T., Takagi H., Yamada H., Fukuhara N., Hata M., Sugiyama M., Nakano Y. Takenaka M., & Takagi S. (2009) High mobility metal S/D III-V-On-Insulator MOSFETs on a Si substrate using direct wafer bonding. Symposium on VLSI Technology, 242–243. 40. Drummond T. J., Zipperian T. E., Fritz I. J., Schirber J. E., & Plut, T. A. (1986) p-channel strained quantum well field-effect transistor. Appl. Phys. Lett. 49, 461. 41. Grivickas, P., McCluskey M. D., & Gupta Y. M. (2009) Transformation of GaAs into an indirect L-band-gap semiconductor under uniaxial strain. Phys. Rev. B, 80, 073201.

18  Electrical and Electronic Devices, Circuits, and Materials 42. Montazeri M., Fickenscher M, Smith L. M., Jackson H. E., Yarrison-Rice J., Kang J. H., Gao Q., Tan H. H., Jagadish C., Guo Y., Zou J., Pistol M–E., & Pryor C. E.. (2010) Direct Measure of Strain and Electronic Structure in GaAs/GaP Core−Shell Nanowires. Nano Letters 10 (3), 880-886. 43. Elisa G., Aline F., Thomas L., & Daniele P. (2019).Real-time monitoring of stress evolution during thin film growth by in situ substrate curvature measurement. Journal of Applied Physics. 125(8), 082513. 44. Li S., Chou J–P., Zhang H, Lu Y., & Hu A. (2019). A study of strain-induced indirect-direct bandgap transition for silicon nanowire applications. Journal of Applied Physics, 125(8), 082520.

2 Design and Optimization of Heterostructure Double Gate Tunneling Field Effect Transistor for Ultra Low Power Circuit and System Guenifi Naima1* and Shiromani Balmukund Rahi2 1

LEA Electronics Department, University Mostefa Benboulaid of Batna 2, Batna, Algeria 2 Department of Electrical Engineering, Indian Institute of Technology Kanpur, India

Abstract

Tunnel FET, a quantum device nowadays known as the best suitable candidate for the future of ultra-low-power applications, due to a distinct current transport mechanism, known as band-toband (B2B) tunneling. This device is recommended as a replacement for conventional Metal-OxideSemiconductor (MOS) FET due to limitation of subthreshold slope (i.e., SS < 60 mV/ dec at T = 300 K), a bottleneck issue for modern low-power design and process engineers. In this chapter, we have mainly focused on double gate (DG) TFET, having band engineering and high - k dielectrics. Due to successful implementation of both these scientific suggestions, the DG -TFET shows improved device characteristics in terms of current efficiency (ION), leakage current (IOFF), subthreshold slope (SS), ambipolar current (Iamb), transconductance (gm), transconductance efficiency (gm/IDS), switching response time (ιd), and power delay product (PDP). In this chapter, the transfer (Id -Vg), C - V and RF characteristics DG -TFET are investigated in detail. Keywords:  TFET, MOSFET, BTBT, SCEs, Kane’s model, high - k, subthreshold (SS), Ultra low power

2.1 Introduction Since the last few decades, the electronics industry has enjoyed conventional MOSFET due to aggressive scaling property. This “aggressive scaling” progress concept with conventional MOSFET, has also produced numerous challenges briefly known as short channel effects (SCEs) [1–5]. In addition, the current mechanism in MOSFETs is controlled by a drift-diffusion, a conventional charge transport phenomenon popularly known as thermionic emission of charge carriers in ultra-scaled MOSFETs [3–5]. The drift-diffusion of high-energy charge carriers in ultra-scaled MOSFETs follow the Fermi–Dirac distribution and hence have an energy slope of kT (where k is the Boltzmann constant, T is the absolute temperature). This is a technical reason that conventional MOSFET causes subthreshold slope limitation, SS > 60 mV/decade at room temperature. This technical barrier in the *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (19–36) © 2021 Scrivener Publishing LLC

19

20  Electrical and Electronic Devices, Circuits, and Materials progress path of electronic industry with conventional MOSFETs causes a bottleneck issue for semiconductor players. In addition, a quantum transport mechanism known as tunneling between band-to-band (B2B) in the ultra-scaled field effect devices, does not suffer such limitations imposed by the Boltzmann [3–8]. This transport mechanism allow further scaling of FET devices with scaled power supply (VDD) [9–12], which makes tunneling device the most promising alternative for the conventional MOSFETs for low-power circuit and system applications. Unlike conventional MOSFETs, TFETs are basically an asymmetrical source/drain highly doped FET device. The basic structure of TFET device is derived and developed by p-i-n diode [10, 11], containing two heavily doped degenerated semiconductor “p” and “n” regions and lightly doped intrinsic “i” region, respectively. It is commonly operated in reverse biased condition. The current generation in tunneling devices is enabled by the band-to-band (B2B) tunneling mechanism between the source to drain region via channel [13–17]. However, the lower switching current due to limited tunneling charge carriers in tunnel FET devices than conventional MOSFETs has become problematic for solving limitations of conventional MOSETs [3–8]. To obtain the improved electrical characteristics in terms of current efficiency (ION), leakage current (IOFF), subthreshold slope (SS), transconductance (gm), switching response time etc., several ideas have been proposed by scientists and semiconductor players. Gate dielectric and bandgap engineering were the most popular key ideas [18–25]. As per requirements and state-of-the-art modern ultra-low-power electronics, this chapter is dedicated to double gate tunnel FET (DG -TFET ), circuit and system design. In this chapter, we explore the idea of high-k dielectric engineering as well as band engineering concept with DG -TFET. A detailed investigation has been done for the requirements for ultra-low-power circuit and system design based on DG -TFET.

2.2 Fundamental of Device Physics 2.2.1 Basic Working Principles of TFET Tunnel FET is a type of field effect device in which current transport phenomena occur due to quantum tunneling between source and channel. The band-to-band (B2B) tunneling current (IDS) of TFET devices is governed by following Equation 2.1 [15–26]. The tunneling probability T(E) is given by WKB (Wentzel-Kramers- Brillouin) approximation.



  4 2 m * E g3/2 ε si tox t si  ∆φ I DS ∼ T ( E ) ∝  −   3 | q | h ( E g + ∆φ) ε ox

(2.1)



Here in Equation 2.1, m* is the effective mass of charge particle, Eg is band gap, ΔΦ is the energy range for B2B Tunneling window over which tunneling can take place. And collectively tox, tsi, εox, εsi known as device design parameters called the oxide and silicon films thickness and dielectric constants, respectively. The remaining constant such as “ћ” is called reduced Planck’s constant, “|q|” known as electronic charge. The tunneling window (ΔΦ) in the tunneling probability can be also expressed: ∆φ = EVch − ECS . As shown, Equation 2.1 has a unique property, which provides full facilities for a process engineer to optimize device

Design and Optimization of Heterostructure DG TFET  21 characteristics, which is useful for circuit design purpose for optimization of an advanced low-power circuit and system such as IoTs.

2.2.2 Kane’s Model The band-to-band (B2B) tunneling current (IBTBT) in tunneling FET can be obtained from the following Equation 2.2 [27–30].







IBTBT = q G(E )dv = qWL gate G(E)dx

(2.2)

As shown in Equation 2.2, W and Lgate are width and gate length TFET devices, respectively. The G (E) is generation rate of charge in tunneling FET. It is basically the number of the carriers per unit volume per unit time. The generation rate of charge carrier per unit volume per unit time can be calculated by the well-known Kane’s Model.



G(E ) = A

ED Eg

 E 3/2 exp  −B g

  E 

(2.3)

As shown in Equation 2.3 A, B and D are material dependent parameters of Kane’s model. E is the electric field in the device.

2.3 Analysis Approach and Device Parameters Practically, the estimation of device characteristics and performance of TFET is still time consuming and costly due to lack of rapid advancement in technology. The conventional procedure commonly used in electronic industry known as “Modeling and Simulation” is adopted for DG - TFET device. This is practically a more adequate procedure and a relatively inexpensive way to design electronic circuit and system. The results shown in this chapter are taken from Silvaco ATLASTM simulation. For accurate estimation of device performance, the non-local BTBT (BBT.NONLOCAL) model has been used to estimate the tunneling current in DG - TFET. In addition, SRH (ShokelyRead-Hall) recombination, auger recombination, trap-assisted tunneling (TAT) and band gap narrowing (BGN) model has been incorporated. Figure 2.1 shows the schematic view of double gate TFET (DG - TFET). As shown in Figure 2.1 in hetero DG - TFET, source and channel region contains heavily doped semiconductors with two different band gap semiconductors, Si (Eg~1.12eV) and GaAs (Eg~1.52eV). As per state-of-art of bandgap engineering, at the interface region of Si/ GaAs, the effective bandgap (Eg effective) is slightly smaller than homo Si or GaAs. According to Equation 2.1, this reduced Eg effective increases the tunneling probability, results larger tunneling current in hetero TFET than homo TFET. The representative schematic diagram of hetero and homo DG - TFET is shown in Figure 2.1(a) and Figure 2.1(b) containing Si/ GaAs/GaAs and Si/Si/Si semiconductor materials in source, channel and the drain region,

22  Electrical and Electronic Devices, Circuits, and Materials HfO2

100 nm

G

HfO2

S

100 nm

G

S Si

GaAs

100 nm

GaAs

Si

2 nm D

50 nm

100 nm

G (a)

2 nm

Si

Si

D

50 nm G (b)

Figure 2.1  Schematic of double gate (a) hetero structure (b) homostructure tunnel FET.

respectively. For the improvement of electrostatic behavior DG - TFET, suggested by K. Boucart et al. [26], here HfO2 dielectric has been used as a key gate material. As shown in Figure 2.1 the DG -TFET device, which is calibrated with simulated structure with a channel length (Lch) of 50 nm, ultra-thin body (tsi) of 10 nm. Table 2.1 summarizes all device physical parameters used during device simulation. For double gate TFET, shown in Figure 2.1(a) and Figure 2.1(b), gate dielectric, 2.0 nm thickness with HfO2 (high-k) has been used. The thickness of silicon channel has been taken to be 10.0 nm, while whole channel length, i.e., from source to drain region, has been taken as 50.0 nm. A uniform doping of 1.0 × 1020 cm–3 and 5.0 × 1018 cm–3 have been used for the drain and source regions, respectively. The work function for gate material corresponding to this region is set to 5.2 eV. All simulation results of the DG – TFET presented in the chapter and design have been carried out using Silvaco/ATLAS device simulator version 3.1.20.1.R in Windows 7 operating system environment. The fine meshing tunneling in the regions where BTBT mainly Table 2.1  Device design parameters for simulation of (a) hetero structure (b) homostructure tunneling FET. Physical parameters

Nomenclature

Numerical value

ϕM

Work function (eV)

5.2

NS

Doping levels for source (cm-3)

1.1 × 1020

ND

Doping level for Drain (cm-3)

5.1 × 1018

NC

Doping level for channel (cm-3)

1015

tox

Gate oxide material thickness (nm)

2.0

Lt

Total length of the device (nm)

250.0

Lch

Channel length (nm)

50.0

tSi

Silicon film thickness (nm)

10.0

LS/LD

Source and drain lengths (nm)

100.0

Design and Optimization of Heterostructure DG TFET  23 takes place were defined. Mesh size = 5 × 10-4 μm at interface source/channel and mesh size = 10-3 μm far of interface. To obtain a better convergence and a low computation time, Newton’s numerical method based on iteration was chosen. To analyse in high-frequency (HF) performance parameter of device the gate of transistor was attacked with a - signal AC of low amplitude at a frequency equals to 1 MHz. All analysis was performed in 3D under engineering logiciel MATLAB and Excel.

2.4 Switching Behavior of TFET Nowadays TFET devices have become the most popular switching device among semiconductor players. This is due to the fact that TFET devices have capability to overcome the limitation conventional MOSFET, i.e., smaller subthreshold slope (< 60mV/decade at room temperature) and sharp switching capability. Another useful feature of TFET is process and materials compatibility with conventional CMOS technology. This compatibility of TFET devices and TFET-based technology is the key feature, which will reduce the product development cost and fulfil the requirement of ultra-low power in terms of time, cast and quality. It is basically a gate controlled, p-i-n based field effect switching device, commonly worked under reversed base condition [3–8]. The switching behavior of TFET is completely different than conventional MOSFETs. In summary, if the applied voltage on gate terminal, VGS < VTH. TFET is ideally in an off position. Ideally, no current can flow in the device due to large tunneling distance. Note that, VTH is the minimum required voltage for device required to tunneling of charge carriers from source to drain via channel. This minimum required voltage in TFET causes proper band alignment for tunneling of charges from source to drain via charnel. This VTH, i.e., threshold voltage is not the same as for conventional MOSFETs [26–28]. If the applied voltage on gate terminal (i.e., VGS) increases sufficiently then VTH, i.e., VGS > VTH. TFET is in the on position. Now the device is switched from the off-state to the on-state. It has been evident that the electric field inside the tunneling region has become significantly large due to increased gate voltage, VGS. This causes a band shifting due to applied forces, and results in reduction of tunneling barrier (λ). Thus, the gradual enhancement of the gate bias (VGS) degrades the sufficient barrier width (λ) and causes an increased tunneling of carriers. Figure 2.2 and Figure 2.3 show the energy band diagram estimated for homo structure DG -TFET and hetero structure DG -TFET shown in Figure 2.1. As shown in Figure 2.2 and Figure 2.3, when applied gate voltage, VGS = 0.0 V, the device is in an off-state with large tunnel barrier width λ, and therefore the charge carrier, electrons do not have enough energy to move from the valance band of the source to the conduction band of the channel. As the gate voltage VGS increases, on application of sufficiently high gate voltage (i.e., VGS = 1.5 V and VDS = 0.5 V), the tunneling barrier (λ) reduces significantly and the device switches to on-state, as indicated in Figure 2.2 and Figure 2.3. From Figure 2.2 and Figure 2.3, it is clearly observed that hetero structure double gate DG -TFET, having smaller tunneling width λ than homo structure DG -TFET. For hetero and homo structured double gate TFET, tunneling with λhetero ~ 0.05 µm and λhomo ~ 0.056 µm is calculated by obtained simulation. This is because of misalignment between two bandgap materials Si (Eg~1.12eV) and GaAs (Eg~1.52eV).

Energy Band (eV)

24  Electrical and Electronic Devices, Circuits, and Materials Source 1.2 Channel 1.0 Si Si 0.8 0.6 Band-pass window 0.4 0.2 0.0 e−0.2 −0.4 −0.6 λ −0.8 −1.0 −1.2 −1.4 T = 300 K −1.6

0.00

0.05

Drain Si BV_OFF_Homo BC_OFF_Homo BV_ON_Homo BC_ON_Homo

Tunneling junction VGS = 1.50 V VDS = 0.50 V

0.10 0.15 Distance (µm)

0.20

0.25

Figure 2.2  Energy band-diagram (OFF-State and ON-State) for homo DG -TFET contains source, channel and drain region silicon materials.

1.5

Energy Band (eV)

1.0

Channel GaAs

Source Si

Drain GaAs BV_OFF_Hetero BC_OFF_Hetero BV_ON_Hetero BC_ON_Hetero

0.5 Band-pass window 0.0 −0.5



−1.0 −1.5

Tunneling junction VGS = 1.50 V VDS = 0.50 V

T = 300 K

−2.0 0.00

0.05

0.15 0.10 Distance (µm)

0.20

0.25

Figure 2.3  Energy band-diagram (OFF-State and ON-State) for hetero structure DG -TFET contains source, channel and drain region Si, GaAs semiconductor materials.

Table 2.2 summarizes the extracted design parameters for DG - TFET, shown in Figure 2.1(a) and Figure 2.1(b). As shown in Table 2.2, all the design parameters of DG -TFET such as ION, IOFF, ION/IOFF and SS have improved significantly as per requirements while ambipolar current (Iamb) is reduced drastically.

2.5 Results and Discussion The drain current (IDS) in the DG -TFET, which is estimated by B2B tunneling and governed by popularly known as Kane’s model [18, 19]. The tunneling probability of charge carried

Design and Optimization of Heterostructure DG TFET  25 Table 2.2  Lists of computed electrical parameters of double gate (a) hetero structure (b) homo structure tunneling FET. High - k dielectric (HfO2 ≈ 25) Design parameter

Homo - DG -TFET

Hetero - DG -TFET

ION (A/µm)

4.0 × 10

4.0 × 10-6

IOFF (A/µm)

1.0 × 10-18

1.0 × 10-20

ION/IOFF

4.0 × 1012

4.0 × 1014

SS (mV/dec)

39. 68

34.25

Iamb(A/µm)

5.0 × 10-11

1.0 × 10-19

-6

Drain Current (A/µm)

in tunnel-based devices is estimated by the known WKB model written as Equation 2.1 [25–27]. These popular models show strong dependency of tunneling transport phenomena on device geometry, materials and induced electric field inside the device. Both popular Equations (2.1 & 2.2) provide sufficient facilities in term of process and technology to optimize device characteristics and performance by choice of appropriate device geometry and materials. The most common technique suggested by a device expert is gate dielectric engineering. In this technique, instead of SiO2 (k ≈ 3.9), other popular gate dielectric materials, for example, Si3N4 (k ≈ 12), ZrO2 (k ≈ 24) and HfO2 (k ≈ 25) are commonly used. The used high - k dielectric materials, instead of SiO2, increases the internal electric field, improves the electrostatic performance of TFETs [19, 26]. Figure 2.4 and Figure 2.5 show the impact of high k gate dielectic on DG -TFET. Both Figures (2.4 & 2.5) indicate an even higher on-current (ION) and decreased subthreshold swing (SS) with higher high - k dielectric materials. Table 2.3 and Table 2.4, have the extracted device design parameters of DG - TFET. During

1E−5 1E−6 1E−7 1E−8 1E−9 1E−10 1E−11 1E−12 1E−13 1E−14 1E−15 1E−16 1E−17 1E−18 1E−19

HfO2 ZrO2 Si3N4 SiO2

VDS = 0.5 V tox = 2 nm Si/Si/Si 0.0

0.5

1.0 1.5 2.0 Gate Voltage (V)

Figure 2.4  Transfer characteristic of homo structure double gate TFET.

2.5

3.0

Drain Current (A/µm)

26  Electrical and Electronic Devices, Circuits, and Materials 1E−5 1E−6 1E−7 1E−8 1E−9 1E−10 1E−11 1E−12 1E−13 1E−14 1E−15 1E−16 1E−17 1E−18 1E−19 1E−20 1E−21 1E−22

HfO2 ZrO2 Si3N4 SiO2 VDS = 0.5 V tox = 2 nm

Si/GaAs/GaAs 0.0

0.5

1.0 1.5 Gate Voltage (V)

2.0

2.5

3.0

Figure 2.5  Transfer characteristic of hetero structure double gate TFET.

Table 2.3  Lists of computed design parameters of the homo structure DG -TFET with various gate dielectric materials. Gate dielectric material (Homo structure Si/Si/Si) Design parameters

HfO2(k ≈ 25)

ZrO2(k ≈ 24)

Si3N4(k ≈ 12)

SiO2(k ≈ 3.9)

Vth(V)

0.55

0.55

0.80

0.85

SS(mV/decade)

39.68

39.71

42.73

45.00

ION(A/µm)

4.0 × 10-6

3.90 × 10-6

5.00 × 10-7

1.00 × 10-7

IOFF(A/µm)

1.00 × 10-18

1.00 × 10-18

1.00 × 10-18

1.00 × 10-18

ION/IOFF

4.00 × 1012

3.90 × 1013

5.0 × 1011

1.00 × 1011

Table 2.4  Lists of computed design parameters of the hetero structure DG -TFET with various gate dielectric materials. Gate dielectric materials (Hetero structure Si/GaAs/GaAs) Design parameters

HfO2(k ≈ 25)

ZrO2(k ≈ 24)

Si3N4(k ≈ 12)

SiO2(k ≈ 3.9)

Vth(V)

0.5

0.5

0.7

0.75

SS(mV/decade)

34.25

34.27

40.65

44.25

ION(A/µm)

4.00 × 10-6

3.90 × 10-6

2.00 × 10-7

2.00 × 10-8

IOFF(A/µm)

1.00 × 10-20

1.00 × 10-19

1.00 × 10-19

1.00 × 10-19

ION/IOFF

4.00 × 1014

3.90 × 1014

2.0 × 1012

2.00 × 1011

Design and Optimization of Heterostructure DG TFET  27

Drain Current (A/µm)

investigation and from Table 2.3 and Table 2.4, it has been observed that the various design parameters such as ION, IOFF , ION/IOFF , SS, Iamb for both homo and hetero DG -TFET have been improved. But hetero DG -TFET shows superiority than homo DG -TFET due to improved electric field with reduced effective tunneling bandgap. As shown in Figure 2.2 and Figure 2.3, this is possible due to improved electric field inside the device and reduced effective bandgap inside the tunneling region at the interface of Si/GaAs. This effective reduced bandgap in case of hetero DG -TFET, reduces the tunneling window as shown in Figure 2.3. Ideally in off-state, there is no current flow in TFET, due to large tunneling width (λ). But in practical case, few charge particles pass the λ, in off-state condition, resulting in smaller IOFF current. But practically the magnitude of the off current in case of TFET is smaller than MOSFETs. On the other hand, when applied VGS sufficiently large, tunneling barrier λ, between source and channel reduce significantly and sufficient number of charge particles to pass from source to drain via channel, resulting in ION current. Interestingly, when applied VGS is negatively high, the tunneling barrier width between the channel and drain narrows, which induces tunneling current [17–22]. The particular state of TFET device is known as ambipolar state and the amount of current following in this state is known as ambipolar (Iamb), as shown in Figure 2.6. Figure 2.6 is dedicated for study of ambimiparity behaviour of DG -TFET, an unwanted conduction known as malfunction. Figure 2.6 shows the comparision of ambipolar property of homo and hetero structure DG - TFET. The result shows that, DG -TFET with adopted technology shows suppression of the ambipolar current (Iamb) without deteriorating analog, and transient performance. From Figure 2.6, it has been observed, with the help of 2-D. TCAD simulation that, the ambipolar current (Iamb) is suppressed by 108 order of magnitude in proposed Si/GaAs/GaAs hetero DG - TFET as compared to Si/Si/Si homo DG -TFET up to the applied gate voltage of VGS = − 3.0V the step of gate voltage was taken equal to 0.5V.

1E−5 1E−6 VDS = 0.5 V 1E−7 1E−8 1E−9 Iamp = 5.10−11 A/µm 1E−10 1E−11 1E−12 1E−13 Oxide: HfO2 1E−14 1E−15 tox = 2 nm 1E−16 T = 300 K 1E−17 Iamp = 10−19 A/µm 1E−18 Si/GaAs/GaAs 1E−19 1E−20 Si/Si/Si 1E−21 1E−22 −3.5 −3.0 −2.5−2.0 −1.5 −1.0 −0.5 0.0 0.5 1.0 1.5 2.0 2.5 3.0 3.5 Gate Voltage (V)

Figure 2.6  Comparision of ambipolar current vs. applied gate drive voltage (VGS) for homo and hetero structure double gate tunnel FET.

28  Electrical and Electronic Devices, Circuits, and Materials Figure 2.7 shows the impact of drain voltage (VDS) on DG -TFET. From Figure 2.7, it is clearly evident that applied drain voltage (VDS) has negligible impact on TFET performance. This is strong evidence that TFET is almost free from DIBL (Drain Induced Barrier Lower). This is a strong recommendation for replacement of conventional low-power device, circuit and system with TFETs and TFET-based circuit and system design. The transconductance (gm) represents amplification ability of device and important design parameter of circuit and system design. It is defined as the slope of the transfer characteristic. The gm value can be calculated by mathematical Equation 2.4. Figure 2.8

1.6E−6

(a)

1.4E−6 1.2E−6 Drain Current (A/µm)

VDS = 0.1 V

tox = 2 nm

VDS = 0.2 V

Si/GaAs/GaAs

VDS = 0.3 V

1.0E−6

VDS = 0.4 V

8.0E−7

VDS = 0.5 V

6.0E−7

VDS = 0.6 V VDS = 0.7 V

4.0E−7

VDS = 0.9 V

2.0E−7

VDS = 1.0 V

Drain Current (A/µm)

0.0 0.0

1E−05 1E−06 1E−07 1E−08 1E−09 1E−10 1E−11 1E−12 1E−13 1E−14 1E−15 1E−16 1E−17 1E−18 1E−19 1E−20 1E−21

1.5 1.0 Gate Voltage (V)

0.5

(b)

2.0

2.5

3.0

tox = 2 nm VDS = 0.1 V

Si/GaAs/GaAs

VDS = 0.2 V VDS = 0.3 V VDS = 0.4 V VDS = 0.5 V VDS = 0.6 V VDS = 0.7 V VDS = 0.9 V VDS = 1.0 V 0.0

0.5

1.0

1.5

2.0

2.5

3.0

Gate Voltage (V)

Figure 2.7  Device transfer characteristics for double gate N- TFET hetero structure with a variation of VDS plot (a) linear (b) Semilog.

Design and Optimization of Heterostructure DG TFET  29 1.8E−6

Transconductance (S/µm)

1.6E−6

Oxide: HfO2

1.4E−6

VDS = 0.5 V

1.2E−6

tox = 2 nm

1.0E−6 8.0E−7 6.0E−7 4.0E−7

Si/GaAs/GaAs Si/Si/Si

2.0E−7 0.0 −2.0E−7

0.0

0.5

1.0 1.5 Gate Voltage (V)

2.0

2.5

3.0

Figure 2.8  Sensitivity of transconductance (gm) with applied gate voltage (VGS) and comperision between double gate hetero and homo structure DG -TFET.

shows the variation of gm versus applied VGS. From Figure 2.8, it has been observed that gm value decreases with decrease of applied gate voltage, VGS that is due smaller tunneling current at lower VGS. Figure 2.9 shows 3D visualization of transconductance, cut-frequency and applied gate voltage (gm, fT, VGS). From Figure 2.9 and Figure 2.10, clearly, it has been observed that the hetero and homo structures: transconductance, cut-frequency (gm, fT) increase rapidly as external applied gate voltage VGS increases. The maximum gm-hetero value of the hetero DG -TFET ~1.6 μS/μm and fT-hetero ~ 0.65 GHz and gm-homo ~1.4 μS/μm fT-homo ~ 0.55GHz. The

0.7

Cut Frequency (GHz)

0.6 0.5

Oxide: HfO2 Si/AsGa/AsGa

tox = 2.0 nm VDS = 0.5 V

0.4 0.3 0.2 0.1 0 1.5

1

0.5

Transconductance (µS/µm)

0

3

2.5

2

1.5

1

0.5

0

Gate Voltage (V)

Figure 2.9  3D - transconductance (gm), cut-frequency (fT) and applied gate drive voltage (VGS) of hetero DG -TFET.

30  Electrical and Electronic Devices, Circuits, and Materials 0.6

Cut Frequency (GHz)

0.5

Oxide: HfO2 Si/Si/Si

tox = 2.0 nm

0.4

VDS = 0.5 V

0.3 0.2 0.1 0 1.5

1 0.5 Transconductance (µS/µm)

0

3

2

1 Gate Voltage (V)

0

Figure 2.10  3D - transconductance (gm), cut-frequency (fT) and applied gate drive voltage (VGS) of homo DG -TFET.

gm-hetero > gm-homo due to smaller effective tunneling barrier width (i.e. λ hetero ~ 0.05 µm< λ hetero ~0 .056 µm), shown in Figure 2.2 and Figure 2.3

gm =



dI DS dVGS

(2.4)

Figure 2.11 shows the variation of exiting capacitances of hetero and homo DG -TFET with applied gate voltage (VGS). The gate-gate capacitance is mainly composed of two capacitances between, gate-drain (Cgd), gate-source (Cgs) and gate-gate (Cgg). The gatesource capacitance (Cgs) is lower because of the presence of the tunnel effect, the gate-drain

5.0E−15 CGS_Hetero Capacitance (F/µm)

4.0E−15

Si/Si/Si

CGD_Hetero CGG_Hetero

3.0E−15

Si/GaAs/GaAs

CGS_Homo

2.0E−15 1.0E−15

CGD_Homo

VDS = 0.5 V

CGG_Homo

tox = 2 nm

f = 1.0 MHz

Oxide: HfO2 T = 300 K

0.0 0.0

0.5

1.0 1.5 2.0 Gate Voltage (V)

2.5

3.0

Figure 2.11  The varibility of C-V characteristics with applied gate drive voltage (VGS) and compersion of homo and hetero structure DG -TFET.

Design and Optimization of Heterostructure DG TFET  31 capacitance (Cgd) is a dominant capacitance due to the accumulation of the electrons of the source-channel and collected by the drain region. As an important circuit design parameter, the cut-off frequency (fT) is used to evaluate the frequency characteristics of electronic devices. It can be obtained by the ratio of gm to Cgg, with following relation, Equation 2.5

fT =



gm gm = 2π(C gs + C gd ) 2π(C gg )

(2.5)

Figure 2.11 shows a variability study of available capacitance on DG -TFET with applied VGS. From Figure 2.11, it has been observed that, as the applied gate voltage increases (VGS), the cut-off frequency (fT) increases to reach its maximum, then with increasing Cgg, it goes down, when the gate voltage (VGS) reaches 2.0 V the cut-off frequency (fT) becomes constant. This is because the on-state current (ION) and its derivative, gm value increase with the electronic B2B tunneling. The cut-off frequency (fT) of hetero DG-TFET is much larger than that of homo (i.e. fT - hetero~ 0.65 GHz > fT - homo~ 0.55GHz); this is due to smaller Cgg of hetero DG - TFET and the larger of the gm value than homo DG -TFET. This observation is verified by obtained results, shown in Figure (2.8 & 2.11). From these figures, it has been observed that the obtained results predicts decreased gate capacitance with decreased applied gate voltage (VGS), as depicted in Figure 2.11, which gives the variation of the gate capacitance with VGS. It should be noted that the capacitances of TFET is bias-dependent. That is to say, the decrement rate of the gate capacitance with frequency is bias-dependent. The gain bandwidth (GBW) product is an important design parameter in the analysis of RF characteristics, which can be calculated by the Equation 2.6. All the results of the simulation are summarized in Table 2.5. As shown in Figure 2.12 and Figure 2.13, there is a variation of gm and GWB versus applied gate voltage (VGS) i.e., (gm, GWB vs. VGS) and compression for homo and hetero DG -TFET. The variation of gm, GWB with VGS is similar to variation of gm, fT with VGS from Figure 2.12 and Figure 2.13; clearly, it has been observed that hetero and homo structures transconductance, gain bandwidth product (gm, GWB) increase rapidly as external applied gate voltage VGS increases.

GWB =



gm 2π(C gd )

(2.6)

The maximum, GWB hetero ~ 0.66 GHz and GWB homo ~ 0.49 GHz. Another design parameter, the transconductance efficiency (gm/IDS) of for DG - TFET, shown in Figure 2.14, has Table 2.5  Lists of the computed RF parameters of the hetero and homo DG-TFET. VDS = 0.5 V High-k gate dielectric HfO2 (≈ 25)

Cut-off frequency (fT)

GBW (GHz)

Hetero structure

~ 0.65 GHz

0.66 GHz

Homo structure

~ 0.55GHz

0.49 GHz

32  Electrical and Electronic Devices, Circuits, and Materials 0.7 0.6

GBW (GHz)

Si/GaAs/GaAs

Oxide: HfO2 VDS = 0.5 V tOX = 2 nm

0.5 0.4 0.3 0.2 0.1 0 1.5

1 0.5 0

Transconductance (µS/µm)

2.5

3

0

0.5

1

1.5

2

Gate Voltage (V)

Figure 2.12  3D - transconductance (gm), GBW and applied gate drive voltage (VGS) of hetero DG -TFET.

GBW (GHz)

0.6 0.4 0.2 0 1.4

Si/Si/Si

Oxide: HfO2 VDS = 0.5 V tox = 2 nm

1.2

1

0.8

1

0.6

0.4 Transconductance (µS/µm)

0.2

0 3

2.5

2

0.5

0

1.5 Gate Voltage (V)

Figure 2.13  3D - transconductance (gm), GBW and applied gate drive voltage (VGS) of homo DG -TFET.

been calculated. The factor gm/IDS plays a primary role in the behavior of the device; it shows the rate of amplification of the transistor. The gm/IDS of TFETs is dependent on several factors such as drain current (IDS) and SS, barrier tunnel with (λ) i.e., applied gate voltage (VGS). Figure 2.14 shows the gm/IDS dependence of applied gate voltage (VGS). As shown in Figure 2.14, gm/IDS increases until it reaches a maximum value (around the threshold voltage). Figure 2.14 shows (gm/IDS) hetero > (gm/IDS) homo. The maximum value of gm/IDS ~ 285.84 V-1 for hetero structure while ~ 241.01 V-1 for homo respectively. Another important performance parameter for RF analysis is transit time (ιd) estimated by Equation 2.7. The Equation 2.7 indicates that the delay time is inversely proportional to the cut-off frequency (fT) i.e., the cut-off frequency increases as the transition time decreases. It is the time taken by the charge carriers (electrons) to cross the channel. Figure 2.15 shows the dependency of applied gate drive voltage (VGS) vs. delay time (ιd) and compression of delay time between homo and hetero structure DG - TFET.

Design and Optimization of Heterostructure DG TFET  33 3.0E2

Oxide: HfO2

2.5E2 2.0E2 gm/IDS (V-1)

Si/GaAs/GaAs Si/Si/Si

tOX = 2nm

1.5E2 1.0E2 VDS = 0.5 V

5.0E1 0.0 −5.0E1 0.0

0.5

1.0

2.0 1.5 Gate Voltage (V)

2.5

3.0

Delay time td (PicoSecond)

Figure 2.14  Transconductance efficiency, gm/IDS with applied gate voltage (VGS) and comparison between double gate hetero and homo structure DG -TFET.

600

Oxide: HfO2

500

tox = 2 nm f = 1.0 MHz

400 300

Si/Si/Si 200

VDS = 0.5 V

Si/GaAs/GaAs

T = 300 K

100 0

0.0

0.5

1.0 1.5 2.0 Gate Voltage (V)

2.5

3.0

Figure 2.15  Sensitivity of delay time with applied gate voltage (VGS) and comparison between double gate hetero and homo structure DG -TFET.

From Figure 2.15, it has been evident that ιd- hetero< ιd- homo and shows, dealy time with applied gate voltage (VGS) is less sensitive than homo DG-TFT. This is a strong recommendation for low power applications.



τd =

1 2πfT

(2.7)

Figure 2.16 shows the sensitivity of power delay product (PDP) with applied VGS and a comparison between double gate hetero and homo structure DG -TFET. In term of digital

34  Electrical and Electronic Devices, Circuits, and Materials

Power Delay Product (Watt)

1.20E−015

GaAs/GaAs Si/Si/SiSi

1.00E−015 8.00E−016 6.00E−016

Oxide: HfO2 tox = 2 nm VDS = 0.5 V

f = 1.0 MHz

T = 300 K

4.00E−016 2.00E−016 0.00E+000 0.0

0.5

1.0

1.5 2.0 Gate Voltage (V)

2.5

3.0

Figure 2.16  Sensitivity of power delay product (PDP) with applied gate voltage (VGS) and comparison between double gate hetero and homo structure DG -TFET.

circuit design, power delay product is an important design parameter, correlated with the energy efficiency. From Figure 2.16, it has been observed that PDP is strongly affected with the variation of gate drive voltage (VGS). Lowering the supply voltage (VDS = 0.5V),  lowers the PDP in hetero structure DG -TFET.

2.6 Conclusion In conclusion, the present chapter summarizes the obtained results by popular device analysis technique, modeling and simulation of DG -TFET. For obtaining excellent performance of DG -TFET, the gate dielectric and band engineering technique has been implemented successfully and its effect on the DC, CV and switching performance have been investigated thoroughly. The DG - TFET shows the excellent device and circuit design characteristics in term of switching current (ION) ~ 4.00 × 10-6 A/µm, leakage current (IOFF) ~ 1.00 × 10-20A/ µm, steep subthreshold swing (SS) ~34.25 mV/decade, ION/IOFF ~ 1014 for HfO2 (k ≈ 25) gate dielectric materials. The bandgap engineering approach in the conventional DG - TFET with high - k gate dielectrics is useful for reduction of ambipolar current (Iamb). During investigation, the suppression of the ambipolar current Iamb ~108 A/µm times has been observed. The TFET-based design with hetero DG - TFET shows better transconductance efficiency (gm/IDS) than homo DG - TFET. The maximum cut-off frequency (fT) ~ 0.65 GHz and GBW ~ 0.66 GHz have obtained the goal of the RF applications. The hetero DG -TFET shows a smaller power delay product (PDP) ~1.1×10-15 watt and delay time (td) order of pico range (~ 600 Picoseconds). The obtained results during investigation for the proposed design supposed to the usability in the field of digital and analog applications in terms of circuit and system design with ultra-low-power applications.

Design and Optimization of Heterostructure DG TFET  35

Acknowledgement Special thanks to Prof. S. C. Mishra, Indian Institute of Technology Kanpur, India, for providing us with valuable suggestions and comments.

References 1. T. Masuhara, IEEE Asian Solid-State Circuits Conference, Jeju, pp. 5-8, 2011. 2. R. -. Yan, A. Ourmazd and K. F. Lee, IEEE Transactions on Electron Devices, Vol. 39, pp. 1704 1710, 1992. 3. A. M. Ionescu, IEEE International Electron Devices Meeting (IEDM), San Francisco, CA, pp. 1.2.1-1.2.8, 2017. 4. U. E. Avci, D. H. Morris and I. A. Young, IEEE Journal of the Electron Devices Society, Vol. 3, pp. 88-95, 2015. 5. J. W. Lee and W. Y. Choi, IEEE Access, Vol. 8, pp. 67617-67624, 2020. 6. W. Y. Choi, B. Park, J. D. Lee and T. K. Liu, IEEE Electron Device Letters, Vol. 28, pp. 743-745, 2007. 7. K. Narimani  et al.,  Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Athens, pp. 75-78, 2017. 8. W. Cao, D. Sarkar, Y. Khatami, J. Kang, J. and K. Banerjee,  AIP Advances, Vol 4, pp. 067141, 2014. 9. S. Yang, H. Lv, B. Lu, S. Yan and Y. Zhang, IEEE Access, Vol. 8, pp. 23559-23567, 2020. 10. W. Li and J. C. S. Woo, IEEE Transactions on Electron Devices, Vol. 67, pp. 1480-1484, 2020. 11. N. Guenifi, S.B. Rahi, and T. Ghodbane, Materials Focus, Vol. 7, pp. 866-872, 2018. 12. S.B. Rahi, B. Ghosh, RSC Advances, Vol. 5, pp. 54544-54550, 2015. 13. A. C. Seabaugh and Q. Zhang, Proceedings of the IEEE, Vol. 98, pp. 2095-2110, 2010. 14. K. M. Choi and W. Y. Choi, IEEE Electron Device Letters, Vol. 34, pp. 942-944, 2013. 15. S.B. Rahi, P. Asthana & S. Gupta, Journal of Computational Electronics, Vol. 16, pp. 30-38, 2017. 16. S.B. Rahi, B. Ghosh and B. Bishnoi, Journal of Semiconductors, Vol.36, pp: 034002_1-034002_5, 2015. 17. D. Sarkar, X. Xie, W. Liu, et al., Nature, Vol. 526, pp. 91–95, 2015. 18. A.M. Ionescu, and H. Riel, Nature, Vol. 479, pp.329-337, 2011. 19. E.H. Toh, G.H.Wang, G. Samudra, and Y.C.Yeo,  Applied Physics Letters,  Vol. 90, pp. 263507e1-263507-3,2007. 20. A. C. Seabaugh and Q. Zhang, Proceedings of the IEEE, Vol. 98, pp. 2095-2110, 2010. 21. I. A. Pindoo, S. K. Sinha and S. L. Tripathi, International Conference on Cutting-edge Technologies in Engineering (ICon-CuTE), Uttar Pradesh, India, pp. 28-32, 2019. 22. H. Liu, S. Datta and V. Narayanan, International Symposium on Low Power Electronics and Design (ISLPED), Beijing, pp. 145-150, 2013. 23. W. Cheng et al., IEEE Journal of the Electron Devices Society, Vol. 8, pp. 336-340, 2020. 24. A. S. Verhulst, D. Leonelli, R. Rooyackers and G. Groeseneken,  Journal of Applied Physics, Vol. 110, pp. 024510, 2011. 25. B. Sedighi, X. S. Hu, H. Liu, J. J. Nahas and M. Niemier, IEEE Transactions on Circuits and Systems, Vol. 62, pp. 39-48, 2015. 26. K. Boucart and A. M. Ionescu, IEEE Transactions on Electron Devices, Vol. 54, pp. 1725-1733, July 2007.

36  Electrical and Electronic Devices, Circuits, and Materials 27. S. Datta, H. Liu, and V. Narayanan, Microelectronics Reliability, Vol. 54, pp. 861-874, 2014. 28. X. Wang, Z. Tang, L. Cao, J. Li and Y. Liu,  IEEE Access, Vol. 7, pp. 100675-100683, 2019. 29. E. O. Kane, Journal of Physics and Chemistry of Solids, Vol. 12, pp. 181–188, 1959. 30. W. G. Vandenberghe, A. S. Verhulst, G. Groeseneken, B. Soree and W. Magnus,  MELECON 2008 - The 14th IEEE Mediterranean Electro technical Conference, Ajaccio, 2008, pp. 923-928.

3 Polymer Electrolytes: Development and Supercapacitor Application Anil Arya1*, Anurag Gaur2 and A. L. Sharma1 Department of Physics, Central University of Punjab, Bathinda, Punjab, India Departmet of Physics, National Institute of Technology, Kurukshetra, Haryana, India 1

2

Abstract

Due to the increasing demand for energy globally and the reduction of the traditional energy sources, the development of an efficient and sustainable energy source has grabbed the attention of researchers. So, supercapacitor (SC) is a crucial energy storage device that has gained attention in the energy sector. One important part of any SC cell is the electrolyte. The electrolyte plays an important role in the ion migration in the device between electrodes. Hence, polymer electrolytes are fascinating candidates and fulfil the need due to better mechanical properties and ion dynamics. Consequently, the present chapter will start with a brief introduction to supercapacitors, followed by characteristics of electrolyte, types and modification strategies for the electrolyte. Further, the important preparation techniques and advanced characterization techniques are briefed. Finally, some important developments made using the polymer electrolytes for SC cell are presented (publications and patents). Keywords:  Polymer electrolytes, energy storage devices, supercapacitor, hybrid capacitor, energy density etc.

3.1 Introduction The global energy crisis and depletion of traditional sources of energy (coal, fuel), has captured the attention of the scientific community and is the biggest global challenge that needs to be resolved in the 21st century. It is a top priority to switch from non-renewable to renewable sources of energy (hydro, wind, solar) which are superior in terms of efficiency, sustainability, and long service life. Energy from various sources needs to be stored somewhere and used on-demand. Two important emerging technological candidates are battery and supercapacitor. The task for the scientific community is towards up-gradation of electrochemical energy storage devices (supercapacitors, batteries) and making them efficient to reduce dependency on traditional sources of energy. From traditional capacitors to the supercapacitors available nowadays, the battery is a crucial energy storage device. Among them, Supercapacitors (SC) emerged as a promising alternative to a traditional capacitor having a low capacity and the battery having low power density [1, 2]. The low energy *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (37–66) © 2021 Scrivener Publishing LLC

37

38  Electrical and Electronic Devices, Circuits, and Materials density in SC is due to charge storage limited to the surface, so this is an important parameter that is the focus of research. The energy density of SC is related to the specific capacitance of the electrode materials and the voltage window of the cell. The voltage window of the cell is linked to the electrochemical voltage stability window of the electrolyte used. The strategy has been built to develop novel electrodes with high surface area, high porosity and high effective interaction area for charge storage. Along with this, different charge storage mechanisms have been developed to enhance the overall cell capacitance by tailoring the cell configuration (symmetric/asymmetric/hybrid) and electrode material (carbon/metal oxide/sulfide) [3–5]. In conclusion, research is focused on the development of novel electrode and electrolyte materials as well as tailoring of the existing materials to enhance the electrochemical performance of the SC cell. The present chapter describes the important development in the field of polymer electrolytes of application in the supercapacitor. The characteristics of polymer electrolytes will be discussed; then the selection criteria for the polymer, salt and additives will be the focus of discussion, followed by the classification of polymer electrolytes.

3.1.1 The Basic Principle and Types of Supercapacitors A supercapacitor is an electrochemical device and is used to store energy and lies between the traditional capacitors and battery. The key advantages of SC are high power density, fast-charge discharge, high-performance stability, and long cyclic stability/life ( 106 cycles). Along with these features, only one drawback needs to be explored, the low energy density of SC. A lot of research efforts have been demonstrated to increase the energy density of SC by tuning the electrode material, electrolyte, and device structure. Figure 3.1a shows the history of the supercapacitor worldwide [6]. The supercapacitor performance is influenced by the electrode material, electrolyte, and separator. These are further linked to the performance parameter of the SC cell examined by different characterization techniques. Figure 3.1b shows the schematic diagram which highlights the relation between different performance metrics, the major affecting factors, and the corresponding test methods. For clarity and good visibility to readers, several color schemes are employed. Three core parameters are highlighted in yellow; the power and energy densities in dark blue; time constant and cycling stability in light orange; all the important affecting factors in light purple; and the corresponding test methods in white [7]. The supercapacitor is different from the traditional capacitor or electrostatic capacitors as shown in Figure 3.2a. Depending on the charge storage mechanism, electrode material, electrolyte, and cell design are classified into three types. SC store energy and charge storage phenomena is an important criterion that decides SC performance. On the basis of the charge storage mechanism, SC is of three types [8]: Electric double-layer capacitors (EDLCs), where the capacitance is produced by the electrostatic charge separation (no charge transport between electrode and electrolyte) at the interface between the electrode and the electrolyte (Figure 3.2b). To maximize the charge storage capacity, the electrode materials are usually made from highly porous carbon materials for achieving (1) maximum internal surface area. The charge absorption capability is generally 0.17-0.20 electrons per atom at an accessible surface [9, 10].

Polymer Electrolytes  39 (2) Pseudocapacitors, which rely on fast and reversible faradaic redox reactions to store the charges at the electrode/electrolyte interface and are generally oxides/ sulfides (Figure 3.2c). This is Faradic in origin and yields a charge absorption capability of ~2.5 electrons per atom at the accessible surface [10–12]. (3) Hybrid ESs, is a combination of the two: electrical double-layer (EDL) and faradaic mechanisms. It is also termed as an asymmetric supercapacitor. While, if one electrode material is a battery type such as PbO2, then the device is a hybrid SC. SC has a high power density and low energy density. So, various strategies have been adopted by researchers to improve the energy density of the SC cell. Novel cell design (symmetric,

Russia has developed electric cars powered by supercapacitors 1996

2004

German MAM develops supercapacitors for hybrid electric vehicles

Shanghai 11th has become the first commercial supercapacitor bus line in the world 2006

Russia and Finland jointly develop flexible supercapacitors

2014

2009 2010

2018 2019

Shanghai Zhangjiang High-tech Park has completed the world’s first supercapacitor bus and fast charging station system. (a)

Nano Tecture Developed Supercapacitors for Hybrid Electric Vehicles

Experimental Electrode setup Thickness

Electrode Density

Shanghai Auvi Supercapacitor Bus is on its way for the first time in Sofia, Bulgaria

Active Material

Packaging

Current Collector

Mass Loading

Specific Capacitance

Electrolyte Material

R ES Operating Voltage

IR drop

CV Curve Nyquist Plot

Time Constant

(b)

Dwelling time Additives

Cell Configuration

CCCD Plot

The United States has developed a new type of supercapacitor that can be recharged when needed

Max Energy Density

Max Power Density

Usable Energy Density

Usable Power Density Cell Performance

AC @ 1 kHz AC by Interpolation

Cycling Stability

Figure 3.1  (a) The development of supercapacitors in different countries [Reproduced with permission from Ref. [6], © AIP Publishing 2019]. (b) An illustration of key performance metrics, test methods, major affecting factors for the evaluation of SCs [Reproduced with permission from Ref. [7], © Wiley 2014].

40  Electrical and Electronic Devices, Circuits, and Materials Electrode

(a)

Electrolyte

(b)

Separator

Dielectric

Porous electrode material (carbon) Current collector anion

cation Electrostatic capacitor

Electrical double-layer capacitor

(c) M

+n

Electrolyte

Separator

(d)

Li+ ion

Positive

M+n-1 M+n

Pseudocapacitive electrode materials Current collector

M+n-1 cation

Negative

AC

Pseudocapacitor

Graphite anion

Lithium ion capacitor

Figure 3.2  Schematic diagram of (a) an electrostatic capacitor, (b) an electric double-layer capacitor, (c) a pseudocapacitor, and (d) a hybrid-capacitor [Reproduced with permission from Ref. [12], © Royal Society of Chemistry 2015].

asymmetric, hybrid), cell voltage (E ∝ V2) and synthesizing new electrode nanostructures and electrolyte material opens new doors of opportunity to researchers. Figure 3.3 depicts the overview of the different strategies used to improve the energy density of the SC cell [13].

3.1.2 Key Characteristics of the Electrolyte In general, the electrolytes for the SC application need to follow some requirements: (1) broad potential window; (2) high ionic conductivity; (3) broad operating temperature range; (4) non-volatile and non-flammable nature; (5) better chemical and electrochemical stability; (6) chemically inert toward SC cell components such as electrodes, current collectors; and (7) cost-effective and environmentally friendly. The prepared polymer electrolyte needs to be examined on the basis of the characteristic parameter that influences the morphological, structural and electrical properties [14–16]. These important parameters are influenced by the host polymer, salt and nanofiller addition. This section discusses the important parameters.

Morphology and Crystallinity Fast ion dynamics in polymer electrolytes is facilitated by high amorphous content and is examined before going ahead for electrical properties. The X-ray diffraction (XRD) and differential scanning calorimetry (DSC) are techniques to estimate the degree of crystallinity (ΧC).

Polymer Electrolytes  41 Nanostructuring

Device structure Parallel plate

3D structures

Particle size vs capacity

Voltage

Power density

Aqueous asymmetric cells

Current collector

Carbons

Coin Laminate

Patterned

Fibre

Improved ionic pathway

Shape

Cylindrical

High-voltage ionic liquids

Materials with high conductivity

Chemical and physical activation

Carbon onions

Rapid charge and discharge

Carbon nanotubes

Composites

Doping with heteroatoms

EDLC and Faradaic

Redox-active electrolytes

EDLC and battery

MXenes (metal carbides and nitrides)

Li-ion capacitors

Pore size distribution

Faradaic reactions

Electrolytes with high Intercalation ionic conductivity pseudo-capacitance

Graphene

Reducing contact resistance

Redox-flow supercapacitors

Activated carbon

Separators with fast ion transport

Metal oxides and hydroxides

Templated carbon

Transition metal dichalcogenides

Carbon aerogel

Conducting polymers

Carbide-derived carbon

Figure 3.3  Strategies for improving the energy density of supercapacitors [Reproduced with permission from Ref. [13], © Springer Nature 2016].

It provides information about the crystalline and amorphous content in the polymer matrix. From XRD degree of crystallinity is evaluated from the area of crystalline (AC) and AC amorphous peaks (AA) using expression; X C = . In DSC the melting enthalpy of AC + A A o crystalline host polymer (ΔHm) and polymer matrix ∆H m are used to evaluate the degree ∆Hm of crystallinity (ΧC) via expression; X c = ×100. ∆Hom

(

)

Ionic Conductivity The ion dynamics in the polymer matrix is examined by evaluating the ionic conductivity ni z i µ i (ni is number of free charge carriers, zi, is ion and is expressed by relation; σ =



charge, and & μi is ion mobility). Ionic conductivity is linked with number of free charge carriers available in the polymer matrix and ion mobility. The ionic conductivity is examined via complex impedance spectroscopy (CIS) technique by applying ac signal (10-100 mV) across the cell assembly SS||PE||SS (SS refers to stainless steel electrode). From the obtained Nyquist plot (Z vs. Z ), bulk resistance (Rb) is extracted from the intercept on the t real axis and ionic conductivity is obtained through this equation; σ = ; where ‘t’ is the R bA thickness of the polymer electrolyte (PE) film, A is the area of the SS electrodes and Rb is the bulk resistance. The ionic conductivity also varies with temperature. The increase of temperature thermally activates the charge carriers and lowers the activation energy or potential barrier required for ion migration. The variation of ionic conductivity with temperature follows

42  Electrical and Electronic Devices, Circuits, and Materials three behaviors depending upon temperature range: (i) Arrhenius behavior, (ii) VogelTamman-Fulcher (VTF) behavior, and (iii) Williams-Landel-Ferry (WLF) behavior [17–22]. Arrhenius behavior The increase of temperature in the polymer matrix thermally activates the charge carriers and increase in flexibility leads to fast ion migration via coordinating sites. This collectively favors the ion dynamics and Arrhenius’s behavior suggests the ion transport occurs via hopping mechanism. This behavior dominates when the temperature is lower than the glass transition temperature (Tg) [18]. To explore it further, activation energy is evaluated and the lower value of the activation energy is favorable for fast ion dynamics and hence promotes higher ionic conductivity. The activation energy (Ea) is slope of linear-least square fitting of  E  the log σvs. 1/T plot by Arrhenius equation and is expressed as; σ = σ oexp  − a  [Here, σo  kT  is pre-exponential factor, k is Boltzmann constant]. Vogel-Tamman-Fulcher (VTF) behavior The VTF σ vs. 1/T plot is the non-linear plot and ion transport occurs via the segmental motion of the polymer chain coupled with hopping. The ion diffusion within the polymer matrix occurs via the availability of free volume that is delivered by the polymer chains. The thermally activated charge carriers cross the potential barrier and contribute to conduction  B  . Here, σ is the ionic conductivity, [19, 20]. The VTF equation is; σ = AT −1/ 2 exp  −  T − To  A is the pre-exponential factor, B is a constant, and To is the temperature close to the Tg of material (where entropy is zero).

Cation/Ion Transference Number As in polymer electrolytes, the main contribution is from the ion migration. So, the cation (t+) and ion (tion) transference number is evaluated to check the exact contribution from ions and cation through cell configuration (SS|PE|SS), SS refers to stainless steel. The former is determined by a combination of ac impedance & d. c. polarization technique, while the latter is obtained from dc Wagner’s polarization technique [23, 24]. The cation transI (V − Ii R i ) ; [V is the applied voltage ference number (t+) is obtained via relation; t Li + = s Ii (V − IsR s ) across cell configuration, Ii and Is are the initial and steady-state currents, Ri, and Rs are the interfacial resistance before and after polarization]. The ion (tion) transference number is (I − I ) obtained via equation; t ion = t e × 100; [It and Ie are the total current and the residual It current respectively and are related as it = iion + ielec].

Electrochemical Stability Window (ESW) The voltage window of the electrolyte is a very significant parameter that needs to be examined before adopting polymer electrolytes for SC application. The energy density & capacity of the SC cell are directly linked with the voltage widow of electrolytes or devices. The

Polymer Electrolytes  43 voltage stability window is examined by the linear sweep voltammetry (LSV) technique which is plot of current vs. voltage. At any particular voltage onset of current occur and this is breakdown voltage or electrochemical stability window for that electrolyte.

3.1.3 Polymer Electrolytes and Types Traditional energy storage devices use the liquid electrolytes and that restricts the shape geometry of the device and hence practical applications. The enhanced demand for flexible devices motivated the scientific community to search for suitable electrolyte material that can serve the purpose of flexible devices. So, polymer electrolytes emerged as a suitable candidate due to intrinsic flexibility in polymer and it completely matches with the need of future devices. The first report that mentioned the ionic conduction in polymer electrolytes was published by Wright and co-workers in 1973. It shows that the polymer host polyethylene oxide (PEO) mixed with alkali metal salts (NaI) demonstrates the enhanced conductivity than the pure polymer, and it opened the door for researchers to develop new material and explore the ion transport mechanism. Later on, in the early 1980s, Prof. Armand demonstrated the technological importance of the polymer electrolytes over existing electrolytes [25]. So, a polymer electrolyte comprises polymer and metal salt. This metal salt get dissociated in cation and anions by interaction with solvent and polymer chain. The electron rich group in polymer chain provides coordinating sites to cation for migration and cation jump from one coordinating site to another on application of filed (hopping mechanism). Anion due to large radii remains in immobilized state and hangs with the polymer backbone. Figure 3.4 demonstrates the ion dynamics via hopping mechanism in polymer matrix [26]. The important component to the polymer electrolytes is the polymer host, salt, solvent, and nanoparticle. So, selection criteria need to be followed for developing suitable polymer electrolyte with optimum structural, electrical as well as mechanical properties [27]. In the following section, the important characteristics of the various components of the polymer electrolytes are discussed (Table 3.1) [16, 28, 29].

Intrachain hopping

Intrachain hopping via ion cluster + − +

+

+

Interchain hopping

+ − +

Interchain hopping via ion cluster +

+

+ + −

− +

+ − + − +

Figure 3.4  Mechanism of ion transport in PEO [Reproduced with permission from Ref. [26], © Royal Society of Chemistry 2015].

44  Electrical and Electronic Devices, Circuits, and Materials Table 3.1  Fundamental characteristics of various constituents of the polymer electrolyte matrix. [Reproduced with permission from Ref. [16], © IOP Publishing 2017]. Polymer Host • • • • •

Low glass transition temperature (Tg) High molecular weight, and Low Viscosity High degradation temperature High Dielectric Constant Have electron rich group (O, N)

Plasticizer • • • • •

Low Melting Point and High Boiling Point High dielectric constant, and Low viscosity Inert and cost-effective Good Safety Nontoxic Nature

Solvent

Nanofiller

• • • • •

• High Polarity, Low Melting, & High Boiling Point • Safe, cost-effective and Non-toxic • Environmental friendly, and Inert to All Cell Components. • High Dielectric Constant for better salt dissociation

Abundant, and Non Aqueous in Nature Low Melting Point, and Low Viscosity Large Flash Point High Dielectric Constant Good Solubility for Polymer and Salt

Salt

Nanoclay

• Low Lattice Energy, and High Ionic Conductivity • High Mobility, and Broad Voltage Stability Window • Smaller cation radii • Large Anion radii • High Thermal and Chemical Stability • Large Transference Number • Inert Towards Cell Components

• Layered/unique structure with high aspect ratio ( 1000). • Greater ability for intercalation and swelling • High swelling index & High cation exchange capacity (CEC) ( 80 meq/100 g) • High external/internal surface area ( 31.82 m2 g−1) • Appropriate interlayer charge ( 0.55) • Adjustable hydrophilic/hydrophobic balance

Ionic Liquid

Nanorod/Nanowire/Nanobelt

• Good Thermal stability and broad Wide electrochemical stability • Low Melting Point, and viscosity • Negligible Volatility, Vapor Pressure • High Ionic Conductivity • High Polarity, and High Dielectric Constant • Non-flammability

• • • • • • •

High aspect ratio Easily alignment perpendicular to electrodes Oxygen vacancies on the surface for cation Less agglomeration at high content High thermal stability Broad voltage stability window Better chemical stability

Polymer Host The polymer host is a long chain of a polymer having an electron-rich group (oxygen, nitrogen) in the chain. These coordinating sites provide the path to the ion migration after the application of the electric field. The flexibility and number of sites influence the ion migration as well as salt dissociation.

Polymer Electrolytes  45 Properties of Salt The addition of suitable salt is important as it directly affects the ion migration. For better electrical properties, salt must be dissociated completely in the cation and anion. The salt interacts with the electron-rich group of the polymer chain as well as with hydrogen in the polymer backbone. This results in the availability of free ions that contributes the conductivity. The important properties of the salt are lattice energy, cation radii, and non-toxic nature. The low lattice energy suggests the easier salt dissociation, and hence more free charge carriers while smaller cation radii favor the faster migration via coordinating sites. Also, the ionic conductivity and the voltage stability window of the salt needs to be checked first. In general, smaller cation radii and larger anion radii salt are chosen for optimum electrical properties. Properties of Solvent Both polymer host and salt are to be added in some solvent for developing polymer salt matrix. So, the solvent needs to have high dielectric constant, low viscosity, inert toward device components. The polymer chain interacts with salt and gets stretched insolvent that makes it easier for an ion to interact with the polymer chain. The high dielectric constant favors the better salt dissociation and also suppress the ion-pair formation. Properties of Ionic Liquid Ionic liquids (ILs) are molten salts (of three types aprotic, protic and zwitter) with bulky anion that improves the salt dissociation in the polymer matrix. The ionic radii of anion influence the electrical properties of the polymer matrix. Also, by changing the cation and anion structure, IL can be modified as per requirement. Properties of Plasticizer The incorporation of the low molecular weight plasticizer (EC, DEC, PEG, DMF) is an innovative approach to suppress the polymer crystallinity and enhance the ionic conductivity as well as flexibility (i.e., low Tg; glass transition temperature). The plasticizer penetrates the polymer chains and reduces the cohesive forces between polymer chains which leads to enhanced segmental motion of the polymer chain. The enhanced segmental motion and improved free volume collectively enhance the ionic conductivity. Properties of Nanofiller Nanoparticles addition modifies the properties of the host matrix. So, the addition of nanoparticles of different morphology (spherical, wire, rod) has been examined for the development of suitable polymer electrolytes. The addition of nanoparticles enhances the salt dissociation due to surface group on the surface. The Lewis acid-base type indications with the salt and polymer results in enhanced polymer flexibility and more free charge carriers. The surface area is linked with the morphology of the nanofiller. The road and wire morphology provides a higher surface area for interaction and demonstrates faster ion migration than spherical morphology. The oxygen in the surface group (--OH) of nanofiller also provides additional conducting sites for ion migration along with sites provided by polymer chains. The nanoclay addition is also a beneficial approach and nanoclay with high cation exchange capacity is effective in enhancing ion dynamics.

46  Electrical and Electronic Devices, Circuits, and Materials The nanoclay having a negative surface charge on clay layers allows polymer penetration inside it and accommodates cation coordinates polymer chain inside. It allows the cation migration by stopping the anions outside clay gallery owing to large anion size. It also suppresses the ion-pair formation tendency.

3.1.4 Modification Strategies for Polymer Electrolytes Depending upon the addition of ionic liquid, plasticizer and nanofiller polymer electrolytes are categorized in three types, (i) ionic liquid-based polymer electrolyte, (ii) gel polymer electrolyte and (iii) solid/composite polymer electrolyte. The ionic liquid-based polymer electrolytes have polymer salt matrix with ILs incorporated in it. The IL increases the polymer flexibility and helps in salt dissociation. The gel polymer electrolytes (GPE) consist of plasticizer (EC, PC, DMF) in the polymer salt matrix. This type provides improved shape flexibility, enhanced electrical property and safety as compared to the ionic liquid. It enables the simultaneous presence of polymer matrix cohesive properties and liquid electrolytes type ion diffusion. The solid polymer electrolyte (SPE) is a solvent-free system and comprises polymer host, salt and nanofiller. The electron rich group in polymer matrix provides the coordinating sites for cation migration and nanofiller enhances salt dissociation along with modifying the polymer chain via Lewis acid-base interactions. The solid (dispersed) polymer electrolytes are prepared by the addition of inorganic insulating nanofiller (Active and passive) such as LiO, Al2O3, SiO2, and TiO2. The high surface area with OH group of nanofiller effectively alters the polymer chain arrangement and also supports salt dissociation. The nanoparticle of various morphology such as nanorod, nanowire is effective due to higher surface area. The addition of nanoclay has also emerged as an attractive approach termed as a solid (intercalated) polymer electrolyte [30]. Figure 3.5 shows the different types of polymer electrolytes. Table 3.2 shows some important polymer electrolytes and their characteristics.

Plasticizer

EC, PC DEC, DMC, DMF

Polymer Electrolytes

Ionic Liquid

Nanofiller

Nanoclay Montmorillonite (MMT), kaolinite, saponite

Figure 3.5  Types of additive based polymer electrolytes.

[BMIm][BF4], [BMIm][NTf2], [BMPy][NTf2]

TiO2, BaTiO3, CeO2, SiO2, ZnO

Polymer Electrolytes  47 Table 3.2  Properties of mostly used polymer host in polymer electrolytes. Abbreviation

Polymer name

Tg

Tm

Formula

PEO

Poly(ethylene) Oxide

-67

65

(-CH2CH2O-)n

PMMA

Poly (methyl methacrylate) 

105

160

(C5O2H8)n

PAN

Poly(acrylonitrile)

125

317

(C3H3N)n

PVA

Poly(vinyl alcohol)

85

230

(C2H4O)

PVC

Poly(vinyl chloride)

81

160

(C2H3Cl)n

PDMS

Poly(dimethylsiloxane)

-127

-40

–[SiO(-CH3)2]n

PVdF

Poly(vinylidene fluoride)

-40

171

–(CH2CF2)n–

PVdF-HFP

Poly(vinylidene fluoridehexafluoropropylene)

-65

135

–(CH2CF2)n [CF2CF(CF3)]m-

PEMA

poly (ethyl methacrylate)

66

160

 [CH2C(CH3)(CO2C2H5)]n

3.2 Preparation and Characterization Techniques The preparation of polymer electrolytes (PE) and characterization is an important part. The polymer electrolytes are prepared by solution cast technique, in-situ polymerization, Phase separation/inversion method, electrospinning technology, spin coating, melt intercalation, and hot press method. The structural and morphological examination is done by X-ray diffraction, Field emission scanning electron microscopy, and transmission electron microscopy. The electrical properties of PE like ionic conductivity, voltage stability window, and ion/cation transport number are evaluated from the impedance spectroscopy technique. The thermal properties of the PE are examined by thermogravimetric analysis and differential scanning calorimetry. The characterizations are summarized in Table 3.3.

Performance Parameters for Supercapacitors The suitability of the prepared polymer electrolyte as an electrolyte in the supercapacitor cell is examined by evaluating the characteristic parameter. These parameters play a significant role and are specific capacitance, resistance (bulk, charge transfer), energy density, power density, capacity retention, and coulombic efficiency. The important techniques are complex impedance spectroscopy (CIS), cyclic voltammetry (CV), and galvanostatic charge/discharge (GCD). EIS (F) as obtained from impedance spectrosThe overall capacitance of the cell is C Overall copy using equation 3.1



EIS COverall =

1 2 × π × f × Z ′′

(3.1)

48  Electrical and Electronic Devices, Circuits, and Materials Table 3.3  Selected separator characterization techniques with examples for extracted parameters [Reprinted with permission from Ref. [31], © Springer Nature 2019]. Imaging techniques

Non-imaging techniques

Type of analysis

Parameters extracted

Tomographic analysis

Morphology • Porosity • Tortuosity • Pore dimensions

FIB-SEM tomography

• Porosity • Tortuosity • Pore dimensions

Electrochemical analysis Linear sweep voltammetry and cyclic voltammetry

Electrochemical stability

Electrochemical impedance spectroscopy

• Mac Mullin number via bulk electrolyte conductivity σ and effective electrolyte conductivity σsep • Transport parameters (Diffusion coefficient, ion mobility, viscosity)

Potentiostatic polarization combined with electrochemical impedance spectroscopy

Lithium-ion transference number according to Bruce–Vincent method

Spectroscopic and diffractive methods (OR may be considered basic characterizations) NMR

Transport properties • Diffusion coefficients • Conductivity • Transference number

X-ray diffraction

Structural composition • Degree of crystallinity • Crystallite size/interchain separation

Thermomechanical analysis Compressive loading

Effective membrane moduli • Young’s modulus • Flow stress

Thermo-gravimetric analysis and differential scanning calorimetry

Brittleness and stability • Ductile-to-brittle transition temperature • Melting temperature • Glass transition temperature • Crystallinity

Polymer Electrolytes  49 Here, where f is the frequency in Hz and Z is the imaginary part of the complex impedance in Ohm. The single electrode specific capacitance of cell is C EIS sp (F/g) by multiplying the overall capacitance by a factor of 2 and divided by the mass of the active electrode material in g [32]. cv The specific capacitance Csp of the supercapacitors from cyclic voltammetry (CV) has been calculated using the following equation 3.2 [33]

Cspcv =

Where

∫ i dV

2 × m × S × ∆V



(3.2)

∫ idV is the integrated area of the CV curve, m is the single electrode mass of active

material (activated carbon) in g, S is the scan rate and ΔV is cell voltage range. The galvanostatic charge/discharge (GCD) is important technique to evaluate the capacitance of device and cyclic stability by measuring the discharge time (Δt) and current applied dis ( F/g ) is calculated from the (i) (equations 3.3–3.5). The overall capacitance of the cells Ccell discharge curves using the relation



dis Ccell =

i × ∆t m.∆V

(3.3)

Where, i = discharge current, Δt = discharge time, m= mass of active material and ΔV is cell voltage. For a symmetrical cell system, the specific capacitance referred to a single electrode dis Cspdis is related to the overall capacitance of the cells Ccell by the following relation [34].

( )



dis Cspdis = 4 × Ccell

(3.4)

The equivalent series resistance (ESR) of the cell is obtained from GCD ΔV



ESR =

∆VIR 2×i

(3.5)

Here ΔVIR is an internal Ohmic voltage drop and i is the applied discharge current. The Coulombic efficiency is calculated using the following relation



η(%) =

td × 100% tc

(3.6)

Here td and tc are discharging and charging times respectively obtained from the charge-­ discharge curve. The various electrochemical parameters are obtained from the GCD using the formulas given below [35].

50  Electrical and Electronic Devices, Circuits, and Materials (i)  For two-electrode (symmetric cell configuration) Specific Capacitance

C=



2 × I × ∆t m × ∆V

(3.7)

Here, I is the discharging current, Δt is the discharge time, ΔV is the potential window, and m is the mass of active material in the single electrode Energy density & Power density

E=



1 C (∆V )2 2 × 3.6

(3.8)

3600 × E ∆t

(3.9)

P=



Here, E (Wh/kg), C, ΔV, P (W/kg) and Δt are the specific energy, specific capacitance, potential window, specific power, and discharge time, respectively. (ii)  For two-electrode (asymmetric cell configuration) Specific Capacitance

C=



I × ∆t m × ∆V

(3.10)

Here I is the discharging current, Δt is the discharge time, ΔV is the potential drop during discharge, and m is the total mass of the active electrode materials in the both (+ ve, - ve) electrode. Energy Density & Power Density



1 E = C (∆V )2 2

(3.11)



P=

3600 × E ∆t

(3.12)

Here, E (Wh/kg), C, ΔV, P (W/kg) and Δt are the specific energy, specific capacitance, potential window, specific power and discharge time, respectively.

Polymer Electrolytes  51

3.3 Latest Developments The recent development toward a suitable and safe supercapacitor has been achieved by developing various polymer electrolytes. Different polymer electrolytes have one common purpose to achieve high ionic conductivity, low crystallinity and hence high energy ­density/power density when used as the electrolyte in supercapacitor. This section reviews the significant research findings on various polymer electrolytes for supercapacitor applications. The incorporation of boron-containing segments in the polymer matrix appeared as a very attractive approach. Boron atom acts as an acidic center site due to an empty p-orbital and it supports the salt dissociation by interacting with the anion of electrolyte. Recently Jin et al. [36] prepared the polymer electrolyte (GPE) by incorporating the boron-containing segments in a rapid and easy one-step polymerization process assisted with UV light. The prepared GPE system was fully amorphous as confirmed from XRD. The highest conductivity 5.13 mScm-1 was exhibited by Boron-containing GPE (B-GPE) at 25 °C and activation energy of 2.09 kJ/mol. The tensile stress for B-GPE was 1.30 MPa and the maximum strain was 62.8%. The B-GPE based all-solid-state supercapacitor shows the potential window of 3.2 V. The B-GPE based solid-state SC exhibits the specific capacitance of about 34.35 F/g (at 1 A/g). Figure 3.6 shows the SC performance at various

6

80 70 60 50

(a)

0 −2

0ºC 20ºC 40ºC 60ºC 80ºC

−4 −6

Energy Density (Wh/kg)

2

102

20

10

Energy Density (Wh/kg)

Ref. 46 This work PILTFSI-PYR14FSI Ref. 18 Ref. 11 10EMImTFSI-PEO-FPC PVDF-HFP/IL/SN Ref. 32 Ref. 10 PEGMA/PEGDMA/P13FSI PVDF-HFP/ Ref. 12 101 HPA-PC-LiCIO4 LiTFSI/SN

100 100

10000

1000 Power Density (W/kg)

120

(c)

B-GPE 1 M LiCIO4/EMIMBF4

30

0.0 0.4 0.8 1.2 1.6 2.0 2.4 2.8 3.2 3.6 Potential (V)

(b)

40

(d) B-GPE

100 Retention (%)

Current density (A/g)

4

80 60

1 M LiCIO4/EMIMBF4

40 20

101

102 103 104 Power Density (W/kg)

105

106

0

1000

2000

3000

4000

5000

Cycle Number

Figure 3.6  (a) CV curves of the all-solid-state supercapacitor with B-GPE under various temperatures from 0 °C to 80 °C at a scan rate of 50 mV s-1. (b) Ragone plots of the all-solid-state supercapacitor with B-GPE and conventional supercapacitor with 1 M LiClO4/EMIMBF4. (c) Ragone plots of the all-solid-state supercapacitor with B-GPE and others from previous articles for comparison (inset: photograph of a LED light powered by the all-solid-state supercapacitor with B-GPE). (d) The cycling performance of the all-solid-state supercapacitor with B-GPE and conventional supercapacitor with 1 M LiClO4/EMIMBF4 at a current density of 1 A g-1. [Reprinted with permission from Ref. [36], © Elsevier 2011].

52  Electrical and Electronic Devices, Circuits, and Materials temperatures and specific capacitance increases from 21 to 74 F/g for temperature 0 to 80 °C (with voltage window 3.2 V). The energy density (54.20 Wh/kg) and power density (0.79 kW/kg) were higher (Figure 3.6b, c). The SC cell demonstrates capacity retention of 91.2% after 5000 cycles (Figure 3.6d). Another important strategy that is being focused on in research is the tuning of the electrode and electrolyte material. So to enhance the electrochemical performance of the SC cell, a novel approach was proposed by Du et al. [37]. They reported the fabrication of SC using poly(3,4-ethylenedioxythiophene/carbon paper (PEDOT/CP) as an electrode and gel polymer electrolyte [(1-butyl-3-methylimidazoletetrafluoroborate)/polyvinyl alcohol/ sulfuric acid (IL/PVA/H2SO4)]. The highest specific capacitance was 86.81 F/g at 1 mA/cm2 and capacity retention of 71.61 after 1000 cycles. The energy density values are also high and are 176.90 Wh/kg and power density is 21.27 kW/kg. The strong crosslinking points are generated by the Freezing–Thawing (F/T) method and PVA hydrogels are prepared. The number of F/T cycles is crucial. The specific capacitance increased with the increase of F/T cycles (upto F/T 3) and is 53.73 F/g and then decreases. The increase of F/T cycles increases the number of H-bonds in polymer gel and a 3D crosslinking network is formed that allows easier access to ion migration (Figure 3.7c) [38]. Recently Alexandre et al. [39] reported the preparation of highly adhesive/sticky PIL/IL gel polymer electrolytes for application in solid-state supercapacitor. The GPE comprises

(a) 176.90

Ionic Liquid H2O Molecules

160 120 57.11

PVA/H2SO4/BMIMBF4

100 80

H+

H+

Freezing-thawing 1 cycle

71.61

2-

SO42- SO4 H+ H+ H+

H+ SO42-

H+ SO42-

60

2-

SO 4

4 2-

H+

40

H+

0

200

400

600

Cycle number

800

1000

(c)

SO

4 2-

20 0

H+ SO 24 H+

4 2-

24

SO

Power density (kW/kg)

20

24

16

12

SO

(b)

8

H+

H+ 2-

4

SO42-H+

H+ SO42-

H+ SO42-

36.21

40

Crystal Region

SO

80

Hydrogen Bond

104.56

0

Capacitance retention rate (%)

PVA polymer Chain

PVA/H2SO4 IV/PVA/H2SO4

SO 4

Energy density (Wh/kg)

200

H+

H+

Freezing-thawing 3 cycles

Figure 3.7  Ragone plot of the SSC based on two GPEs (a) and the capacitance retention rate of SSC-IL/ PVA/H2SO4 (b) at 5 mA/cm2, (c) The structure illustration. [Reproduced with permission from Ref. [37], © MDPI 2020].

Polymer Electrolytes  53

1.5

(a)

Current / mA

0.5 0.0 0-1.0V 0-1.5V 0-2.0V 0-2.5V 0-3.0V

−1.5 −1.0 0.0

0.5

1.0

1.5

2.0

2.5

Potential difference / V

3.0

140

100

120

80

100 80

60

60

40

40

20

20 0

(b) 0

1000

2000

3000

Cycle number

4000

Coulombic Efficiency / %

1.0

Capacitance Retention (cell)/ %

poly(ionic liquid) consisting of poly(1-vinyl-3-propylimidazolium bis(fluorosulfonyl) imide) (poly(VPIFSI)) and a commercial ionic liquid: 1-ethyl-3-methyl imidazolium bis(fluorosulfonyl)imide (EMIFSI). The prepared SC cell MWCNT||PIL/IL-GPE||MWCNT was examined in the voltage window of 2.5 V and the CV curve depicts EDLC nature. The specific capacitance was 9.6 F/g and coulombic efficiency was 94% (Figure 3.8b). The energy density and power density for the cell vary from 8.8 Wh kg-1 and 268Wkg-1 to 4.6Wh kg-1 and 3732Wkg-1, respectively. Recently, polyelectrolyte (PE) based GPE is being investigated due to their superior electrochemical properties when used in SC application. The high water retention ability of PE provides conductive ion migration channels for ions in electrolyte [40]. So, Yan et al. [41] prepared the PE material by the UV-assisted copolymerization of a novel aprotic monomer N-(2-methacryloyloxy) ethyl-N,N-dimethylpropanammonium bromide (C3(Br) DMAEMA) and poly(ethylene glycol) methacrylate (PEGMA). The conductivity of the PGPE was 66.8 Scm-1 at 25 oC and the activation energy was 16.09 kJ/mol. The CV curve of the SC cell was almost rectangular and a specific capacitance of 64.92 F/g was observed at 1 A/g and 67.47 F/g at 0.5 A/g. The capacity retention was 84.74% at 0.5 A/g. The flexibility of the device was tested at different deformation rates. The SC cell shows an energy density of 9.34 Wh/kg and power density 2.26 kW/kg. The cyclic stability was also good and capacity retention was 94.63% after 10000 cycles at 2 A/g. Another important electrolyte category is ‘redox-active electrolytes’ and are prepared by the addition of redox additives like methylene blue (MB), Iodide salts (KI, NaI), hydroquinone (HQ), p-diphenylamine, etc. [42]. Yadav et al. [43] prepared a GPE using 1-butyl-3-methylimidazolium bis(trifluoro-methylsulfonyl)imide (BMITFSI) as IL, and sodium iodide (NaI) as redox additive with poly(vinyledeneflouride-co-hexaflouropropylene) (PVdF-HFP) as host polymer. The specific capacitance was 351 F/g at 5 mV/s for SC cell with redox additive and is higher than redox additive-free cell (128 F/g) (Figure 3.9a). The specific energy was 26.1 Wh/kg and power density of 15 kW/kg (Figure 3.9b). Inset shows the LED glow demonstration for 300 s by connecting 4 cells. The SC cell with redox additive demonstrates superior cyclic stability (5% initial fading) than redox additive-free SC cell (23% initial fading) for 10000 cycles.

0 5000

Figure 3.8  (a) Cyclic voltammograms obtained at 50 mV/s, (b) Capacitance retention as a function of the number of cycles at the operating voltages of [Reproduced with permission from Ref. [39], © Elsevier 2019].

54  Electrical and Electronic Devices, Circuits, and Materials

Capacitance (F g-1)

350

Cell#1 Cell#2

(a)

300 250 200 150 100 50 0 0

2000

6000 4000 Cyclic number

8000

10000

102 Energy density (Wh/kg)

400

Cell#1 Cell#2

(b)

101

100

10−1 101

102 103 104 Power density (W/kg)

105

Figure 3.9  (a) Specific capacitance of Cell#1 and Cell#2 versus charge–discharge cycles measured at constant current density 0.84 A g-1, and (b) Ragone plots of Cell#1 and Cell#2 (inset shows glow of LED by four cells connected in series). [Reproduced with permission from Ref. [43], © Wiley 2019].

Another crucial approach to increase the electrochemical performance of SC cell is by developing composite materials (iongels) having two networks based on polarity [44]. Here, strongly polar (e.g., PEO, PVA, etc.) network provides superior electrochemical properties while, less polar network (e.g., NBR, natural rubber, PDMS, etc.) leads to enhanced mechanical properties. Based on this, Lu et al. [45] reported the preparation of iongels composite of PEO/NBR by in situ synthesis. The tensile modulus was 0.69 MPa and elongation break about 338% for iongel. The ionic conductivity was 2.4 mS/cm for 60% uptake of IL. Then using PEO/NBR iongels, an SC cell was fabricated using graphene electrodes and tested in the voltage window of 0-2.5 V. The specific capacitance was 2.8 F/g at 1A/g and decreases to 150 F/g at 10 A/g. The SC cell demonstrates good cyclic stability up to 10000 cycles (93.7% capacity retention) and negligible structural degradation as evidenced by XRD (after 10000 cycles). The energy density was very high 181 Wh/kg (comparable to commercial Lithiumion batteries) with a power density of 5.87 kWh/kg [46]. In solid polymer electrolytes (SPE) dielectric constant of the nanofiller also plays an important role in the enhancement of the ion transport parameters and hence the storage capacity of SC cell. So, to examine this Das et al. [47] investigated the effect of TiO2 (dielectric constant: 80) and ZnO (dielectric constant: 8.5) on polymer matrix of PVDF–HFP incorporating 1-ethyl- 3-methylimidazolium tetrafluoroborate (EMIMBF4) as IL. The ionic conductivity of the prepared solid polymer electrolytes was 1.68 × 10−2 S/cm (nanofiller free), 2.57 × 10−2 S/cm (with ZnO) and 3.75 × 10−2 S/cm (with TiO2) at 303 K. The electrochemical stability window of solid polymer electrolytes was 4.57 V (nanofiller free), 5.55 V (with ZnO) and 5.98 V (with TiO2). The ionic conductivity and voltage stability window were superior for TiO2 nanofiller based SPE. The specific capacitance for the SC cell [EDLC-I: nanofiller free, EDLC-II: with ZnO, EDLC-III: with TiO2] using AC as electrode obtained from impedance spectroscopy was 103.5 F/g (nanofiller free), 134.6 F/g (with ZnO) and 206.4 F/g (with TiO2). While specific capacitance for the SC cell from CV was 79.07 (nanofiller free), 93.07 (with ZnO) and 192.17 F/g (with TiO2) at 25 mV/s. The increase in specific capacitance for TiO2 was attributed to a high dielectric constant which supports salt dissociation. The value of specific capacitance for the SC cell from GCD was 104 F/g (nanofiller free), 131 F/g (with ZnO) and 239 F/g (with TiO2) at 1 A/g (Figure 3.10a, b). The TiO2 based SC cell shows an energy

300 EDLC I EDLC II EDLC III

250

(a)

200 150 100 50

1.5

1A/g 1.0 0.5 0.0

0

Specific Capacitance (F/g)

(b)

EDLC I EDLC II EDLC III

2.0

Cell Voltage (V)

Specific Capacitance (F/g)

Polymer Electrolytes  55

1 2 3 4 5 6 Discharge Current Densities (A/g)

200

(c)

EDLC I EDLC II EDLC III

180 160

0

50

100

Time (s)

150

200

(d)

140 120 100 80 60 40

0

500

1000

Cycle Number

1500

2000

Figure 3.10  (a) Comparison of charge–discharge curves at current for EDLC I, EDLC II, and EDLC III at a current density of 1 Ag−1. (b) Variation of the specific capacitance of EDLC I, EDLC II, and EDLC III cells at different constant current densities. (c) Specific capacitance of EDLC I, EDLC II, and EDLC III cells at current density of 2 Ag−1 shown as a function of charge–discharge cycles. (d) Two EDLC III cells in series to light up a yellow LED. [Reproduced with permission from Ref. [47], © Wiley 2020].

density of 33.19 Wh/ Kg and a power density of 1.17 kW/Kg. Also, all cells demonstrate a coulombic efficiency of 100 % after 2000 cycles. Another report from the same group examined the SC performance based on poly(vinylidene fluoride-co-hexafluoropropylene) polymer matrix, 1- propyl-3-­methyleimidazolium bis(trifluromethylesulfonyl)-imide as ionic liquid with lithium bis​(trifluoromethanesulfonyl)imide salt and plasticizer mixture (ethylene carbonate: propylene carbonate in the ratio 1:1) [32]. The highest specific capacitance from CV for cell 3 was 124.1 F/g at a scan rate of 10 mV/s with an energy density of 23.07 Wh/kg and power density of 0.5333 kW/ kg. Another report investigated the effect of cationic size and viscosity, ­dielectric constant of the ionic liquids on the electrochemical performance of SC cell [48]. Three polymer electrolytes were prepared: (i) BDMIMBF4-P(VdF-HFP) (BDMIMGPE-1), (ii) BMIMBF4P(VdF-HFP) (BMIMGPE-2) and (iii) EMIMBF4-P(VdF-HFP) (EMIMGPE-3). The highest ionic conductivity was observed for the EMIMGPE-3 electrolyte and is 12.76 mS/cm which is attributed to the smaller cation size, high dielectric constant and low viscosity. The value of specific capacitance as estimated from CV was 32.66 F/g (Cell-1), 49.1 F/g (cell-2), and 63.47 F/g (cell 3) at 10 mV/s. The highest specific capacitance for cell 3 is in correlation with ion conductivity results. The cell-3 demonstrates 74% capacity retention

56  Electrical and Electronic Devices, Circuits, and Materials 25

Gravimetric specific energy (Wh kg−1)

20

N+

Cell-1 Cell-2 Cell-3

CH3

N

15

10

CH3 + N CH3 N CH3 CH3 N+ N CH3 CH3

F F

5

B–

F

F

102 103 Gravimetric specific power (W kg−1)

104

Figure 3.11  Ragone plots (gravimetric specific energy versus specific power) for all EDL cells. [Reproduced with permission from Ref. [48], © Elsevier 2018].

after 4000 cycles and 100% coulombic efficiency after 8000 cycles. The energy density and power density also superior to cell-3 (Figure 3.11). Recently, Choi et al. [49] reported a novel strategy to achieve long-term cyclic stability and high energy density. The authors used the nanofiber cellulose incorporated nanomesh graphene-carbon nanotube hybrid buckypaper electrodes and ionic liquid-based solid polymer electrolyte. The SC cell using cPT-200 polymer electrolyte demonstrates areal capacitance of 291 mF cm-2 at a current density of 0.75 mA cm-2 and capacity retention of about 96.3% after 50000 cycles at 7.5 mA/cm2. Even after bending the SC cell, the capacity retention was 98.4% after 50000 cycles. The enhanced performance was attributed to the high ionic conductivity of polymer electrolyte (3.0 mS/cm) and high electrical conductivity of nanofiber cellulose incorporated nanomesh graphene-CNT hybrid buckypaper (540 S/cm). The SC cell exhibits gravimetric energy density: 33.6 Wh kg-1 and volumetric energy density: 6.68 mWh cm-3. Recently Jin et al. [50] reported the fabrication of SC cell using novel quasi-solidstate polymer electrolyte (QPE) of porous acrylate rubber/tetraethylammonium ­tetrafluoroborate-acetonitrile (pACM/Et4NBF4-AN) and nitrogen-doped porous graphene (NPG) film-supported vertically aligned polyaniline nanocones (NPG@PANI). The specific capacitance for NPG@PANI-2C electrode cell was 259.5 mF cm-2 (330.2 F/g; 51.9Fcm-3) at 1mAcm-2 (Figure 3.12a). The anode NPG@PDAA-3C was chosen and demonstrates specific capacitance of about 254.5 mF cm-2 (294.4 F/g; 50.9 F cm-2) at 1mAcm-2 (Figure 3.12b). Then an asymmetric SC device was fabricated using NPG@ PANI cathode, NPG@PDAA anode and pACM/Et4NBF4-AN as polymer electrolyte (Figure 3.12c). The specific capacitance of asymmetric device was 6.2 F cm-3 (124.7mF cm-2; 72.1 F/g) at 0.5 mAcm-2 with 88.7% capacity retention after 10000 cycles.

Polymer Electrolytes  57

Curretn density / mA cm−2

(a)

(c) NPG@PANI-2C NPG@PDAA-3C

8

N H n

4

·+

N H n

+n e-

0

+

−4 NPG @ PANI

−8 −2.0 −1.6 −1.2 −0.8 −0.4 0.0 0.4 Potential / V (vs. Ag/Ag*)

0.8

pACM quasi-solid-state electrolyte NPG @ PDAA

(b) 0.8 Potential / V (vs. Ag/Ag*)

A+

-n e-

NPG@PANI-2C NPG@PDAA-3C

0.4



0.0 −0.4

H N

−0.8 −1.2

O

−1.6 −2.0 0

200

400 Time / s

600

800

H N

n O

+2n e−2n e-

N H n

− O C+

n − O + C N H n

Figure 3.12  (a) CV curves at 10 mV s-1 and (b) GCD curves at 1mA cm-2 of NPG@PANI-2C and NPG@ PDAA-3C in three-electrode mode. (c) Schematic diagram of as-assembled NPG@PANI//QPE//NPG@PDAA oAFSC. [Reproduced with permission from Ref. [50], © Elsevier 2019].

The energy density was 6.18mWh cm-3 (123.5 mWh cm-2; 71.4 Wh/kg) with power density of 0.033Wcm-3 (0.668mWcm-2; 0.386kW/kg). To increase the energy density of the SC, various efforts have been done to tune the voltage window of the electrolytes by the addition of IL and plasticizers [51]. In continuation of this, Kang et al. [52] reported the preparation of solid electrolyte comprising poly(ethylene glycol) behenyl ether methacrylate-gpoly((2-acetoacetoxy)ethyl methacrylate) (PEGBEMg-PAEMA) graft copolymer by one-pot free-radical polymerization process for application in bendable SC. The ionic conductivity of the PE was 1.23 × 10-3 S/cm and the increase was attributed to high polarity and amorphous nature. Two SC cells were fabricated using PEGBEM-g-PAEMA (Cell-1) and PVA/H3PO4 (Cell-2) as a solid electrolyte and activated carbon as an electrode. The PEGBEM-g-PAEMA based SC demonstrated the specific capacitance of about 55.5 F/g at 1.0 A/g (for Cell-2: 40.8 F/g at 1.0 A/g) with a power density of 900 and corresponding energy density of 25 Wh/kg. It is important to note that even after bending with an angle of 135o, the performance was good. Table 3.4 summarizes some reported polymer electrolytes, their ionic conductivity and the electrochemical performance of the cell using them. Table 3.5 shows some patents on the supercapacitor device using different separators.

150 F/g at 10 A/g 103.5 F/g

63.47 F/g at 10 mV/s. 291 mF cm-2 at 0.75 mA cm-2





66.8 S/cmat 25 oC



2.4 mS/cm

1.68 × 10−2S/cm (nanofiller free)

2.57 × 10−2S/cm (with ZnO)

3.75 × 10−2S/cm (with TiO2)

12.76 mS/cm

3.0 mS/cm

IL/PVA/H2SO4

PIL/IL-GPE

(C3(Br) DMAEMA)-PEGMA

BMITFSI-NaI-​(PVdFHFP)

PEO/NBR

PVDF–HFP-EMIMBF4

PVDF–HFP-(EMIMBF4)ZnO

PVDF–HFP-(EMIMBF4)TiO2

EMIMBF4-P(VdF-HFP)

Nanofiber CelluloseIncorporated Nanomesh Graphene

206.4 F/g

134.6 F/g

351 F/g at 5 mV/s

64.92 F/g at 1 A/g and 67.47 F/g at 0.5 A/g

9.6 F/g

86.81 F/g at 1 mA/cm2

34.35 F/g (at 1 A/g) (RT) 74 F/g (80 oC)

5.13 mS/cm

Boron-containing GPE

Specific capacitance

Conductivity

Polymer electrolyte

96.3 % (after 50000 cy.)

74 % (after 4000 cy.)

100 %

100 %

100 %

93.7 % (after 10000 cy.)

95 % (after 10000 cy.)

84.74 %

33.6 Wh/kg, 6.68 mWh cm-3

18 Wh/kg

33.19 Wh/ Kg





181 Wh/kg

26.1 Wh/kg

9.34 Wh/kg

8.8 Wh/kg and 4.6 Wh/kg

176.90 Wh/kg

71.61 % (after 1000 cy.) –

54.20 Wh/kg

Energy density

91.2 % (after 5000 cy.)

Capacity retention

Table 3.4  Reported polymer electrolytes and fabricated supercapacitor performance.

1.2 kW/kg

1.17 kW/kg





5.87 kW/kg

15 kW/kg

2.26 kW/kg

268 W/kg and 3732 W/kg

21.27 kW/kg

0.79 kW/kg

Power density

(Continued)

[49]

[48]

[47]

[45]

[43]

[41]

[39]

[37]

[36]

Ref.

58  Electrical and Electronic Devices, Circuits, and Materials

55.5 F/g at 1.0 A/g 133 (10 mV/s) 100 F/g (1 mA cm-2)

1.23 × 10-3S/cm

3.7 × 10−4 S/cm

5 × 10−4 S/cm (25 oC) 3 × 10−3  S/cm (60 oC)

29.3 mS/cm

29.6 mS/cm

7.31 mS/cm

3.27 S/cm m (298 K) 7.46 mS/cm (303 K)

2.16 × 10−4 S/cm

PEGBEM-g-PAEMA

chitosan (CS), starch, glycerol, LiClO4

pDADMATFSI

PVA-H2SO4-HQ

PVA-H2SO4-MB

(PVA)/CH3COONH4/ BmImCl

PMMA - C4BO8Li or LiBOB –EC/PC

PVdF-HFP- Mg(CF3SO3)2

106 F/g

685 mF g−1

27.76 F/g

563.7 F/g (0.5 A/g)

491.3 F/g (0.5 A/g)







81.8 %

82.9 %







88.7 % (after 10000 cy.)

6.2 F cm (124.7mF cm-2; 72.1 F/g) at 0.5 mAcm-2



pACM/Et4NBF4-AN

Capacity retention

-3

Specific capacitance

Conductivity

Polymer electrolyte

Table 3.4  Reported polymer electrolytes and fabricated supercapacitor performance. (Continued)

23 Wh/kg



2.39 Wh/kg



18.7 Wh/kg

32 Wh/kg (20 o C) 42 Wh/ kg(60 oC)

50 Wh/kg

25 Wh/kg

6.18mWh cm-3 (123.5 mWh cm-2; 71.4Wh/kg)

Energy density





19.79 W/kg



245 W/kg



8000 W/kg

900 kW/kg

[58]

[57]

[56]

[55]

[54]

[53]

[52]

[50]

Ref.

(Continued)

0.033Wcm (0.668 mWc- 2; 0.386 kWkg-1 -3

Power density

Polymer Electrolytes  59

108 F/g 80 F/g (1 A/g)

4.5 mS/cm

2 × 10−4 S/cm at RT and 7 × 10−3 S/cm at 100 °C



PVDF-HFP/EMimTFSI þ LiTFS

(poly(VA-coAN))-1-ethyl-3methylimidazolium (IL)/LiBF4

PVA–H2SO4–P– benzenediol

474.29 F/g

150 F/g

2.1 mS/cm

PILTFSI/PYR14FSI (IL-b-PE2)

110 F/g

52.78 F/g

(9.63 ± 0.01) mS/cm (BmImI). 

0.5 mS/cm

21.82 F/g

(9.29 ± 0.01) mS/cm (BmImBr)

PILTFSI/PYR14TFSI (IL-b-PE1)

19.42 F/g

(5.74 ± 0.01) mS/cm (BmImCl)

PVA/BmImCl, BmImBr, BmImI

Specific capacitance

Conductivity

Polymer electrolyte

91 % (after 3000 cy)

99 % (after 1000 cy)













Capacity retention

Table 3.4  Reported polymer electrolytes and fabricated supercapacitor performance. (Continued)

11.31 Wh/kg

61 Wh/kg

15 Wh/kg

36 Wh/kg

35 Wh/kg

6.92 Wh/kg

2.19 Wh/kg

1.77 Wh/kg

Energy density



500 W/kg

213 W/kg

230 W/kg

250 W/kg

50.25 kW/kg

41.27 kW/kg

37.83 kW/kg

Power density

[63]

[62]

[61]

[60]

[59]

Ref.

60  Electrical and Electronic Devices, Circuits, and Materials

Polymer Electrolytes  61 Table 3.5  Reported polymer electrolytes and fabricated supercapacitor performance. Patent application number

Year

Invention

US6356432B1 United States

2002

Supercapacitor having a non-aqueous electrolyte and two carbon electrodes each containing a binder and an electrochemically active material constituted by active carbon having a Specific Surface area greater than about 2000 m/g.

1263/MUM/2004 A

2006

Polyaniline thin films synthesized by electrochemical anodization at constant potentials. The electrochemical capacitor was formed with H2SO4 solution. The specific supercapacitance of 650 F/g and interfacial capacitance of 0.14F/cm2 were obtained.

US20070076349A1 United States

2007

Supercapacitors having organosilicon electrolytes, high surface area/porous electrodes, and optionally organosilicon separators.

US7226702B2 United States

2007

Solid electrolyte made of an interpenetrating network type solid polymer comprised of two compatible phases: a crosslinked polymer for mechanical strength and chemical stability, and an ionic conducting phase.

US20100259866A1 United States

2010

Fabrication of a supercapacitor by constructing a mat of conducting fibers, binding the mat with an electrolytic resin, and forming a laminate of the electrodes spaced by an insulating spacer.

EP 2 880 667 B1

2014

Structural supercapacitors, more specifically to structural supercapacitors that may replace structural components based on composite materials.

CN105006377A China

2015

A composite electrolyte taking an azo substance as an additive and a preparation method thereof. The composite electrolyte is composed of a blank electrolyte and an electrolyte additive, wherein the blank electrolyte is a KOH solution, and the electrolyte additive is an azo substance.

WO2014011294A2 WIPO (PCT)

2015

Mechanically flexible and optically transparent thin-film solid-state supercapacitors are fabricated by assembling nano-engineered carbon electrodes in porous templates. The nanostructured electrode morphology and conformal electrolyte packaging provide enough energy and power density for electronic devices in addition to possessing excellent mechanical flexibility and optical transparency.

US20170271094A1 United States

2016

Polymer supercapacitor fabricated by loading a flexible electrode plate of a high surface area material with metal oxide particles, then encasing the electrode plate in a coating of a polymer electrolyte. (Continued)

62  Electrical and Electronic Devices, Circuits, and Materials Table 3.5  Reported polymer electrolytes and fabricated supercapacitor performance. (Continued) Patent application number

Year

Invention

207701 (India)

2017

Fabrication and demonstration of high-performance electro­ chemical redox supercapacitors, which employ conducting polymers such as polyaniline (PANI) as the active material.

US 10 , 199 , 180 B2

2019

Fabric supercapacitors disclosed herein exhibit great flexibility.

US 10 , 269 , 504 B2

2019

A supercapacitor or electrochemical capacitor includes spacedapart electrodes which are separated from each other by a separator made of electrically insulating material. Each electrode is formed of carbonaceous material and capable of being impregnated with a liquid electrolyte.

3.4 Summary The supercapacitor (SC) is an important energy storage device due to its high power density, fast charge-discharge, and long-term cyclic stability. Two important parameters are energy density and cost of supercapacitors that are a constraint against its ability to replace batteries. Various research efforts have been done to enhance the energy density and to reduce the cost of SC so that it can be an alternative to batteries. The electrolyte plays a crucial role in SC as energy density; specific capacitance is linked with a voltage window of electrolyte. Polymer electrolytes (PE) emerged as the new material, also as an alternative to liquid electrolyte. The inherent flexibility, various shape geometry and light in weight features of PE motivated the research community to focus on them. The important parameters that need to be checked are ionic conductivity, voltage stability window and mechanical properties. These properties of PE were tuned by adding different guest species such as ionic liquids, plasticizers, and nanofiller. The enhancement of the aforesaid properties was achieved by various researchers as discussed in the upper section. The SC cell performance was superior in various aspects than liquid electrolytes. One challenge that still remains is to achieve the optimum combination of the electrode and electrolyte material so that high energy density can be achieved. This can be achieved by tuning the electrode active surface area, morphology via different synthesis methods. The combination of these aspects will result in the fulfillment of safe, flexible, having high energy density and power density supercapacitor. Therefore, the development of novel electrode and electrolytes material will be the focus of research. In conclusion, the important goal of the research community is to explore the supercapacitor application range, enhancement of energy density, and cost reduction.

References 1. Wang, G., Zhang, L., & Zhang, J. (2012). A review of electrode materials for electrochemical supercapacitors. Chemical Society Reviews, 41(2), 797-828. 2. Zhong, C., Deng, Y., Hu, W., Qiao, J., Zhang, L., & Zhang, J. (2015). A review of electrolyte materials and compositions for electrochemical supercapacitors. Chemical Society Reviews, 44(21), 7484-7539.

Polymer Electrolytes  63 3. Wang, Y., Song, Y., & Xia, Y. (2016). Electrochemical capacitors: mechanism, materials, systems, characterization and applications. Chemical Society Reviews, 45(21), 5925-5950. 4. Zukalová, M., Kalbác, M., Kavan, L., Exnar, I., & Graetzel, M. (2005). Pseudocapacitive lithium storage in TiO2 (B). Chemistry of Materials, 17(5), 1248-1255. 5. Arya, A., & Sharma, A. L. (2020). A glimpse on all-solid-state Li-ion battery (ASSLIB) performance based on novel solid polymer electrolytes: a topical review. Journal of Materials Science, 55, 6242-6304. 6. Huang, S., Zhu, X., Sarkar, S., & Zhao, Y. (2019). Challenges and opportunities for supercapacitors. APL Materials, 7(10), 100901. 7. Zhang, S., & Pan, N. (2015). Supercapacitors performance evaluation.  Advanced Energy Materials, 5(6), 1401401. 8. Meng, F., Li, Q., & Zheng, L. (2017). Flexible fiber-shaped supercapacitors: design, fabrication, and multi-functionalities. Energy Storage Materials, 8, 85-109. 9. B.E. Conway, Electrochemical Supercapacitors: Scientific Fundamentals and Technological Applications, Kluwer Academic / Plenum, New York, 1999. 10. V. Augustyn, P. Simon, B. Dunn, Pseudocapacitive oxide materials for high-rate electrochemical energy storage, Energy Environ. Sci. 7 (2014) 1597-1614. 11. Sharma, M., & Gaur, A. (2020). Designing of Carbon Nitride Supported ZnCo 2 O 4 Hybrid Electrode for High-Performance Energy Storage Applications. Scientific reports, 10(1), 1-9. 12. Zhong, C., Deng, Y., Hu, W., Qiao, J., Zhang, L., & Zhang, J. (2015). A review of electrolyte materials and compositions for electrochemical supercapacitors. Chemical Society Reviews, 44(21), 7484-7539. 13. El-Kady, M. F., Shao, Y., & Kaner, R. B. (2016). Graphene for batteries, supercapacitors and beyond. Nature Reviews Materials, 1(7), 1-14. 14. Ngai, K. S., Ramesh, S., Ramesh, K., & Juan, J. C. (2016). A review of polymer electrolytes: fundamental, approaches and applications. Ionics, 22(8), 1259-1279. 15. Arya, A., & Sharma, A. L. (2017). Polymer electrolytes for lithium ion batteries: a critical study. Ionics, 23(3), 497-540. 16. Arya, A., & Sharma, A. L. (2017). Insights into the use of polyethylene oxide in energy storage/ conversion devices: a critical review. Journal of Physics D: Applied Physics, 50(44), 443002. 17. MacCallum J R, Vincent CA (Eds.). (1989). Polymer electrolyte reviews (Vol. 2). Springer Science & Business Media, London. 18. Agrawal RC, Pandey GP (2008) Solid polymer electrolytes: Materials designing and all-solidstate battery applications: An overview. J Phys D Appl Phys 41:223001. 19. Adam G, Gibbs JH (1965) On the temperature dependence of cooperative relaxation properties in glass-forming liquids. J Chem Phys 43:139–146. 20. Ratner MA, Johansson P, Shriver DF (2000) Polymer electrolytes: Ionic transport mechanisms and relaxation coupling. MRS Bull 25:31–37. 21. Williams ML, Landel RF, Ferry JD (1955) The Temperature Dependence of Relaxation Mechanisms in Amorphous Polymers and Other Glass-forming Liquids1. Temperature Dependence of Relaxation Mechanisms. J. Am. Chem. Soc 77:3701–370. 22. Watanabe M, Ogata N (1988) Ionic conductivity of polymer electrolytes and future applications.  Br polym journal  20:181-192, Baril D (1997) Electrochemistry of liquids vs. solids: Polymer electrolytes. Solid State Ionics 94:35–47. 23. Sen, S., Jayappa, R. B., Zhu, H., Forsyth, M., & Bhattacharyya, A. J. (2016). A single cation or anion dendrimer-based liquid electrolyte. Chemical science, 7(5), 3390-3398. 24. Mindemark, J., Lacey, M. J., Bowden, T., & Brandell, D. (2018). Beyond PEO—Alternative host materials for Li+-conducting solid polymer electrolytes. Progress in Polymer Science, 81, 114-143. 25. Song, J. Y., Wang, Y. Y., & Wan, C. C. (1999). Review of gel-type polymer electrolytes for lithium-ion batteries. Journal of power sources, 77(2), 183-197.

64  Electrical and Electronic Devices, Circuits, and Materials 26. Z. Xue, D. He, X. Xie, Poly (ethylene oxide)-based electrolytes for lithium-ion batteries. Journal of Materials Chemistry A. (2015). 3(38), 19218-19253. 27. Long, L., Wang, S., Xiao, M., & Meng, Y. (2016). Polymer electrolytes for lithium polymer batteries. Journal of Materials Chemistry A, 4(26), 10038-10069. 28. Arya, A., & Sharma, A. L. (2019). Electrolyte for energy storage/conversion (Li+, Na+, Mg 2+) devices based on PVC and their associated polymer: a comprehensive review. Journal of Solid State Electrochemistry, 23(4), 997-1059. 29. Arya, A., & Sharma, A. L. (2020). Polymer Nanocomposites: synthesis and characterization. In Environmental Nanotechnology Volume 4 (pp. 265-315). Springer, Cham. 30. Croce, F., Curini, R., Martinelli, A., Persi, L., Ronci, F., Scrosati, B., & Caminiti, R. (1999). Physical and chemical properties of nanocomposite polymer electrolytes. Journal of Physical Chemistry B, 103(48), 10632-10638. 31. M. F. Lagadec, R. Zahn, V. Wood, Characterization and performance evaluation of lithium-ion battery separators. (2019). Nature Energy, 4:16-25. 32. Pal, P., & Ghosh, A. (2018). Highly efficient gel polymer electrolytes for all solid-state electrochemical charge storage devices. Electrochimica Acta, 278, 137-148. 33. A. Yu, I. Roes, A. Davies, Z. Chen, Ultrathin, transparent, and flexible graphene films for supercapacitor application, Appl. Phys. Lett. 96 (2010), 253105. 34. G.A. Tiruye, D. Mu~noz-Torrero, J. Palma, M. Anderson, R. Marcilla, Performance of solid state supercapacitors based on polymer electrolytes containing different ionic liquids, J. Power Sources 326 (2016) 560e568. 35. Wang, H., Yi, H., Chen, X., & Wang, X. (2014). Asymmetric supercapacitors based on nanoarchitectured nickel oxide/graphene foam and hierarchical porous nitrogen-doped carbon nanotubes with ultrahigh-rate performance. Journal of Materials Chemistry A, 2(9), 3223-3230. 36. Eilmes, A.; Kubisiak, P. A Quantum-Chemical Study On the Boron Centers in Nonaqueous Electrolyte Solutions and Polymer Electrolytes. Electrochim. Acta 2011, 56, 3219−3224. 37. Du, H., Wu, Z., Xu, Y., Liu, S., & Yang, H. (2020). Poly (3, 4-ethylenedioxythiophene) Based Solid-State Polymer Supercapacitor with Ionic Liquid Gel Polymer Electrolyte. Polymers, 12(2), 297 38. Wu, J.; Gong, X.L.; Fan, Y.C.; Xia, H.S. Physically Crosslinked Poly(vinyl alcohol) Hydrogels with Magnetic Field Controlled Modulus. Soft Matter 2011, 7, 6205–6212. 39. Alexandre, S. A., Silva, G. G., Santamaría, R., Trigueiro, J. P. C., & Lavall, R. L. (2019). A highly adhesive PIL/IL gel polymer electrolyte for use in flexible solid state supercapacitors. Electrochimica Acta, 299, 789-799. 40. Wang, F., Wu, X., Yuan, X., Liu, Z., Zhang, Y., Fu, L., ... & Huang, W. (2017). Latest advances in supercapacitors: from new electrode materials to novel device designs.  Chemical Society Reviews, 46(22), 6816-6854. 41. Yan, C., Jin, M., Pan, X., Ma, L., & Ma, X. (2020). A flexible polyelectrolyte-based gel polymer electrolyte for high-performance all-solid-state supercapacitor application. RSC Advances, 10(16), 9299-9308. 42. Senthilkumar, S. T., Selvan, R. K., Ponpandian, N., & Melo, J. S. (2012). Redox additive aqueous polymer gel electrolyte for an electric double layer capacitor. RSC advances, 2(24), 8937-8940. 43. Yadav, N., Yadav, N., Singh, M. K., & Hashmi, S. A. (2019). Nonaqueous, Redox-Active Gel Polymer Electrolyte for High-Performance Supercapacitor. Energy Technology, 7(9), 1900132. 44. Peng, X., Liu, H., Yin, Q., Wu, J., Chen, P., Zhang, G., ... & Xie, Y. (2016). A zwitterionic gel electrolyte for efficient solid-state supercapacitors. Nature communications, 7, 11782. 45. Lu, C., & Chen, X. (2019). In situ synthesized PEO/NBR composite ionogels for high­performance all-solid-state supercapacitors. Chemical Communications, 55(58), 8470-8473.

Polymer Electrolytes  65 46. Bruce, P. G., Scrosati, B., & Tarascon, J. M. (2008). Nanomaterials for rechargeable lithium batteries. Angewandte Chemie International Edition, 47(16), 2930-2946. 47. Das, S., & Ghosh, A. (2020). Symmetric electric double-layer capacitor containing imidazolium ionic liquid-based solid polymer electrolyte: Effect of TiO2 and ZnO nanoparticles on electrochemical behavior. Journal of Applied Polymer Science, 137(22), 48757. 48. Pal, P., & Ghosh, A. (2018). Solid-state gel polymer electrolytes based on ionic liquids containing imidazolium cations and tetrafluoroborate anions for electrochemical double layer capacitors: Influence of cations size and viscosity of ionic liquids. Journal of Power Sources, 406, 128-140. 49. Choi, Y. J., Jung, D. S., Han, J. H., Lee, G. W., Wang, S. E., Kim, Y. H., ... & Kim, K. B. (2019). Nanofiber Cellulose-Incorporated Nanomesh Graphene–Carbon Nanotube Buckypaper and Ionic Liquid-Based Solid Polymer Electrolyte for Flexible Supercapacitors.  Energy Technology, 7(5), 1900014. 50. Jin, J., Mu, H., Wang, W., Li, X., Cheng, Q., & Wang, G. (2019). Long-life flexible supercapacitors based on nitrogen-doped porous graphene@ π-conjugated polymer film electrodes and porous quasi-solid-state polymer electrolyte. Electrochimica Acta, 317, 250-260. 51. Pal, B., Yang, S., Ramesh, S., Thangadurai, V., & Jose, R. (2019). Electrolyte selection for supercapacitive devices: a critical review. Nanoscale Advances, 1(10), 3807-3835. 52. Kang, D. A., Kim, K., Karade, S. S., Kim, H., & Kim, J. H. (2020). High-performance solid-state bendable supercapacitors based on PEGBEM-g-PAEMA graft copolymer electrolyte. Chemical Engineering Journal, 384, 123308. 53. Sudhakar, Y. N., & Selvakumar, M. (2012). Lithium perchlorate doped plasticized chitosan and starch blend as biodegradable polymer electrolyte for supercapacitors. Electrochimica acta, 78, 398-405. 54. Tiruye, G. A., Munoz-Torrero, D., Palma, J., Anderson, M., & Marcilla, R. (2015). All-solid state supercapacitors operating at 3.5 V by using ionic liquid based polymer electrolytes. Journal of Power Sources, 279, 472-480. 55. Zhong, J., Fan, L. Q., Wu, X., Wu, J. H., Liu, G. J., Lin, J. M., ... & Wei, Y. L. (2015). Improved energy density of quasi-solid-state supercapacitors using sandwich-type redox-active gel polymer electrolytes. Electrochimica Acta, 166, 150-156. 56. Liew, C. W., Ramesh, S., & Arof, A. K. (2014). Good prospect of ionic liquid based-poly (vinyl alcohol) polymer electrolytes for supercapacitors with excellent electrical, electrochemical and thermal properties. International Journal of Hydrogen Energy, 39(6), 2953-2963. 57. Arof, A. K., Kufian, M. Z., Syukur, M. F., Aziz, M. F., Abdelrahman, A. E., & Majid, S. R. (2012). Electrical double layer capacitor using poly (methyl methacrylate)–C4BO8Li gel polymer electrolyte and carbonaceous material from shells of mata kucing (Dimocarpus longan) fruit. Electrochimica acta, 74, 39-45. 58. Syahidah, S. N., & Majid, S. R. (2013). Super-capacitive electro-chemical performance of polymer blend gel polymer electrolyte (GPE) in carbon-based electrical double-layer capacitors. Electrochimica Acta, 112, 678-685. 59. Liew, C. W., Arifin, K. H., Kawamura, J., Iwai, Y., Ramesh, S., & Arof, A. K. (2017). Effect of halide anions in ionic liquid added poly (vinyl alcohol)-based ion conductors for electrical double layer capacitors. Journal of Non-Crystalline Solids, 458, 97-106. 60. Tiruye, G. A., Muñoz-Torrero, D., Palma, J., Anderson, M., & Marcilla, R. (2016). Performance of solid state supercapacitors based on polymer electrolytes containing different ionic liquids. Journal of Power Sources, 326, 560-568. 61. Kumar, Y., Pandey, G. P., & Hashmi, S. A. (2012). Gel polymer electrolyte based electrical double layer capacitors: comparative study with multiwalled carbon nanotubes and activated carbon electrodes. Journal of Physical Chemistry C, 116(50), 26118-26127.

66  Electrical and Electronic Devices, Circuits, and Materials 62. Karaman, B., Çevik, E., & Bozkurt, A. (2019). Novel flexible Li-doped PEO/copolymer electrolytes for supercapacitor application. Ionics, 25(4), 1773-1781. 63. Yu, H., Wu, J., Fan, L., Lin, Y., Xu, K., Tang, Z., ... & Lan, Z. (2012). A novel redox-mediated gel polymer electrolyte for high-performance supercapacitor.  Journal of Power Sources,  198, 402-407.

4 Tunable RF/Microwave Filter with Fractal DGS Mehul Thakkar1,2*, Pravin R. Prajapati1,2 and Hitesh Shah2,3 A.D.Patel Institite of Technology, New V V Nagar, Gujarat, India 2 Gujarat Technological University, Gujarat, India 3 G H Patel College of Engineeing and Technology, V V Nagar, Gujarat, India 1

Abstract

Due to the latest developments in multi-standard software defined radios and adaptive spectrum surveillance systems, over several years, the design of efficient high-frequency filters has become a popular and established research area in the field of application of electromagnetics and its component design. Without a doubt, the implementation of reconfigurable/tunable RF/microwave filtering components has been a trending area for recent research efforts in this field. Tunable/Reconfigurable filter design has been an active research topic due to challenges in tunable filters such as size reduction, selectivity, stop band reduction, insertion loss, center frequency tunability, bandwidth tuning, etc. Researchers are designing a filter to have the optimum parameter characteristics listed above. The proposed work presents tunable microstrip filter with fractal DGS. Fractal DGS is used to reduce the size of the filter. The proposed filter is hairpin bandpass filter shape, which is designed for 3.5 GHz center frequency on the substrate of Rogers 3010 (εr = 10.2) with thickness of 1.27 mm. The hexagonal shape 3rd iteration fractal DGS is introduced in ground plane, which results in the shifting of resonant frequency on lower frequency and improvement in return loss at the resonant frequency. The simulated and fabricated results with fractal DGS are presented and discussed. Tunability can be achieved using varactor diodes in fractal DGS structure. In the proposed work, tunability is simulated by using variable capacitors. The simulation and optimization of the proposed work are done using CST MICROWAVE STUDIO® V. 2018. Keywords:  Microstrip filter, Tunable filter, Tunble filter with fractal DGS

4.1 Introduction The filter is a very significant device in Radio Frequency Integrated Circuit (RFIC) design engineering, which passes certain frequencies and rejects certain frequencies from the applied input signal. It is used to separate channels in multiplexing communication systems, to remove certain harmonics in oscillator or amplifier circuits. It is also used to remove unnecessary disturbance termed as noise. “Filters are two-port devices designed in such a way so that a group of specified frequencies is allowed to pass with little attenuation, *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (67–82) © 2021 Scrivener Publishing LLC

67

68  Electrical and Electronic Devices, Circuits, and Materials while unwanted frequencies are rejected” [1]. The latest wireless communications systems such as cognitinitive radio and mobile communication systems keep challenges on RF/ microwave filters with strict requirements like better performance, lower losses, smaller size, faster response, lighter weight, and lower cost [2]. The filters can be classified by their frequency responses. It is classified as stop band, high pass, low pass, band pass filters. In a low pass filter, signal frquencies below the cut-off frequency (fC) are allowed to pass without attenuation. Above the cut-off frequency (fC) all other frequencies are blocked or rejected. In the same way for high pass filter, signal frquencies above the cut-off frequency (fC) are allowed to pass without attenuation. For a band pass filter, a certain band of frequency ranging from a lower cut-off frequency (fL) to higher cut-off frequency (fH) is allowed to pass without attenuation. The range of frequencies from fL to fH is called the bandwidth of the filter. For a band stop filter, it rejects/blocks the band of frequencies fL to fH [1]. Filters characterstic response can be classified as Butterworth, Chebyshev, Bessel and Elliptic. Characteristic response is chosen according to application. Butterworth exhibit maximally flat behavious in the passband, but the trasition from passband to stopband is not steep. The Elliptic response gives abrupt transition from passband to stopband, but the passband and stopband contains ripples. Bessel response has poor amplitude response, but it gives linear phase behaviour. The Chebyshev response has an equi-ripple response in the passband [3]. Microwave planar filters can be made up of microstrip lines, waveguide or coaxial type. The planar microstrip filters offer superior performances like waveguide filters. However, microstrip filters are popular because of planar structure, which offers ease in volume production using circuit printing technologies [1]. Present communication systems demand an operation in multiple operating bands to meet the modern trends, which is not possible by a single filter prototype. Tunable/­ reconfigurable filters can fulfil this requirement by using adjustable tuning elements used with filter topology to avoid the switching between different filters. Front end receivers use tunable filters where it has multiband operation like Cognitive Radio, radar applications and satellite communication systems [4]. Tunable/reconfigurable filters reduce complexity of the transceiver system in wireless communication systems. Tunable bandpass filter can be used to eliminate the filter bank and switching network as shown in Figure 4.1. To design an electronically tunable filter, methods like microelectromechanical systems, semiconductor diodes (P-I-N diode and varactor diodes), ferroelectric films (Barium Strontium Titanate (BST) thin films) and RF Microelectromechanical Systems (MEMS) (MEMS tunable capacitor banks) are incorporated within a passive filtering structure. With integration of MEMS with planar filter, a size reduction can be possible. Hence, Microstrip tunable/reconfigurable filter is of larger interest [2, 4]. In tunable filter, bandwidth tuning is more difficult than frequency tuning. Also it is found that design of wideband tunable filter is more challenging than narrowband tunable filter for center frequency tuning range and bandwidth tuning. Nonlinearity observed in the performance of a tunable filter quite depends on the tuning element used. A piezoelectric transducer and RF MEMS switch used in tunable filter gives better linearity. Higherorder and narrowband tunable filters implementation can be restricted due to the lower value of the Quality (Q) factor of tuning element. Lower value of Quality (Q) factor of the tuning elements and other losses of the the filter can increase the insertion loss of the filter as the order of the filter increases and decreases bandwidth. Hence, all these factors contribute to increase insertion loss of a high-order and narrowband tunable filter. The tuning

Tunable filter with FDGS  69 Filter 1

Filter 2 Antenna

Receiver Circuit Filter 3

Filter 4 (a)

Antenna

Tunable Filter

Receiver Circuit

(b)

Figure 4.1  Tunable filter replacing filter bank [5] (a) Receiver system with multiple filters (b) Receiver system with tunable filter replacing multiple filters.

range is also one of the limitations for a higher-order filter. The design and implementation of tunable filters imply trade-offs like filter size, insertion loss and the complexity of the circuit [2]. Tunable microwave filters can have continuous tuning, discrete tuning or a combination of both. MEMS switches or PIN diodes are used to get discrete tuning in tunable filter. Varactor diodes, ferromagnetic materials and ferroelectric materials are utilized for continuous tuning of the filter. To get discrete and continuous tuning in the filter, designers combine the elements of discrete and continuous tuning as well. Semiconductor-based tuning elements are used for frequencies below 10 GHz [6]. The latest wireless receiver systems have constrained novel challenges for the design of tunable RF/microwave filters. Tunable filter imposes better optimization in filter parameters like insertion loss, return loss, selectivity, stopband attenuation, a percentage of ­bandwidth/ center frequency tuning, size and cost. Printed circuit technology makes it possible to reduce the size of the microstrip filter significantly and with this, it also reduces the cost of the fabrication. Microstrip circuits are made up of conducting material strip on dielectric substrate and a copper ground plane on the other side of the dielectric material. The proposed design used Hairpin microstrip design, which produces narrower bandwidth. Hairpin design gives better return loss, compact size and low cost [7]. Compactness is a demanding feature in the latest filter design. Defected Ground Structure (DGS) with fractal geometry offers a good size reduction. Proposed design also uses fractal DGS to get the advantage of size reduction. Varactor diodes are used along with fractal DGS to achieve centre frequency tuning. The chapter is organized as follows: Section 4.1 is an introduction to tunable filter. Section 4.2 describes the literature review in this area. Section 4.3 discusses our designed filter with fractal DGS for size reduction and tunable filter with the use of varactor diodes. Section 4.4 is the conclusion.

70  Electrical and Electronic Devices, Circuits, and Materials

4.2 Literature Review Research in the microwave tunable filter can be classified into two major categories. First, to reduce effects on the performance parameter of the tunable filter like insertion loss, selectivity and linearity deterioration. Second, to attain better levels of tuning in terms of centre frequency tuning, bandwidth tuning and filtering type (e.g., switching between bandpass/ bandstop responses) [8]. As per reported literature, a tunable microwave filter can have mechanical tunning, magnetic tunning and electronic tunning. Mechanically tunable filters are designed using the coaxial cavity or waveguide resonators. Mechanical tuning is achieved by moving a tuning screw or plate to change the resonant frequency of a tunable filter. They can handle large power and they have low insertion loss. Mechanical tuned filters are large and bulky, and having slow tuning makes them unsuitable for current communication systems [9–11]. Magnetically tunable filters are popular in microwave communication systems due to the high quality factor, wide tunning range and low insertion loss. They use single crystal Yttrium-Iron-Garnet (YIG) spheres in the resonators and it can be tuned by altering the biasing current. Magnetically tunable filters offer low insertion loss but they are larger in size, consume more power and offer slow tuning speed [12, 13]. Electronically tunable filters incorporate variable capacitors, semiconductor diodes or RF MEMS switches, which are biased with DC voltage. The applied DC voltage changes capacitance or inductance loading in a resonator, which tunes the centre frequency of the filter. Electrically filters are more popular due to their better tuning range, miniaturization, speed of tuning and suitability to integrate with latest communication hardware [14–16]. The proposed work is based on planar structure, hence, the literature review related to it is presented.

4.2.1 Planar Reconfigurable Filters Planar structure provides a simple structure and allows flexible filter design, and it is easy to integrate tuning with it. Cho, Y. H. et al. [17] proposed reconfigurable filter, which changes from bandpass to bandstop by changing the coupling coefficient of resonators controlled by RF MEMS switches and varactors. Bouyge D. A. et al. [18] proposed size reduction using   split ring resonators (SRR) and frequency agility using vanadium dioxide switches. Different combination of switches adds a pole to the bandstop filter to change configurations of bandwidth and centre frequency. Bandwidth or center frequency switching is also possible with P-I-N diodes. Miller A. et al. [19] designed tunable bandpass filters with switchable bandwidths using short circuit coupled lines and short circuit stubs with P-I-N diodes. When the P-I-N diodes are in the ON mode, it achieves around 35% fractional bandwidth (FBW), while in OFF mode FBW is reduced to 16%, at a centre frequency of 1.9 GHz. High-temperature superconducting (HTS) filters are popular due to their low insertion loss and they can be easily realized with higher orders. A HTS-based tunable bandpass filter with dual-mode resonator and Gallium arsenide (GaAs) varactors was proposed in [20]. The said work is designed for L-band tuning range from 0.784 GHz to 0.918 GHz (16%) while having small variation in fractional bandwidth. Hu Jiang et al. [21] proposed quasi-­ elliptic coplanar waveguide (CPW) tunable bandpass filter using BST varctors in Ka band. The filter exhibits area reduced by 35% with constant fraction bandwidth (FBW).

Tunable filter with FDGS  71 Filter size miniaturization is a demand in modern communication systems. Surface Integrated Waveguide (SIW) structure has low losses, higher Q value and is easy to integrate with other planar technologies. Bin You et al. [22] proposed tunable filter based on Half-mode SIW (HMSIW). HMSIW has the advantages of SIW along with size reduction to 50%. Bin You et al. [22] utilized reduced space to load JDV2S71E varactor (manufactured by TOSHIBA) as a tuning element. Designed HMSIW filter provides both center frequency and bandwidth tuning capabilities. Filter size can be reduced in different ways in microwave circuit design. One of them is by using metamaterial. A metamaterial-based tunable is proposed in [23] for size reduction and ease in integration for FM receivers. A dumbbell-shaped slot metamaterial along with varactor diode is used to change center frequency from 9.1 GHz to 11 GHz. Another way to reduce the size of the filter is to use Defected Ground Structure (DGS) with fractal geometry. Research activity has increased to use DGS in planar filters as it offers better performance and compactness. If a higher iteration level of fractal DGS is utilized, the bandpass filter becomes more compact [24]. The next section proposes a tunable bandpass filter utilizing hairpin structure along with fractal DGS for size reduction. Tuning is proposed by varactor diodes used in the geometry of fractal DGS.

4.3 Proposed Work Here, initial design of hairpin bandpass filter having a center frequency of 3.5 GHz with bandwidth 430 MHz is proposed. Fractal DGS (3rd iteration of hexagonal shape) is introduced into it, so that its dimension can be reduced. After fractal DGS, varactor diode (variable capacitor) is inserted and thus, by changing the capacitance the center frequency of the band can be changed.

4.3.1 Design of Hairpin Bandpass Filter A hairpin filter is a popular bandpass filter in microstrip filter theory. The hairpin bandpass structure is compact in size. They can be derived by folding the resonators (λ/2 length) of parallel coupled lines, into a “U” shape. This folded resonator is named as a hairpin resonator [25]. A 3rd order bandpass filter centred at 3.5 GHz with 430 MHz Bandwidth (FBW=12.28%) has been selected. Substrate is chosen as RO3010 (ɛr=10.2) with thickness 1.27 mm. Design formula for hairpin filter can be used from [25, 26]. Also, design parameters can be extracted from facility available in CST MICROWAVE STUDIO® V. 2018 by providing requirements of the filter. The design parameters for the hairpin bandpass filter are as shown in Table 4.1. We have chosen to have a 3rd order filter to have less complexity and reduction in size. Designed filter with specific dimensions is shown in Figure 4.2. The simulated results of S21 and S11 of the designed hairpin bandpass filters are shown in Figure 4.3. The graph is plotted between gain (in dB) versus frequency (in GHz). S21 shows the passband of the filter and it is observed that the passband of the filters has center frequency 3.48 GHz and 430 MHz 3-dB bandwidth. Filter response also shows good out of band rejection. S11 shows the return loss of the filter. The filter is resonating exactly at 3.5 GHz frequency and has return loss of 28 dB, which is quite good.

72  Electrical and Electronic Devices, Circuits, and Materials Table 4.1  Design specification of proposed hairpin bandpass filter. Design specifications Band Pass Centre Frequency

3.5 GHz

Substrate (Ɛr)

10.2

Substrate Thickness

1.27 mm

Bandwidth

430 MHz

Insertion loss

4 × 1012

>4 × 1012

6 × 1013

Saturation (peak) electron velocity (1×107cm/s)

1

2

2.1

2.3

2.7

Electron mobility (cm2/Vs)

1500

700

8500

10000

900

Critical Breakdown field (MV/cm)

0.3

2

0.4

0.5

3.3

Relative dielectric constant (εr)

11.8

10

12.8

12.5

9

Thermal conductivity (W/cm-K)

1.5

4.5

0.5

0.7

> 1.7

88  Electrical and Electronic Devices, Circuits, and Materials

5.3 Polarization Impact and Creation of 2DEG in GaN HEMT 5.3.1 Polarization Effect III-N semiconductor as well as GaN have different crystal structures such as Rock-salt, Zinkblends, and Wurtzite [32, 33]. Ga-N/Al/In alloys grant a Wurtzite metastable structure at ambient tempratute. The common GaN-based HEMTs are developed on the Wurtzite phase. A polar axis in Wurtzite III-N based semiconductors and GaN-based semiconductors exist in the direction. Due to distinction among the Nitrogen (3.04) atom, and Indiun (1.78) and/or Aluminium (1.61) and/or Gallium (1.81) atom the group III-N’s have been considered through advanced ionicity of the nitrogen-metal covalent The semiconductors of group III-N show extremely effective polarization due to the electronegative charge distribution in the crystal. This polarization is called Psp (spontaneous polarization) [34]. Since these semiconducture materials have distinctive polarities, subsequent starting unsymmetrical charge allocation among adjacent atoms. Figure 5.4 demonstrates two feasible polarizations, in N-face (anion-face) (a), the field of polarization tendency in reversed in the atoms, although Ga-face (cation-face) (b), constructions the field of polarization tips gone through substrate from surface. The piezoelectric polarization is represented by the relation [1]



SP SP PAlPZx Ga1− x N = xPAlN + (1 − x )PGaN + bAlx Ga1− x N x (1 − x )

(5.1)

SP SP bAlx Ga1− x N x (1 − x ) = 2 PAlN + 2 PGaN − 4 PAlSP0.5Ga0.5 N

(5.2)

where



this yields, for the values given in [1]

PAlPZy Ga1− y N = 0.0191x (1 − y ) − 0.034(1 − y ) − 0.09 y



(5.3)

Ga-face

N-face Ga

C0

Ga

[0001]

[0001]

N

Psp

Psp

C0

N u0

u0 (a)

C/m 2

Substrate

a0

(b)

Substrate

a0

Figure 5.4  Demonstration of the standard GaN Wurtzite structure lattice: (a) N-face (b) Ga-face.

GaN High Electron Mobility Transistor Device Technology  89 The second polarization field is piezoelectric polarization. Owing to the distinction in lattice coefficients in GaN and AlGaN crystals the tensile stress has been developed in the AlGaN layer near the heterointerface. To demonstrate this, a suitable correlation is given in [35]: One can deliberately regard the crystal lattices as two combs having different teeth spacing. When the combs are coupled together, their teeth try to fit in the position of each other, which introduces tensile stress. In this example the teeth represent bonds and atom of the crystal lattice and combs represent GaN and AlGaN layers. The thickness of the channel is layer much higher as compared to barrier layer because no noticeable stress appears in the channel layer. Owing to lattice difference among AlGaN and GaN induces a built-in polarization field and static charge inside barrier layer because of nitrides polarization properties [36]. The direction of PPZ is the same as the PSP spontaneous polarization.

  PPZ = e ε



(5.4)

  Where e denotes the piezoelectric tensor and e denotes strain tensor. Through symmetry concern the equation 5.4 simplifes to [37]



 Px   0     Py  =  0     Pz   e31

0

0

0

e15

0

0

e24

0

e32

e33

0

0

   0   0   0     

ε xx   ε yy   ε zz  ε yz   ε xz   ε xy  

(5.5)

where three independent components are e15 = e24, e31 = e32, e33. The shear strain related to e15 components is not present in the produced films, therefore the equation 5.5 can be simplified as



PPz, Z = e31εxx + e32εyy + e33εzz

(5.6)

In epitaxial film the strain components produced twoards z-direction are



ε xx = ε yy =



ε zz =

a − a0 a0

c − c0 c0

(5.7)

(5.8)

where c0, a0 represents the lattice constants of the substrat and c, a denote the epitaxial material lattice coefficient. The equation 5.6 can be simplified as



PPZ,Z = 2e31εxx + e33εzz

(5.9)

90  Electrical and Electronic Devices, Circuits, and Materials by substituting equation 5.7 in equation 5.9 and representing εzz i.t. 0. Using Poisson’s relation to obtain [38]

PPZ , Z = 2



a − a0  C  e31 − e33 13   a0  C33 

(5.10)

where C33 as well as C13 represents the elastic stifness coeffcients and e31 and e33 are the PZ constant given in Table 5.2. However, the terms in the brackets are negative under tensile strain (PPZ < 0, as a > a0) and it is positive under compressive strain (PPZ > 0, as a < a0). The piezoelectric polarization can be calculated using equation 5.9



PAlPZx Ga1− x N = [xε AlN + (1 − x )ε GaN ]ε ( x ) PZ PAlN = −1.808ε + 5.624ε 2 if ε < 0



= −1.808ε − 7.888ε 2 if ε > 0

(5.11)



PZ PGaN = 9.541ε 2 − 0.918ε



(5.12)

(5.13)

Using the material parameters given in [37]



PAlPZy Ga1− y N /GaN = 0.0282 x (1 − y ) − 0.0525 y C/m 2

(5.14)



PAlPZy Ga1− y N / AlN = 0.0282 x (1 − y ) − 0.026 y C/m 2

(5.15)

5.3.2 Formation of 2DEG In conventional MOSFETs the channel is formed in the doped region; due to this the carrier mobility reduces throughout collision through contaminations present inside the channel. Table 5.2  Polarization related parameters [39]. GaN

AlN

e13

-0.338

-0.533

e33

0.667

1.505

C13

103

108

C33

405

373

Psp [Cm-2]

-0.034

-0.090

GaN High Electron Mobility Transistor Device Technology  91 However, HEMTs incapacitate these problem associated to mobility humiliation. In HEMTs device the doping has been done in a wide bandgap layer and the channel is formed in an undoped narrow bandgap material form heterojunction illustrated in Figure 5.5. Due to the energy distinction among highly doped higher bandgap material as well as undoped lower bandgap material, a quantum well is formed into the channel layer, somewhere mobile carrier capable to freely move without impurities scattering into the channel. It can be achieved by the development of an incredibly narrow layer with very excessive density with high mobile carriers, in other words “high electron mobility”. However, in GaN-based HEMTs devices, the 2DEG formation principle is different from the conventional HEMTs explained above. In III-N semiconductor heterostructures, the governing basis after the buildup the carriers concentration inside heterointerface is implicit effective polarization, subsequent

Semiconductor I

Semiconductor II II Evac

I Evac

Χ II

ΔEC

ΧI

ECII

ECI EFII

EFI EVI

EVII

ΔEV (a)

Semiconductor I

Semiconductor II

ECII

2DEG ΔEC EF

EVII ΔEV

(b)

Figure 5.5  AlGaN/GaN heterostructure energy band diagram, (a). Before contact, (b). After contact.

92  Electrical and Electronic Devices, Circuits, and Materials in boundary charge exclusive of the existence of every considerable doping that additional improves in mobility. The 2DEG transport concentration (ns) can be expressed [40]:



σ   ε ε  ns =  int  −  2 0 r  (q∅b + EF − ∇EC )  q   q t AlGaN 

(5.16)



∅b = ECII − EF

(5.17)

Where ∇EC represents the energy difference between GaN and AlGaN, q∅b represents gate contact Schottky-Barrier high which effect threshold voltage of the device, EF represents the fermi energy level, AlGaN dielectric constant is represented by εr, which effect the device breakdown voltage, q represents the charge of the carrier, AlGaN thickness is represented by tAlGaN, AlGaN/GaN heterointerface charge sheet density is represented by σint.

5.4 GaN-Based HEMT Performance Affecting Factors 5.4.1 Surface Passivation GaN-based HEMTs is an outstanding contender for new-generation RF and power switching applications, owing to their excellent properties [41–47]. However, due to the ultrathin barrier layer in the HEMTs device undergoes starting surface sensitivity also conducts high leakage currents in gate in addition to current collapse, demanding passivation technology [48–50]. A MIS (metal insulator semiconductor) configuration is a really efficient technique to overcome that problem associated with single gate configuration. Kanamura et al. [51] expressed using the GaN/AlGaN MISHEMT below excessive input power application the gate leakage current was appropriately controlled. Therefore, a MIS-HEMT has capacity for a wide-ranging input signal sweep, due to this in high output power. Makiyama et al. [52] have investigated a GaN-based HEMT using InAlGaN as a barrier layer and found 3 W/ mm output power density at 96 GHz. Though, often limits their operations in InAlN/GaN HEMTs because of gate leakage current. Properly, the MIS arrangements are compatible to focus on the gate leakage problem hence boosting GaN/InAlN HEMTs device performance. For constructing surface passivation or MIS gate structure for III-N based HEMTs it is crucial to think about chemical stability, breakdown field permittivity, and bandgap, of the insulators. Further, the interface state densities and band offsets of insulator/semiconductor are responsible for stability and operation of MIS-HEMTs. In this concern, numerous types of insulating materials have been used to achieve excellent performance. But numerous problems remain unsolved [53]. One of the most essential problems is instability in the threshold voltage [54–57]. At the forward bias condition for sufficient decrimants in the gate leakage current, large band offsets along with large bandgap is required. This is crucial for a substantial operation of power switching transistors. In spite of high-k dielctric oxides like Al2O3, Si3N4, SiO2, La2O3, CeO2, ZrO2, HfO2 etc. are necessary for MIS-HEMT device fabrication with maximum frequenct fmax, unity gain cutoff frequency fT, as well as transconductance gm. This insulating layer and barrier layer thickness are directly related to gm. Peng et al. [58] have used Si3N4 as passivation layer in T-shaped gate and analysed

GaN High Electron Mobility Transistor Device Technology  93 the DC and RF performance of the device. After passivation maximum transconductand of 1200 mS/mm and maximum frequency of 450 GHz have been achieved. A stripper barrier layer consequences in high gm as well as lesser threshold voltage. Hence, this is essential to accept appropriate gate insulator corresponding to the device usages.

5.4.2 Parasitic Effects Despite the outstanding performance of the devices, numerous technological features still have to be upgraded before GaN power HEMTs can obtain extensive application. In reality, modern devices even now tolerate early degradation and trapping mechanisms that change the reliability and the dynamic performance of the devices. The recoverable effects are able to impact the dynamic as well as static performance of the devices. It degrades the device active characteristics regarding stress condition and time. These type of impacts are known as trapping impacts or parasitic impacts [59]. These effects are produced due to the energy states available within the bandgap of the semiconductors, which seem likes traps for carriers upcoming from channel. These traps are generally associated to impurities or atom displacement, crystal irregularities existence inside GaN-based HEMT structure layers [60]. By applying operational electric field these types of traps can be removed. There are two significant parasitic effects such as current collapse effect and kink effect [61]. The presence collapse current in RF amplification is unluckily unique influences that usually happen through individually RF [62] and DC [63] electrical stresses. Instead of this, the kink effect is harmful for the performing of HEMTs, also the consequence of it the dispersion between RF and DC properties, transconductance compression and improves output-conductance. Further, kink effect is an unexciting blocking influence which affects the drain current on lower drain bias. This consequence is obtained at lower VDS as well as disappeared at higher source to drain voltages; due to this the pinchoff voltage moves forward extra negative voltages as well as an unexpected increase in drain current. The relation between VD and emission rate at VG = 0 V can be taken out by using equation below [64]. Initially, take into consideration a random temperature process, and the Arrhenius equation express the emission rate can be given by:



 E  e = AT 2exp  − F   kT 

(5.18)

where, EF represents Fermi-energy level, T represents temperature, Boltzmann coefficient is represented by k, the reduction in barrier (β), due to high electric field with regard to the square-root of electric field (F) can represents by eqn. (5.19).



∆∅ PF

q  = 2 π

1/ 2

F =β F

(5.19)

Where, dielectric constant represented by ε, q represents the unit charge of an electron. Further, the ionization energy is shown below:



Ei ( F ) = Ei (0) − β F

(5.20)

94  Electrical and Electronic Devices, Circuits, and Materials

5.4.3 Field Plate Engineering Technique In the past few decades, the III-N based HEMTs has attracted attention because of its exceptional performance like higher electron mobility and huge critical field. However, the early breakdown adjacent to the hetero interface appears because of extremely higher critical electric field [65]. Zhang et al. [66] has used field plates techniques to overcome this problem. A significant enhancement in the device performance has been achieved with accepting field plate technique. The GaN based HEMTs power characteristics have been improved by using this technique [67] at the source and gate terminals. Further, the current collapse into the GaN based HEMT will be reduced via introducing field plates. Canberk et al. [68] have used field plates techniques and analysed the device performance. From the analysis it is found that the field plates techniques can reduced the heat generation as well as control electric field of the device. Further, in a few instances with the using of the field plate techniques, greater than 8.1% highest temperature increase decline is attained, which can be the additional enhanced by multiple field plate structural design. Double field plate HEMTs [69–71] have been further effective toward the control current failure over SG fieldplate HEMTs owing to this scattering in electric field decreasing crests. Field plate too shows an important character in enhancement of the HEMT devices power densities. Ahmet et al. [72] showed that improving field plate dimension will enhance the GaN-based HEMT power characteristics. In this article the maximum of 5.2 W/mm output power density was recorded by using 0.6 µm gate segment and 0.5 µm field plate segment. Further, 11.4 db gain and 33% of efficiency at 8 GHz operating frequency have been achived. Ye-Jin et al. [73] have used T-shaped gate-connected field-plate to optimize the breakdown voltage. From the analysis it is found that by using Field-plates improve electric field spikes near the gate and drain-side overlying edges, which ultimately separate charge trapping and electron avalanche effects. By using this technique the highest transconductance of 132.5 mS/mm also maximum breakdown voltage of 224 V have been reported. Jing et al. [74] have proposed field plate with source-connected HEMT device in favor of low-power as well as high-speed logical applications. The manufactured device showed decent electrical properties with 76 mV/decade low subthreshold, DIBL of 44 mV/V, highest transconductance of 1100 mS/mm, less than 5 × 10-6 A/mm offstate leakage current, as well as 2.4 × 104 ION/IOFF ratio at VDS = 0.5 V. Further, the bias VDS increasing up to 1.0 V, the highest transconductance enhanced up to 1750 mS/mm alongwith fT of 113 GHz. For high power devices one of the major consequence is self heating effect to be taken into account. Since it substantially decreases the GaNbased HEMTs power characteristics. When the power density of the device raises, thermal resistance is also raised. Vice et al. [75] Considering the field plate can be enhanced the power performance of HEMT degrades the thermal resistance. The device with Lfspr = 3µm, Lfso = 2 µm and Lfp = 1 µm delivers 18 K/W/mm minimal thermal resistance and 12 W/mm extreme power density. Two different devices through 0.14 gate technology [76] was manufactured upon MMIC for X-band as well as Ka-band frequency application. The elementary device with 4 x 65 µm T gate HEMT shows 7.7 W/mm by using 35 GH and the second device with 4 x 75 µm T-gate HEMT shows 12.5 W/mm by using 10 GHz. These are the [77] maximum verified significance of power densities in favor of GaN MMIC’s Ka and X band till the date. Taku et al. have used field plate configuration with Schottky barrier diodes and 680 V greatest breakdown field through less specific ON resistance of 1.1 mΩcm2 have been reported. These characteristic makes it suitable for higher power as well as LNAs switching [78] applications.

GaN High Electron Mobility Transistor Device Technology  95

5.4.4 Impact of Barrier Layer High aspect ratio of effective dielectric thickness and gate length, splitting the channel and gate is mainly desired for achieving higher frequency as well as maximum power performance of the GaN-based HEMTs device [79]. The aspect ratio of the device diminishes and decreased the RF performance as gate lengths are scaled. As the effective barrier layer thickness increased, the aspect ratio decreased, and due to this the performance of the device degrades at high frequency. Further, to minimize the short channel effects, the higher aspect ratio can be essential for RF performance in these devices [80]. Furthermore, the barrier layer thickness plays an important role in device performance, whilst gate length is down lower than 22 nm. Hemant et al. [81] have analysed the impact of barrier layer thickness on the HEMT device performance. From the study it is noticed that when the barrier layer thichness raises the 2DEG in the channel too rises, subsequent in degraded boundary trap scattering, therefore boosting the efficient mobility. On other hand, thinner barrier layer thickness reduces the carrier concentration into the channel, therefore increasing the sheet resistance; due to this the drain current of the device decreases and delay increases. Further, as the barrier layer thickness increases the threshold voltage movement towards negative side is also noticed. Enhancements in both fmax of 312 GHz and fT of 668 GHz with decrease in barrier layer thickness has been observed. Bohan et al. [82] have analysed the influence of barrier layer on device performance. In this article, transient I-V as well as DC I-V magnitudes were employed to illustrate the threshold voltage shift (VTH shift) as well as the ΔVTH hysteresis. The experiment results shows that ΔVTH boosts with barrier layer thickness and reduces, demonstrating the evidently quick transient in VTH caused through barrier. Tian-Li et al. [83] have designed and fabricated GaN-based HEMT with double barrier layer. From results it is observed that the devices demonstrate a more positive VTH by dropping in Al% inside the lowest barrier, demonstrating that a double barrier design in GaN-based devices has been another possibility to attain the characteristic of enhancement mode. Khan et al. [84] have examined the influence the barrier layer thickness (db) on device performance of double gate HEMT. From the observation it is noticed that with the rise in the db), the drain current enhances and achieves a value of 0.088 A at db =10 nm. Further, it is also noticed that DIBL got enhanced with the increase in db. The DIBL is going on rising linearly from 107 mV/V at db = 5 nm to 127 mV/V at db = 10 nm. Although the threshold voltage, Vt is to be shifted more negative db and observed -0.7 V at 10 nm. Furthermore, The maximum transconductance value of 993 mS/mm is observed at 5 nm db. Thus, taken as a whole the RF device performance got improved with the reducetion in db. Hence, the barrier layer of the GaN-based HEMTs is extensively critical as it affects the device performance.

5.5 Conclusion The HEMT devices based on GaN semiconductor are an excellent contender for huge power as well as RF applications. Its distinctive nature of 3.4 eV wide bandgap, high-­frequency application and high breakdown voltage gives this device excellent scope. Due to the polarization effect in III-N semiconductor the GaN-based HEMTs have superior RF as well as DC performance as compared to InP and GaAs-based HEMTs. A MIS structure or surface passivation is a really efficient technique to overcome the gate leakage current problem

96  Electrical and Electronic Devices, Circuits, and Materials associated to the HEMTs device. Also, in GaN-based HEMTs to reduce current collapse, Field plate techniques are used. Further, the field plate technique plays an important task in enhancement of the HEMT devices power densities. High aspect ratio of effective dielectric thickness and gate length, splitting the channel and gate is mainly desired to achieve higher frequency operation. To reduce the impacts of short channel, the high aspect ratio is necessary for RF performance. As the effectuive barrier layer thickness increases, the HEMT device aspect decreases; due to this the device performance degrades at high frequency. Hence, the barrier layer of the GaN-based HEMTs is extensively critical as it affects the device performance.

References 1. T. Mimura, S. Hiyamizu, T. Fujii, and K. Nanbu, “A New Field-Effect Transistor with Selectively Doped GaAs/n-AlxGa1-xAs Heterojunctions,” Jpn. J. Appl. Phys., vol. 19, no. 5, pp. L225–L227, May 1980. 2. U. K. Mishra, “AlGaN/GaN HEMTs an overview of device operation and applications,” Proc. IEEE, vol. 90, no. 6, pp. 1022–1031, 2002. 3. K. Joshin, “A 174 W high-efficiency GaN HEMT power amplifier for W-CDMA base station applications,” in Proc. IEEE International. Electron Devices Meeting, pp. 12.6.1–12.6.3, 2003. 4. U. K. Mishra, “GaN-based RF power devices and amplifiers,” Proc. IEEE, vol. 96, no. 2, pp. 287–305, 2008. 5. Baliga,” Gallium nitride devices for power electronic applications”, Semicond. Sci. Technol., vol. 28, pp. 074011, 2013. 6. T. Mimura, “The Early History of the High Electron Mobility Transistor (HEMT)’’, IEEE Trans. Microwave Theory and Techniques, vol. 50, no. 3, pp. 780-782, 2002. 7. T. Mimura, “A New Field-Effect Transistor with Selectivel Doped GaAs/n-AlGaAs Heterojunctions”, Jpn. J. Appl. Phys., vol. 19, no. 5, pp. 225-227, 1980. 8. U. K. Mishra,  A.S. Brown,    S.E. Rosenbaum,    C.E. Hooper, and  M.W. Pierce, “Microwave Performance of AlInAs-GaInAs HEMT’s with 0.2- and 0.1-um Gate Length,” IEEE Electron Device Lett., vol. 9, no. 12, pp. 647–649, 1988. 9. L. Aina, M. Burgess, M. Mattingly, J. O. Connor, A. Meerschaert, and M. Tong, “0.33- mu m millimeter-wave InP-channel HEMTs with high f/sub T/ and f/sub max, IEEE Transactions on Electron Devices, vol. 38, no. 12, pp 2702-2704, 1991. 10. P. M. Smith, S. M.-Y. Kao, P. Ho, S.C. Wang, K.H.G. Duh, S.T. Fu, and P. C. Chao “W-Band High Efficiency InP-Based Power HEMT with 600 GHz fmax,” IEEE Microw. Guid. Wave Lett., vol. 5, no. 7, pp. 230–232, 1995. 11. S. Bahl, J. Del Alamo, and C. MIT, “Physics of breakdown in InAlAs/n-InGaAs heterostructurefield-­effect transistors,” Electron Devices, vol. 41, no. 12. pp. 2268–2275, 1994. 12. Wu, “Forward Bias Gate Breakdown Mechanism in Enhancement-Mode p-GaNGate AlGaN/ GaN High-Electron Mobility Transistors”, IEEE Electron Device Lett., vol.36, pp. 1001–1003, 2015. 13. J. Ajayan and D. Nirmal, “20-nm enhancement-mode metamorphic HEMT with highly doped InGaAs source/drain regions for high frequency applications”, International Journal of Electronics DOI: 10.1080/00207217.2016.1218066. 14. J. Ajayan and D. Nirmal, “20-nm T-gate composite channel enhancement-mode metamorphic HEMT on GaAs substrates for future THz applications”, J Comput Electron vol. 16, pp 1291– 1296, 2016.

GaN High Electron Mobility Transistor Device Technology  97 15. N. Z. Yahaya, M. B. K. Raethar and M. Awan, “Review on Gallium Nitride HEMT Device Technology for High Frequency Converter Applications”, Journal of Power Electronics, vol. 9, no. 1, January 2009. 16. Wang, “Maximizing the Performance of 650-V p-GaN Gate HEMTs: Dynamic RON Degradation and Circuit Design Considerations”, IEEE Trans. Power Electron, vol.32, no. 7, pp. 5539-5549, 2016. 17. F. Medjdoub, “Low-noise microwave performance of AlN/GaN HEMTs grown on silicon substrate,” IEEE Electron Device Letter., vol. 32, no. 9, pp. 1230–1232, 2011. 18. K. Shinohara, T. Matsui ‘3–7 Nano-gate transistor-world’s fastest InP-HEMT’, Journal of the National Institute of Information and Communications Technology. Vol. 51, pp. 95–102, 2004. 19. Z. Tong, T. Gu, Z. Ye, K. Surakitbovorn, and R. Davila, “On the Techniques to Utilize SiC Power Devices in High- and Very High-Frequency Power Converters”, IEEE Transactions on Power Electronics, vol. 34, no. 12, pp. 12181 – 12192, 2019. 20. J. Ajayan, and D. Nirmal, “A review of InP/InAlAs/InGaAs based transistors for high frequency applications”, Superlattices and Microstructures, vol. 86, pp. 1–19, 2015. doi:10.1016/j. spmi.2015.06.048. 21. B. J. Baliga “Power semiconductor device figure of merit for high-frequency applications”, IEEE Electron Device Letters, vol. 10, no. 10, pp. 455–457, 1989. 22. P. Jain, M. Pahlevaninezhad, S. Pan, and J. Drobnik, “A Review of High-Frequency Power Distribution Systems: For Space, Telecom- munication, and Computer Applications”, IEEE Transactions on Power Electronics, vol. 29, no. 8, pp. 3852–3863, 2014. 23. O. Ambacher, J. Smart, J. R. Shealy, N. G. Weimann, K. Chu, M. Murphy, and J. Hilsenbeck, “Two-dimensional electron gases induced by spontaneous and piezoelectric polarization charges in N- and Ga-face AlGaN/GaN heterostructures”, Journal of Applied Physics, vol. 85 no. 6, pp. 3222–3233, 1999. 24. F. Bernardini, V. Fiorentini, and D. Vanderbilt, “Spontaneous polarization and piezoelectric constants of III-V nitrides”, Physical Review B, vol. 56 no. 16, pp. R10024–R10027, 1997. doi:10.1103/physrevb.56.r10024 25. E. T. Yu, X. Z. Dang, P. M. Asbeck, S. S. Lau, and G. J. Sullivan, “Spontaneous and piezoelectric polarization effects in III–V nitride heterostructures”, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 17 no. 4, pp. 1742, 1999. doi:10.1116/1.590818 26. R. Szweda, “Gallium Nitride and Related Bandgap Materials and Devices,” Elsevier Science, 2000. 27. R. S. Pengelly, S. M. Wood, J. W. Milligan, S. T. Sheppard, and W. L. Pribble, “A Review of GaN on SiC High Electron-Mobility Power Transistors and MMICs”, IEEE Transactions on Microwave Theory and Techniques, vol. 60 no. 6, pp. 1764–1783, 2012. doi:10.1109/tmtt.2012.2187535 28. S. Strite, “GaN, AlN, and InN: A review”, Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 10 no. 4, pp. 1237, 1992. doi:10.1116/1.585897 29. M. A. Khan, Q. Chen, M. S. Shur, B. T. Dermott, J. A. Higgins, J. Burm, and L. F. Eastman, “”GaN based heterostructure for high power devices”, Solid-State Electronics, vol. 41 no. 10, pp. 1555–1559, 1997. doi:10.1016/s0038- 1101(97)00104-4 30. T. J. Flack, B. N. Pushpakaran, and S. B. Bayne, “GaN Technology for Power Electronic Applications: A Review.”, Journal of Electronic Materials, vol. 45 no. 6, pp. 2673–2682, 2016. doi:10.1007/s11664-016-4435-3 31. A. Burk, M. O’Loughlin, R. Siergiej, A. Agarwal, S. Sriram, R. Clarke, and C. Brandt, “SiC and GaN wide bandgap semi-conductor materials and devices”, Solid-State Electronics, vol. 43 no. 8, pp. 1459–1464, 1999. doi:10.1016/s0038-1101(99)00089-1 32. H. Morkoç, Handbook of Nitride Semiconductors and Devices, Vol. 1: Materials Properties, Physics and Growth. Weinheim, Germany: Wiley-VCH Verlag GmbH & Co. KGaA, 2008.

98  Electrical and Electronic Devices, Circuits, and Materials 33. A. Trampert, O. Brandt, and Ploog.K.H., “Crystal Structure of Group III Nitrides,” in Gallium Nitride (GaN) I, Vol. 50. J. I. Pankove and T. D. Moustakas, Eds. San Diego, CA: Academic Press, 1998, pp. 167-192. 34. F. Bernardini, V. Fiorentini, and D. Vanderbilt, “Spontaneous polarization and piezoelectric constants of III-V nitrides,” Phys. Rev. B, vol. 56, no. 16, p. R10024, Oct. 1997. 35. A. Ramadan, “Active aneinnas with high input inpudance low noise and highly linear amplifiers”, PhD dissertation University der Bundeswehr Munchen, 2005. 36. U. K. Mishra, P. Parikh, and W. Yi-Feng, “AlGaN/GaN HEMTs – An Overview of Device Operation and Applications”, Proceedding of IEEE, vol. 90, no. 6, pp. 1022-1031, 2002. 37. H. Morkoc, Handbook of Nitride Semiconductors and Devices: Electronic and Optical Processes in Nitrides Vol. 1: Materials Properties, Physics and Growth. Weinheim: Wiley-VCH, 2008. 38. O. Ambacher, J. Smart, J. R. Shealy, N. G. Weimann, K. Chu, M. Murphy, W. J. Schaff, L. F. Eastman, R. Dimitrov, L. Wittmer, M. Stutzmann, W. Rieger, and J. Hilsenbeck, “Twodimensional electron gases induced by spontaneous and piezoelectric polarization charges in n and ga-face AlGaN/GaN heterostructures,” Journal of Applied Physics, vol. 85, pp. 3222-3233, Mar 1999. 39. J. Piprek, Nitride Semiconductor Devices: Principles and Simulation. Weinheim: Wiley-VCH, 2007. 40. Z. H. Feng, “Enhanced thermal stability of the two dimensional electron gas in GaN⁄AlGaN⁄GaN heterostructures by Si3N4 surface passivation induced strain solidification,” Appl Phys, vol. 85, no. 22, pp. 5248–5250, 2004. 41. K. Shinohara, D.C. Regan, Y. Tang, A.L. Corrion, D.F. Brown, J.C. Wong, J.F. Robinson, H.H. Fung, A. Schmitz, T.C. Oh, S.J. Kim, P.S. Chen, R.G. Nagele, A.D. Margomenos, M. Micovic, IEEE Trans. Electron Devices 60 (2013) 2982–2995. 42. D.S. Lee, Z. Liu, and T. Palacios, “GaN high electron mobility transistors for sub-millimeter wave applications”, Japanese Journal of Applied Physics, vol. 53, no. 10 pp. 100212-1-10, 2014. 43. T. Ueda, M. Ishida, T. Tanaka, and D. Ueda, “Effects of Deep Trapping States at High Temperatures on Transient Performance of AlGaN/GaN Heterostructure Field-Effect Transistors”, Japanese Journal of Applied Physics, vol. 53, no. 4S, pp. 100214-1-8, 2014. 44. T. Kachi, “Recent progress of GaN power devices for automotive applications” Japanese Journal of Applied Physics, vol. 53, no. 10, pp. 100210, 2014. 45. F. Roccaforte, P. Fiorenza, G. Greco, R. L. Nigro, F. Giannazzo, A. Patti, M. Saggio, “Recent advances on dielectrics technology for SiC and GaN power devices”, Applied Surface Science, vol. 301, pp. 9-18, 2014. 46. M. Kuzuhara, H. Tokuda, “Low-Loss and High-Voltage III-Nitride Transistors for Power Switching Applications”, IEEE Trans. Electron Devices, vol. 62, pp, 405–411, 2015. 47. K.J. Chen, O. Haeberlen, A. Lidow, C.l. Tsai, T. Ueda, Y. Uemoto, Y. Wu, “A Comprehensive Review of Recent Progress on GaN High Electron Mobility Transistors: Devices, Fabrication and Reliability”, IEEE Trans. Electron Devices, vol. 64, pp. 779–795, 2017. 48. X. Lu, H. Jiang, C. Liu, X. Zou, and K. M. Lau, “Off-state leakage current reduction in AlGaN/ GaN high electron mobility transistors by combining surface treatment and post-gate annealing”, Semiconductor Science and Technology, vol. 31, no. 5, pp. 055019, 2016. 49. T. Huang, A. Malmros, J. Bergsten, S. Gustafsson, O. Axelsson, M. Thorsell, and N. Rorsman, “Suppression of Dispersive Effects in AlGaN/GaN High-Electron-Mobility Transistors Using Bilayer SiNx Grown by Low Pressure Chemical Vapor Deposition”, IEEE Trans. Electron Devices, vol. 36, no. 6, pp. 537–539, 2015. 50. H. Kim, J. Lee, D. Liu, and W. Lu, “Gate current leakage and breakdown mechanism in unpassivated AlGaN∕GaN high electron mobility transistors by post-gate annealing”, Appl. Phys. Lett., vol. 86, pp. 143505, 2005.

GaN High Electron Mobility Transistor Device Technology  99 51. M. Kanamura, T. Kikkawa, T. Iwai, K. Imanishi, T. Kubo, and K. Joshin, “Insulated Gate NitrideBased Field Effect Transistors”, IEDM Tech. Dig. pp. 572, 2005. 52. K. Makiyama, S. Ozaki, T. Ohki, N. Okamoto, Y. Minoura, Y. Niida, Y. Kamada, K. Joshin, K. Watanabe, and Y. Miyamoto, “Current linearity and operation stability in Al2O3-gate AlGaN/ GaN MOS high electron mobility transistors”, Japanese Journal of Applied Physics, vol. 56, pp. 101001, 2017. 53. Z. Yatabe, J.T. Asubar, and T. Hashizume, “Insulated gate and surface passivation structures for GaN-based power transistors”, Journal of Physics D: Applied Physics, vol. 49, no. 39, pp. 3930011-19, 2016. 54. Y. Lu, S. Yang, Q. Jiang, Z. Tang, B. Li, and K.J. Chen, “Characterization of VT-instability in enhancement-mode Al2O3-AlGaN/GaN MIS-HEMTs” Phys. Status Solidi C, vol. 10, no. 11, pp. 1397–1400, 2013. 55. M. Tapajna, M. Jurkovic, L. Valik, S. Haccik, D. Gregusova, F. Brunner, E.-M. Cho, and J. Kuzmik, “Bulk and interface trapping in the gate dielectric of GaN based metal-oxide-­semiconductor high-electron-mobility transistors”, Appl. Phys. Lett. Vol. 102, no. 24, pp. 243509-1-4, 2013. 56. D.W. Johnson, R.T.P. Lee, R.J.W. Hill, M.H. Wong, G. Bersuker, E.L. Piner, P.D. Kirsch, and H.R. Harris, “Threshold Voltage Shift Due to Charge Trapping in Dielectric-Gated AlGaN/GaN High Electron Mobility Transistors Examined in Au-Free Technology”, IEEE Trans. Electron Devices, vol. 60, no. 10, pp. 3197–3203, 2013. 57. P. Lagger, P. Steinschifter, M. Reiner, M. Stadtmuller, G. Denifl, A. Naumann, J. Muller, L. Wilde, J. Sundqvist, D. Pogany, and C. Ostermaier, “Wafer-scale controlled exfoliation of metal organic vapor phase epitaxy grown InGaN/GaN multi quantum well structures using low-tack two-dimensional layered h-BN”, Appl. Phys. Lett. Vol. 105, pp. 033512-1-5, 2014. 58. Peng Ding, Chen Chen, Wuchang Ding, Feng Yang, Yongbo Su, Dahai Wang, and Zhi Jin, “Ultra-thin 20 nm-PECVD-Si3N4 surface passivation in T-shaped gate InAlAs/InGaAs InPbased HEMTs and its impact on DC and RF performance”, Solid-State Electronics, vol. 123, pp. 1-5, 2016. 59. Meneghesso,”Reliability and parasitic issues in GaN-based power HEMTs: A review”, Semicond. Sci. Technol., vol 31, pp. 093004, 2016. 60. Zanoni,” Trapping mechanisms in GaN-based MIS-HEMTs grown on silicon substrate”, Phys. Status Solidi Appl. Mater, vol. 212, pp.1122–1129, 2015. 61. G. Meneghesso, “Anomalous Kink Effect in GaN high electron mobility transistors,” IEEE Electron Device Letters, vol. 30, no. 2, pp. 100-102, 2009. 62. C. Lee, H. Tserng, L. Witkowski, P. Saunier, S. Guo, and B. Albert, “Effects of RF stress on power and pulsed IV characteristics of AlGaN/GaN HEMTs with fieldplate gates”, Electron Lett, vol. 40, no. 24, pp. 1147–1158, 2004. 63. E. Zanoni, G. Meneghesso, G. Verzellesi, F. Danesin, M. Meneghini, andF. Rampazzo, “A review of failure modes and mechanisms of GaN-based HEMTs”, In: IEDM tech dig, p. 381–84, 2007. 64. J. L. Jimenez, “Recent advances on the understanding of the physics of failure of GaN on SiC FET technology”, Proc. IEEE Int. Reliability Physics Symp., Phoenix, USA, pp. 429-435 , 2008. 65. Z. Peng, Z. Sheng-Lei, H. Bin, W. Chong, Z. Xue-Feng, M. Xiao-Hua, Z. Jin-Cheng and H. Yue “ Improvement of the offstate breakdown voltage with field plate and low-density drain in AlGaN/GaN high- electron mobility transistors”, Chin. Phys. B , vol. 24, no. 3 , pp. 037304-1037304-4, 2015. 66. Q. Zhang, S. Keller, G. Parish, S. Heikman, S.P. Denbaars and U.K. Mishra, “ High Breakdown GaN HEMT with overlapping Gate Structure”, IEEE Electron Device Letters, vol. 21, no- 4, pp. 421-423, 2000. 67. A. Brannick, “Influence of field plate on the transient operation of the AlGaN/GaN HEMT”, IEEE Electron Device Letter., vol. 30, pp. 436-438, 2009.

100  Electrical and Electronic Devices, Circuits, and Materials 68. Canberk Dundar, Dogacan Kara, and Nazli Donmeze, “The Effects of Gate-Connected Field Plates on Hotspot Temperatures of AlGaN/GaN HEMTs”, IEEE Transactions On Electron Devices, vol. 67, no. 1, pp. 57-62, 2020. 69. W. Feiler, “Multistep field plates for high voltage planar p-n junctions,” IEEE Trans. Electron Devices, vol. 39, no. 6, pp. 1514–1520, 1992. 70. P. K. T. Mok, “A novel high-voltage high-speed MESFET using a standard GaAs digital IC process,” IEEE Trans. Electron Devices, vol. 41, no. 2, pp. 246–250, 1994. 71. S. Karmalkar, “Very high voltage AlGaN/GaN high electron mobility transistors using a field plate deposited on a stepped insulator,” Solid State Electron., vol. 45, no. 9, pp. 1645–1652, 2001. 72. A. Toprak, “Structural Field Plate Length Optimization for High Power Applications”, Proceedings of the 9th European Microwave Integrated Circuits Conference, 2014. 73. Ye-Jin Park, Hyeon-Tak Kwak, Seung-Bo Chang, and Hyun-Seok Kim, “Breakdown Voltage Enhancement in AlGaN/GaN High-Electron Mobility Transistor by Optimizing Gate FieldPlate Structure”, Journal of Nanoscience and Nanotechnology, vol. 19, pp. 2298–2301, 2019. 74. Jing Neng Yaoa, Yueh Chin Linb, Min Song Linc, Ting Jui Huangd, Heng Tung Hsud, Simon M. Szea, Edward Y. Chang, “Evaluation of an InAs HEMT with source-connected field plate for highspeed and low-power logic applications”, Solid State Electronics, vol. 157, pp. 55–60, 2019. 75. Vice Sodan, Herman Oprins, Steve Stoffels, Martine Baelmans, and Ingrid De Wolf, “Influence of Field-Plate Configuration on Power Dissipation and Temperature Profiles in AlGaN/GaN on Silicon HEMTs” IEEE trans Electron Devices, vol. 62, no. 8, 2015. 76. C. Fitch, “Implementation of High-Power-Density X-Band AlGaN/GaN High Electron Mobility Transistors in a Millimeter-Wave Monolithic Microwave Integrated Circuit Process”, IEEE Electron Device Letters, vol. 36, no. 10, 2015. 77. W. Saito, “Suppression of dynamic on-resistance increase and gate charge measurements inhigh-voltage GaN-HEMTs with optimized field plate structure,” IEEE Trans. Electron Devices, vol. 54, no. 8, pp. 1825–1830, 2007. 78. J. Würfl,  O. Hilt,  E. Bahat-Treidel,  R. Zhytnytska,  P. Kotara,  F. Brunne Würfl, “Techniques towards GaN power transistors with improved high voltage dynamic switching properties”, In Proceedings of the IEEE Int. Electron Devices Meeting, Washington, pp. 9–11, 2013. 79. Y. Awano, M. Kosugi, K. Kosemura, T. Mimura, A. Masayuki, IEEE Transactions on Electron Devices, vol. 36, pp. 2260-2265, 1989. 80. Gregg H. Jessen, Robert C. Fitch, James K. Gillespie, Glen Via, Antonio Crespo, Derrick Langley, Daniel J. Denninghoff, Manuel Trejo, Eric R. Heller, IEEE Transactions on Electron Devices, vol. 54, pp. 2589–2597, 2007. 81. Hemant Pardeshi, Godwin Raj, Sudhansu Pati, N. Mohankumar, and Chandan Kumar Sarkar, “Influence of barrier thickness on AlInN/GaN underlap DG MOSFET device performance”, Superlattices and Microstructures, vol. 60, pp. 47-59, 2013. 82. Bohan Lu, Miao Cui, and Wen Liu, “The Impact of AlGaN Barrier on Transient VTH Shifts and VTH Hysteresis in Depletion and Enhancement mode AlGaN/GaN MIS HEMTs”, International Conference on IC Design and Technology (ICICDT),  SUZHOU, China, 2019. 83. W. Tian-Li, T. Shun-Wei, and J. Hong-Jia, “Investigation of Recessed Gate AlGaN/GaN MISHEMTs with Double AlGaN Barrier Designs toward an Enhancement-Mode Characteristic”, Micromachines, vol. 11, no. 2, pp. 163-172, 2019. 84. A. B. Khan, S. G. Anjum, M. J. Siddiqui, “Effect of Barrier Layer Thickness on AlGaN/GaN Double Gate MOS-HEMT Device Performance for High-Frequency Application”, Journal of Nanoelectronics and Optoelectronics, vol. 13, no. 1, pp. 20-26, 2018.

6 Design and Analyses of a Food Protein Sensing System Based on Memristive Properties Rupam Goswami1*, Arighna Deb2, Rithik Dilip Rathi3 and Prateek Mahajan3 Department of Electronics and Communication Engineering, Tezpur University,  Napaam, Assam, India 2 School of Electronics Engineering, Kalinga Institute of Industrial Technology Deemed to be University, Bhubaneswar, India 3 Department of Electrical and Electronics Engineering, Birla Institute of Technology and Science Pilani, Rajasthan, India 1

Abstract

Memristors have attracted great interest in the scientific community owing to the convenience in their manufacture, cost effectiveness and diverse applications. Proposed by Leon Chua as the fourth missing electrical element, memristors have been explored primarily for computing applications at the level of integrated circuits. Memristive behavior has been reported in various organic systems, and biological substances. What remains entirely unexplored is the possibility of using memristive behavior in food items to determine their protein content as a number of proteinous materials have been reported to depict the pinched hysteresis signature of a memristor. This chapter addresses the design, experiment and prospects of an electronic sensing system to determine the protein content in common food items, chicken egg albumin, milk and protein blend. Different sensitivity parameters along with their extraction mechanisms are presented. Keywords:  Memristor, egg albumen, milk, protein, sensors, sensitivity

6.1 Introduction Since the invention of integrated circuits, the semiconductor industry has made exponential progress on all frontiers, right from functionalities per unit chip to economy. The consistent efforts to satisfy Moore’s Law introduced explorations into downscaling the metal oxide semiconductor field effect transistor (MOSFET) [1]. However, the short-channel effects in MOSFETs resulted in degrading performance of the MOSFETs for low power applications, thus, fundamentally limiting their applications [2, 3]. Novel semiconductor devices were reported and experimented to address the drawbacks of MOSFETs, examples being the tunnel FETs [4–6], fin-shaped FETs [7–9], spin-FETs [10, 11], ferroelectric FETs [12–14], *Corresponding author: [email protected]; [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (101–118) © 2021 Scrivener Publishing LLC

101

102  Electrical and Electronic Devices, Circuits, and Materials carbon nanotube FETs [15, 16] and memristors [17–19]. Of those, memristors and memristor-based designs have emerged as potential devices and systems respectively, for diverse applications, specifically involving neuromorphic circuits, memory designs like ReRAM and flexible electronics. Possessing an interesting history of its invention, the memristor was first proposed by Leon Chua in 1971 as a theoretical account on the possible missing link between flux and charge-linkage [17]. The report was developed in justification with the existence of the three passive elements, namely, resistor, inductor and capacitor, all of them being defined in terms of any two of the four variables, namely, voltage, current, charge and flux. The inorganic oxide thin film memristor developed in 2008 by Hewlett-Packard (HP) Labs is acknowledged as the significant realization of Chua’s theory [20]. However, a tutorial by Chua in 2014 points out that in the history of electronics, there have been accounts of experiments earlier, which, in the process of achieving altogether different objectives, had reported results similar to memristive behavior [21]. Functionally, memristors are resistive switches, the word ‘memristor’ literally being a blend of the words, ‘memory’ and ‘resistor’. Devices or materials possessing memristive attributes exhibit pinched hysteresis (similar to the number ‘8’) in its current-voltage characteristics. Also, the hysteresis is observed at low frequencies, and as frequency increases, the lobes of the hysteresis disappear, and otherwise the memristor behaves as a resistor, showing a linear relationship between current through it and the voltage across it. It is interesting to note that the realization of the fabricated nanoscale memristor occurred long after Chua’s predictions in his theory. There are a number of mathematical models which can explain the memristive action in various materials and systems. Of them, the linear ionic drift model and non-linear ionic drift model are the fundamental models [22, 23]. Built on their templates, the ThrEshold (with the letter ‘E’ in uppercase) Adaptive Memristor Model (TEAM) addresses the physics-based explanations of the tunneling barrier model with tolerable errors [24]. From the experiments which have been reported so far, memristive characteristics are not as rare as expected. From macroscale systems to nanoscale levels, the memristive behavior is observed, and has been experimentally proved. Inorganic oxides and materials [25–27], nanowires and nanosheets [28, 29], ferroelectric device [30], organic substances [31] and biological materials [32] are some of the categories of memristive devices and systems. This chapter orients the application of memristive behavior towards the detection of proteins in food items. The objective is to establish a systematic strategy of implementation of a sensing system along with definitions of sensing parameters to quantify them. To the best of the authors’ knowledge, memristive action has been reported in proteins as stand-alone experiments, but no attempts have been made at using memristive behavior of proteins to detect and quantify proteins in food like milk, egg albumin and protein mixtures. The chapter is organized as follows. Section 6.2 gives a brief background of the work, which leads to the motivation behind this work in Section 6.3. Section 6.4 explains the experimental set-up, followed by methodology in Section 6.5. The sensitivity parameters are defined in Section 6.6. The results are presented and discussed in Section 6.7. Section 6.8 concludes the work and provides detailed insights into scope of the work.

Design and Analyses of a Food Protein Sensing System  103

6.2 Background Since the realization of memristors, many experimental reports and validations have been carried out on different materials and devices which depict pinched hysteresis-like signatures. This section will focus on the developments in the domain, with emphasis on bio-memristors in order to arrive at focused orientation towards sensing food items for memristive properties. Sub-sections based on different categories are presented in order to have an organized content which will aid in identifying the statements of the engineering problem taken up in the chapter.

6.2.1 Principle of a Memristor Chua defined the memristor as an element which bridges the relationship between charge and flux, somewhat in the form expressed as f(φ, q) = 0 where, φ is the flux and q is the charge [21]. The memristance (resistance of the memristor) is defined as M = dφ/dq which can be further expressed as a ratio of voltage and current functions. Additionally, Chua and Kang presented a set of generalized mathematical relationships to represent memristive systems, given as [21, 33]:



n = g(w, m, t)m

(6.1)



dw = f (w , m, t ) dt

(6.2)

where, m and n are the input and output, respectively, w is the state variable and t is the time. The functions, f and g, establish the nonlinear relationships. The state variable, w, is an important parameter in a memristive system, which relates the memristive equations to the underlying process in the system. Identifying this variable is essential in a system or a material to correctly predict the memristive characteristics. As mentioned in section 6.1, the pinched hysteresis is a signature of a memristive system or material [21]. The memristor exhibits dual states, the high resistance state and the low resistance state, which make it a potential candidate for switching applications [25–32]. The voltage-time plots of a memristive material or device is non-linear in nature [21]. The ‘pinch’ in the hysteresis was initially observed to be at the origin, but when the experiments expanded to several materials, it was concluded that the hysteresis may be pinched at coordinates other than the origin of the current-voltage characteristics.

6.2.2 Bio-Memristors The natural world is filled with materials which depict memristive action. To date, many biological materials have been reported to exhibit memristive characteristics. Martinsen et al. demonstrated that the sweat ducts in the human skin depicts pinched hysteresis in its current-voltage curves [34]. Johnsen et al. discussed the modulation in the conductivity

104  Electrical and Electronic Devices, Circuits, and Materials when the skin is connected to a voltage source [35]. Dual resistance states are exhibited due to the flow of the conducting fluid through the sweat ducts, corresponding to bidirectional movements. Gale et al. reported pinched hysteresis in the case of the organism, amoeba [36]. However, the hysteresis was not exactly pinched at the origin, but close to it. In the experiments reported by Volkov et al., Venus flytrap plant and Mimosa Pudica plant demonstrated memristive behavior [37]. For the former, the hysteresis was pinched close to the origin, similar to Gale’s report for amoeba. Hota et al. reported methods to fabricate and characterize bio-memristors from fibroin protein in Bombyx Mori silkworm cocoons [38]. Excellent non-linear characteristics were observed for the processed silk protein as memristor. Mukherjee et al. drew insights into the physics behind the conduction mechanism in silkworm derived fibroin protein based memristors [39]. Through low frequency noise measurements, the authors concluded that the existence of traps close to the conducting filaments contributes to the transport mechanism in such devices. Bag et al. demonstrated the bipolar resistive switching with pinched hysteresis in the currentvoltage characteristics in animal proteins, bovine serum and wasp silk, and plant proteins, papain and natural rubber latex [40]. However, the hysteresis lobes were not perfectly identical, and there were multiple pinching points, chiefly for bovine serum. Spider silk was reported to exhibit memristive action by Sun et al. [41]. Fabricated on the metalinsulator-metal architecture, the silk fibroin was layered on the electrodes using spin coating technique. The non-volatile resistive switching devices exhibited the dual resistance states in their current-voltage characteristics. Murgunde and Rabinal reported a bi-layer memristive device composed of silk fibroin integrated with CdSe quantum dots [42]. The metal-­ fibroin:CdSe-metal architecture exhibited stable states in multilevel switching. Wang and Wen extracted silkworm haemolymph from the silkworm Antheraea pernyi larvae, and reported memristive behavior for a device fabricated using it [43]. Similar to Mukherjee et al.’s work, low frequency noise analyses were carried out to analyze the role of the various functional groups in the conduction mechanism within the silk haemolymph. Memristors based on silk protein, sericin, on flexible substrates were reported by Wang et al. [44]. The trapping/de-trapping of charge carriers was regarded as the chief reason behind the multi-level switching in the devices. Chen et al. fabricated a biomemristor using egg albumin as the switching layer. High retention times and ratio of on and off currents were recorded for the device [45].

6.2.3 Applications of Memristors Memristors find applications in memory devices, programmable analog circuits, oscillators and chaotic circuits, neural networks, image processing techniques, digital logic and cytomorphic electronics. Resistive Random Access Memory (ReRAM) is one of the potential applications of memristors. The HP memristor based on TiO2 was a potential switching device [20]. A recent implementation of a Ta2O5/TaOx based memristor was reported by Lee et al. [46]. The experiment and modeling of the memristor was discussed in detail. Persin and Ventra proposed a method of programming analog circuits with the aid of memristors [47]. The presence of memristors in the programmable analog circuits was represented via an emulator. Itoh and Chua proposed a number of non-linear oscillators by replacing diodes with memristors [48]. Lin et al. demonstrated the viability and

Design and Analyses of a Food Protein Sensing System  105 advantages of three-dimensional circuits built of memristors as complex neural networks [49]. The authors used the eight layers of integrated memristive devices to carry out computational tasks such as handwriting recognition and edge detection in videos. Thangkhiew et al. proposed mapping of Boolean functions using binary decision diagrams (BDD) on crossbar architecture of memristors [50]. This was claimed to be the first approach in using BDD with memristor aided logic (MAGIC). Cytomorphic electronics is an emerging area which involves mimicking of biological processes via electronic circuits. Hanna et al. proposed a memristor-based cytomorphic circuit and block-level designs to represent the non-linear behavior of biochemical reactions and gene expression and simulate processes related to cancer [51].

6.3 Motivation From Section 6.2, it is observed that there are biological materials which exhibit memristive effects, particularly proteins or protein-containing substances. With the growing importance of the healthcare sector, protein consumption is an important problem which requires attention because it encompasses all age groups and professions. Deficiency or overdose of proteins in food causes health problems, and there is a need for streamlined experiments in this area. From food chemistry, medical science to consumer level economics, protein consumption is an unavoidable subject of interest. There are plenty of methods to detect proteins in substances. However, a cost-effective, instantaneous and simple method is preferred when it comes to design of a system which can be used as a Point-of-Care (PoC) product. Looking into the effectiveness of proteins as memristive materials, there is a motivation that food items containing proteins depict memristive behavior, and this can be utilized to sense their presence. The challenge lies in identifying the changes in their behavior for different concentrations, and translating them to mathematical parameters so that sensitivity equations may be defined to quantify them.

6.4 Experimental Set-Up An experimental setup as shown in Figure 6.1 is used to acquire data for the above experiment. It consists of a cuboidal sensing area (sample holder) in series with a resistor of known resistance, R. The cuboidal sensing area has two aluminum electrodes attached to it to facilitate the application of a voltage across the sample. Further, a triangular waveform is applied as an input signal to the circuit. Initially, the sensing area is cleansed with deionized (DI) water and ethanol, and dried. The sensing area used in the entire setup is a thermoformed plastic blister food storage pack of industrial grade, and has the advantages of robust, unreactive and moisture-free walls. The sample to be tested is placed in the sensing area. The considered samples are expected to exhibit either memristive or resistive characteristics, depending on the presence or absence of protein, respectively. The results are extracted using RIGOL DS1052E digital oscilloscope, and the supply is fed using Scientech4061-3 MHz.

106  Electrical and Electronic Devices, Circuits, and Materials If protein present

(a)

If protein absent

Vsample Sensing Area (sample) Vsupply

R

Triangular Waveform

VR

(b) Sample Holder Function Generator

Oscilloscope R

Figure 6.1  (a) Circuit for the experimental set-up, (b) Complete set-up for the experiment showing a sample holder, function generator and oscilloscope.

6.5 Experimental Methodology and Preliminary Validation This section gives a brief description of the methodology, and an experiment to confirm that the mere presence of proteins is necessary to give rise to the memristive action.

6.5.1 Experimental Methodology 6.5.1.1 Food Items Three food items are chosen to carry out the sensing experiments: chicken egg albumin, chemical/preservative-free packaged milk (‘Amul Taaza Homogenized Milk’ by Anand Milk Union Limited, India) and a protein blend (brand: Ultimate Nutrition) consisting of whey protein isolate, whey protein concentrate and whey peptides. The selection of food items has been made on the basis of commodity demands and usage. The first two items are designated as Category I items and the last one as a Category II item in this chapter, to distinguish naturally occurring unprocessed raw materials from processed/filtered items containing natural and artificial flavors.

Design and Analyses of a Food Protein Sensing System  107

6.5.1.2 Reading Voltage and Current Values In the circuit shown in Figure 6.1, any time varying signal is valid. However, a triangular signal is chosen as the supply voltage as it offers the advantage of detecting linearity and non-linearity in the voltage-time plots read across the circuit nodes. Due to purely linear slopes in the triangular signal, simple mathematical operations which can be applied to straight lines, can be applied conveniently. This gives flexibility in defining sensitivity parameters which are further discussed in Section 6.6. The supply signal is kept at amplitudes between 0.7 V to 1.5 V during the measurements. The frequency is kept as low as 40 Hz (Category II) or 50 Hz (Category I). A lower frequency has been taken up for the protein blend as it provides better stability of the results, leading to better hysteresis in its current-voltage characteristics, which is essential in identifying the memristive action. The sample holder and the resistor makes a potential divider configuration, making it convenient to read the voltage and current values across the series arrangement. The value of known resistor is kept at 2.13 kΩ (Category I) and 2.35 kΩ (Category II). The amplitude of the supply voltage or the resistor values is not specific, and does not affect the process of measurement. On application of the input signal, vsupply, a voltage drop, vsample = vsupply − vR, across the sample occurs, where, vR refers to the voltage across the resistor. The resulting current through the circuit is obtained as vR/R. A Lissajous figure is used to plot the current-voltage characteristics of the memristor.

6.5.2 Preliminary Validation In order to proceed with the experimental set-up and ascertain the statement of the problem, a preliminary investigation that presence of proteins only contribute to the memristive action is carried out on chicken egg albumin. A sample of concentrated chicken egg albumin is taken in the sensing area and vR is observed as shown in Figure 6.2 (a). The same sample of chicken egg albumin is now subjected to denaturation, and the residue material (after the coagulated protein is filtered off) post-denaturation is taken in the sensing area and vR is observed as shown in Figure 6.2 (b). The sample of egg albumin depicts non-linear voltage-time characteristics whereas the denatured sample depicts linear characteristics, thereby confirming that proteins in food materials have the potential to exhibit memristive characteristics.

(a)

(b)

0.75

before denaturation

0.25 0.00

Vsupply

−0.25

VR

−0.50 −0.75

after denaturation

0.75 0.50

Voltage (V)

Voltage (V)

0.50

5

10 Time (ms)

0.00

Vsupply

−0.25

VR

−0.50

Vsample 0

0.25

15

20

−0.75

Vsample 0

5

10 Time (ms)

15

20

Figure 6.2  Voltage-time plots for source, resistor and sample (a) before denaturation and (b) after denaturation.

108  Electrical and Electronic Devices, Circuits, and Materials

6.6 Sensitivity Parameters This section proposes a few sensitivity parameters to detect the presence of protein.

6.6.1 Resistance-Based Sensitivity (Sr) The value of current through a memristor depends on the concentration of protein. The maximum value of the current in its OFF state is considered as a source of a mathematical parameter to quantify the amount of protein present in a sample. A ratioed parameter such as resistance serves as a proper sensing parameter. So, we define a resistance-based sensitivity, Sr, as the ratio of the resistance of deionized water (72.727 kΩ) to the resistance of the sample along the high resistance curve. The average of the resistances in the (isample ≥ 0, vsample ≥ 0) and (isample < 0, vsample < 0) quadrants represents the equivalent resistance so that the nature of the plot in both the quadrants are taken into account. Since a resistance based parameter is proposed, therefore, deionized water has been considered as a reference material as it has extremely high resistance.



Sr =

RDI WATER RSAMPLE

(6.3)

6.6.2 Point Slope-Based Sensitivity (Sm) Another sensing parameter is proposed based on the slopes of the voltage-time plots of the supply voltage, vsupply and the voltage measured across the resistor, vR. The presence of protein in a food sample results in a non-linear plot of vR, while its absence produces a linear slope. There must be, therefore, two steps of deriving the sensing parameter. Firstly, two pairs of points on the vR − t plot along the increasing or decreasing sides are selected, and checked if the slopes of the straight lines joining the points of each pair are equal. If their slopes are unequal, it corresponds to the presence of protein, and proceeding to the second step stands valid. If the slopes are equal, it indicates the absence of protein. The method is demonstrated for a protein containing sample in Figure 6.3 (a) for the non-linear curve in the positive half cycle. The two pairs of points here are (A, B) and (C, D). The slopes of the straight lines, AB and CD are 103.33 and 54.50 respectively, leading to a non-linear plot. Similar procedure can be applied to the negative half cycle as well. Secondly, for the case of presence of protein (if there is non-linearity in vR − t), as the memristive action of the sample decreases, the amplitudes of the vR − t plot shifts away from the linear portion of the vsupply − t plot. In other words, with the reduction of protein content in the sample, there is a shift in the peaks of the vR − t plot away from the rising curve in the positive half cycle, and the falling curve in the negative half cycle of the vsupply − t plot. The triangular waveform has a fixed slope, whereas vR has a non-linear nature. Hence, the slopes of the straight lines from (0 ms, 0 V) to the positive peak and (10 ms, 0 V) to the negative peak of vR − t are considered to represent the shifts of the peak as represented in Figure 6.3 (b).

Design and Analyses of a Food Protein Sensing System  109 (a)

(b) 0.75

m1 = 103.33

D (6.5, 0.496) C (4.5, 0.387) B (1.5, 0.155)

0.25 0.00 −0.25

A (0, 0) 32.02 mg/mL

−0.50

VR

−0.75 0

5

0.75

32.02 mg/mL

0.50 Voltage (V)

Voltage (V)

0.50

m2 = 54.50

0.25

Q(10ms, 0 V)

0.00

P(0ms, 0 V)

−0.25

Vsupply

−0.50

10 Time (ms)

15

0

20

S

VR

−0.75

5

10 Time (ms)

15

20

Figure 6.3  Demonstration of (a) checking non-linearity in vR − t plot, m1 and m2 being the slopes of AB and CD, and (b) shift in the peak of vR − t for determination of Sm, marked by double-headed arrows in positive and negative half cycles. The points R (6.5 ms, 0.4958 V) and S (16.5 ms, -0.4956 V) are the peaks of the vR − t plot, which result in an average slope of mvR = 76.26.

This results in the sensing parameter, Sm, and can, therefore, be defined as



Sm =

mvsupply mvsupply − mvR



(6.4)

where, mvsupply is the slope of the supply voltage, and mvR is the average of the slopes of the two straight lines on vR − t as mentioned in the preceding paragraph.

6.6.3 Hysteresis-Line Slope Sensitivity Apart from the above two sensitivities, another sensitivity parameter can be defined for memristive devices. This method is, however, employed on the current-voltage characteristics of the sample, like the resistance-based sensitivity, and is defined with respect to deionized water. For the analysis, a simple yet profound approach is followed: • Multiple cycles of the signals vin and vR were considered initially, to obtain the current, and ultimately the hysteresis of the sample. This made the plot rough and not suitable for analysis. Hence, to make the plot smoother, a 1-D digital filter is applied on the experimental data. Essentially, the kernel used for the above is a row matrix of length n, where 8 ≤ n ≤ 12, chosen according to the number of data points in the experimental curve, such that the value of each element of the matrix is 1/n. After the above calculations are done, current through and voltage drop across the protein solution are obtained. • To stress on the fact that the hysteresis tilts with increasing concentrations, a straight line connecting the maximum magnitudes of the variables (current, voltage) expressed as a complex quantity in the 1st and 3rd quadrants is drawn. The slope of this straight line gives a metric to sense the quantity of proteins in the sample. A detailed description is provided below.

110  Electrical and Electronic Devices, Circuits, and Materials 0.4 0.3

51.87 mg/mL

Current (mA)

0.2 0.1 0.0 −0.1 −0.2 −0.3 −0.6

−0.4 −0.2 0.0 0.2 Voltage (V)

0.4

0.6

Figure 6.4  Current-voltage characteristics showing the concept of hysteresis-line for protein blend.

• The voltage and current through the sample is modeled as a complex number (vsample + j*Isample). The maximum of the absolute value of this complex number is obtained over a cycle considering two scenarios (Isample > 0 and Isample< 0), and the values of voltage and current for these maximum values are used to define the two points. Since the value of resistance used is large (R = 2.35 kΩ), Isample is a very small value when compared to the voltage. To solve this problem, a scaling constant c is defined as the ratio of maximum value of vsample to maximum value of Isample and the complex number is redefined as (vsample  + j*c*Isample). The maximum magnitudes of the above complex number in the first and the third quadrants are found. The straight line joining these values is termed in this chapter as the ‘hysteresis-line’, and its slope can be used as a parameter to compare the hysteresis of a protein for different concentrations. The scaling constant ensures that voltage and current have the same order of magnitude while finding both the points, such that the slope obtained is a finite value greater than zero. This technique proved to be quite effective in generating the above line and the final plot obtained can be seen in Figure 6.4. • The sensitivity is defined as the slope of this line divided by the slope of the case when the sample holder consists of deionized water.

6.7 Results and Discussion This section is divided into two sub-sections on the basis of the category of food items mentioned in Section 6.5.1.1.

6.7.1 Category I: Egg Albumin and Milk A triangular signal of amplitude 0.7 V and frequency 50 Hz is fed as an input to the circuit of Figure 6.1. A low frequency of 50 Hz is selected because memristive action represented by its hysteresis lobes reduces considerably at higher frequencies [22]. The volume of the

Design and Analyses of a Food Protein Sensing System  111 sample holder is taken as 15 mL. Four samples are taken to analyze the effect of protein on the current-voltage (I-V) characteristics which are plotted in Figure 6.5 (a). While the first sample is raw egg albumin without any dilution (103.30 mg/mL protein), the second and third samples are albumin diluted with 5 mL of DI water (68.86 mg/mL aqueous solution) and 10 mL of DI water (34.43 mg/mL aqueous solution) respectively. The fourth sample is packaged milk (‘Amul Taaza Homogenized Milk’ by Anand Milk Union Limited, India) (protein composition: 32.02 mg/mL). All four samples exhibit pinched hysteresis loops which is representative of the memristive characteristics [17, 20, 52]. The high resistance states (HRS) of the samples can be easily represented through reported phenomena of Ohmic conduction and Pool-Frenkel emission [20, 53]. The high resistance state (HRS) of the samples of Figure 6.5 (a) depict an Ohmic conduction in the low voltages (0 ≤ vsample < 0.02 V) whereas it depicts a PF emission in the high voltages (vsample ≥ 0.02 V). This is illustrated with the help of Figure 6.5 (b); the log – log plot of current – voltage is shown for protein concentration of 68.86 mg/mL, where for low voltages, the slope of the plot is ~1. For higher voltages, a plot of ln (I/V) versus V in the inset of Figure 6.5 (b) shows a linear relationship which confirms the operation by PF ­emission-like mechanism. The LRS of the four samples show negative differential resistance (NDR) close to the switching regime from HRS to LRS; hence, this state is not considered in the analyses. Such NDR have been reported for memristive devices close to the HRS→LRS region [54]. Usually NDR in memristive devices are attributed to phenomenon such as presence of interface traps or Joule heating effect whereby applied electric field increases the localized temperature due to inherent electronic heating of the sample [55]. One of the most probable reasons in our case is that the samples taken up in this work are raw, that is, they are not subjected to any post-processing after they are collected. This specific criterion is taken into account during measurements as the objective of the work is to develop a portable food protein sensor for the consumers where raw samples shall be used. The current across the sample increases as the concentration of protein in the food sample increases. The resistances are extracted at the points along the high resistance as depicted in Figure 6.5 (a). With the decrease in protein content, the hysteresis loop corresponding to (isample ≥ 0, vsample ≥ 0) shifts to the right and the one corresponding to (isample < 0, vsample < 0) shifts to the left, indicating an increase in resistance. This is reflected in the plot of Sr versus protein concentration as depicted in Figure 6.6 and calculated using equation (6.3). The fitted curve of Sr has a linear dependence on the concentration of protein (P) given by

Current (mA)

0.2 0.1

(b) 68.68 mg/mL

point at which the resistance is measured for Sr

0.0

Concentration 103.30 mg/mL 68.68 mg/mL 34.43 mg/mL 32.02 mg/mL

−0.1 −0.2 −0.3 −0.3 −0.2 −0.1

10−4

0.0

0.1

Voltage (V)

0.2

0.3

IαV

10−5

10−6

I α Vn

|In (I/V)|

0.3

Current (A)

(a)

6.7 6.6 6.5 6.4 6.3 6.2

Experimental Linear Fit

0.20 0.25 0.30 0.35 0.40 0.45 V1/2

0.01

Voltage (V)

0.1

Figure 6.5  (a) Current-voltage characteristics for different concentrations of food; (b) HRS of memristive action showing the various current-voltage relationships.

112  Electrical and Electronic Devices, Circuits, and Materials

Sr = A + BP

(6.5)

where, A = 29.95 and B = 0.80 mL/mg are fitting constants. Figure 6.7 shows the vsupply − t, vR − t and vsample − t relationships. The proposed slope based sensitivity (Sm) calculated using equation (6.4) is a function of the geometrical deviation of the vR − t plot from the vsupply − t plot, and is plotted in Figure 6.8. Similar to Sr, the sensitivity, Sm follows a linear fit to the experimental data, given by

Sm = C + DP



(6.6)

where, C = 1.56 and D = 0.022 mL/mg are fitting constants. Experimental Data Line Fit

110

Sensitivity (Sr)

100 90 80 70 60 50

60

40

100

80

Concentration (mg/mL)

Figure 6.6  for different concentration of food (albumin and milk) in samples fitted with equation (6.5). 0.75

(b)

Voltage (V)

0.50 0.25 0.00

Vsupply

−0.25

VR

−0.50

Vsample

10 Time (ms)

0.75

15

0.25 0.00 −0.25

Vsupply

−0.50

VR Vsample

0

20

34.43 mg/mL

0.50

0.50

0.25

0.25

0.00 −0.25

Vsupply

−0.50

VR

−0.75

Vsample

0

5

10

15

20

10 Time (ms)

15

20

32.02 mg/mL

0.00 −0.25

Vsupply

−0.50

VR Vsample

−0.75

Time (ms)

5

(d) 0.75

Voltage (V)

Voltage (V)

(c)

5

68.68 mg/mL

−0.75

−0.75 0

0.75 0.50

103.30 mg/mL Voltage (V)

(a)

0

5

10

15

20

Time (ms)

Figure 6.7  Plots of vsupply − t, vR − t and vsample − t for (a) 103.30 mg/mL (albumin), (b) 68.68 mg/mL (albumin), (c) 34.43 mg/mL (albumin) and (d) 32.02 mg/mL (milk) concentrations.

Design and Analyses of a Food Protein Sensing System  113 Experimental Data Line Fit

3.75 Sensitivity (Sm)

3.50 3.25 3.00 2.75 2.50 2.25 25

100 50 75 Concentration (mg/ml)

Figure 6.8  Sm for different concentration of food (albumin and milk) in samples fitted with equation (6.6).

6.7.2 Category II: Protein Blend For the protein blend, the volume of the sample holder is taken as 8 mL. The voltage-time plots are plotted in Figure 6.9 for the minimum and maximum concentrations in the dataset. It is observed that the non-linearity in the sample voltage waveform increases when the concentration of protein is high. The nature of the plots is identical to those of the albumin and milk samples, depicted in Figure 6.7. The powdered nature and solubility of the sample allowed to vary the concentrations as low as 5.19 mg/mL. Following the method outlined in Section 6.6.3, the hysteresis-lines are drawn for varying concentrations of protein blend. This is shown in Figure 6.10. With the increase in the concentration, the non-linearity in the plot increases, and this brings about an increase in slopes of the straight lines. The consistent results provide an interesting conclusion regarding the positive prospects of using memristive action to sense proteins in food items. Using the hysteresis-lines, the sensitivities are plotted for different concentrations of the protein blend (Category II) are plotted in Figure 6.11. A cubic polynomial in equation (6.7) is used to fit the experimental data in the sensitivity plot in Figure 6.11.

Sensitivity (Category II) = P + Qx + Rx2 + Sx3

(a) 1.5 5.19 mg/mL

(b)

–0.5 –1.0 –0.06 –0.04–0.02 0.00 0.02 0.04 0.06 Time (s)

Voltage (V)

Voltage (V)

0.0

207.5 mg/mL

1.0

1.0 0.5

1.5

(6.7)

0.5 0.0

–0.5 Vin VR –1.0 Vsample –1.5

Vin VR Vsample –0.06 –0.04 –0.02 0.00 0.02 0.04 0.06 Time (s)

Figure 6.9  Voltage-time plots of source, resistor and sample in protein blend (Category II) for (a) 5.19 mg/mL and (b) 207.5 mg/mL.

114  Electrical and Electronic Devices, Circuits, and Materials 0.3

Current (mA)

0.2 0.1 5.19 mg/mL 10.37 mg/mL 20.75 mg/mL 51.87 mg/mL 77.81 mg/mL 155.62 mg/mL 207.50 mg/mL

0.0 −0.1 −0.2 −0.3

−0.6 −0.4 −0.2 0.0 0.2 Voltage (V)

0.4

0.6

Figure 6.10  ‘Hysteresis-lines’ drawn on Lissajous plots of current and voltage for varying concentrations of the protein blend (Category II). 55 50

Sensitivity

45 40 35 30 25 Solid: measured Dotted: fitted

20 15

0

100 150 50 Concentration (mg/mL)

200

Figure 6.11  Sensitivity versus concentrations of protein blend (Category II) along with fitted curve.

2

 mL  mL where, P = 18.4014 ; Q = 0.55 ; R = −0.004  ; S = 1.04 × 10−5 (mL /mg )3 mg  mg  Polynomial functions are easier to implement on computational modules. This shall aid in extending the sensing system to a PoC product wherein the bulky instruments like function generators and readout scopes must be replaced by miniaturized on-board integrated circuits. In such cases, the operational capacity of the system will depend on portable or rechargeable power supply, and hence, computational time must be as low as possible.

6.8 Conclusions and Prospects This chapter presented and discussed a system and methods to sense proteins in food items using memristive properties. Three food items, namely, chicken egg albumin, packaged milk and protein blend were chosen to establish the solution proposed. A significant number of conclusions can be drawn from the facts and results reported in this chapter.

Design and Analyses of a Food Protein Sensing System  115 • Biological materials containing proteins exhibit memristive behavior, even in their raw and unprocessed form. The pinch-off hysteresis in the currentvoltage characteristics of these substances point out the fact that memristive property is inherently present in them, and is not induced through subjections to external contamination or addition. • Memristive property can be utilized to quantify the protein content in the three food items. The hysteresis in the current-voltage plot varies with the concentration. However, the plots are not as ideal as expected, as some of the curve regions possess negative gradient, although the lobes and resistance points in the high and low resistance states are distinct and evident. • Triangular waveform offers a significant number of opportunities to model the sensitivities of the sensing system. The non-linearity is visually much distinct in this case as compared to sinusoidal inputs reported in earlier works. • The slope-based sensitivity is more advantageous than the resistance-based sensitivity as it can deduce the sensitivity from the voltage-time plots without the need to plot the Lissajous figures (current-voltage characteristics). The conclusions reached in this chapter also lead to a few prospects which may be explored to strengthen this domain of research. • The modeling of hysteresis in protein-containing food items is expected to be an interesting development. A proper calibration of the model with the food items can be used to generate data points for close concentration values through interpolation techniques. The same dataset can be used in the form of a look-up table to enhance computation of sensitivity and other predictions. Machine learning can be a new method to explore the features of the characteristics and predict a model. Experimental values can be used to train the model which can, further, be used for testing. Such results will draw interpretations regarding the dominance of different physics-based phenomena in different regimes of the current-voltage plots. • A PoC product, if developed, will extend this concept to the individual consumer level, thus enhancing food quality and awareness. To develop such a product, it is design convenient if the triangular signal is generated on-chip. A microcontroller may be used to read the values and compute the data.

References 1. Kuhn K. J. (2012). Considerations for Ultimate CMOS Scaling. IEEE Transactions on Electron Devices, 59 (7), 1813-1828. 2. Pourghaderi M. A., Pham A. –T., Ilatikhamenehet H., Kim J., Park H. –H., Jin S., Chung W. –Y., Choi W., Maeda S., & Lee K. –H. (2018). Universality of Short-Channel Effects on Ultrascaled MOSFET Performance. IEEE Electron Device Letters, 39(2), 168-171. 3. Lin H., & Taur Y. (2017), Effect of Source–Drain Doping on Subthreshold Characteristics of Short-Channel DG MOSFETs. IEEE Transactions on Electron Devices, 64(12), 4856-4860. 4. Goswami R., & Bhowmick B. (2017). An Analytical Model of Drain Current in a Nanoscale Circular Gate TFET. IEEE Transactions on Electron Devices, 64(1), 45-51.

116  Electrical and Electronic Devices, Circuits, and Materials 5. Sahu S. A., Goswami R., & Mohapatra S. K. (2020). Characteristic Enhancement of Hetero Dielectric DG TFET Using SiGe Pocket at Source/Channel Interface: Proposal and Investigation. Silicon, 12(3), 513–520. 6. Mitra S. K., Goswami R., & Bhowmick B. (2016). A hetero-dielectric stack gate SOI-TFET with back gate and its application as a digital inverter. Superlattices and Microstructures, 92, 37-51. 7. Das R., Goswami R., & Baishya S. (2016). Tri-gate heterojunction SOI Ge-FinFETs. Superlattices and Microstructures, 91, 51-61. 8. Tyaginov S. E., Makarov A. A., Kaczer B., Jech M., Chasin A., Grill A., Hellings G., Vexler M. I., Linten D., & Grasser T. (2018). Impact of the Device Geometric Parameters on Hot-Carrier Degradation in FinFETs. Semiconductors, 52(13), 1738-1742. 9. Vardi A., & del Alamo J. A. (2016). Sub-10-nm Fin-Width Self-Aligned InGaAs FinFETs. IEEE Electron Device Letters, 37(9), 1104-1107. 10. Park Y. H., Choi J. W., Kim H. –J., Chang J., Han S. H., Choi H. –J., & Koo H. C. (2017). Complementary spin transistor using a quantum well channel. Scientific Reports, 7(1), 46671-1 – 46671-7. 11. Wang G., Wang Z., Klein J., & Zhao W. (2017). Modeling for Spin-FET and Design of SpinFET-Based Logic Gates. IEEE Transactions on Magnetics, 53(11), 1-6. 12. Ni K., Sharma P., Zhang J., Jerry M., Smith J. A., Tapily K., Clark R., Mahapatra S., & Datta S. (2018). Critical Role of Interlayer in Hf0.5Zr0.5O2 Ferroelectric FET Nonvolatile Memory Performance. IEEE Transactions on Electron Devices, 65(6), 2461-2469. 13. Das B., & Bhowmick B. (2020). Noise behavior of ferro electric tunnel FET. Microelectronics Journal, 96, 104677-104682. 14. Jha R. K., Singh P., Goswami M., &  Singh B.R. (2019).  Integration of ferroelectric BIT and dielectric HfO2 on silicon substrate with high data retention and endurance for ferroelectric FET applications. Applied Physics A, 125(11), 798-1 – 798-12. 15. Singh A., Khosla M., & Raj B. (2017). Analysis of Electrostatic Doped Schottky Barrier Carbon Nanotube FET for Low Power Applications. Journal of Mater Science: Materials in Electronics, 28(2), 1762-1768. 16. Javey A., Tu R., Farmer D. B., Guo J., Gordon R. G., & Dai H. (2005). High Performance n-Type Carbon Nanotube Field-Effect Transistors with Chemically Doped Contacts. Nano Letters, 5(2), 345-348. 17. Chua L. (1971). Memristor-The missing circuit element. IEEE Transactions on Circuit Theory, 18(5), 507-519, 1971. 18. Silva F., Sanz M., Seixas J., Solano E., Omar Y. (2019). Perceptrons from memristors. Neural Networks, 122, p. 273-278. 19. Singh J., & Raj B. (2019). An accurate and generic window function for nonlinear memristor models. Journal of Computational Electronics, 18(2), 640-647. 20. Strukov D. B., Snider G. S., Stewart D. R., & Williams R. S. (2008). The missing memristor found. Nature, 453(7191), 80-83, 2008. 21. Chua L. (2014). If it’s pinched it’s a memristor. Semiconductor Science and Technology, 29(10), 104001-1 – 104001-42, 2014 22. Biolek Z., Biolek D., & Biolkova V. (2009). SPICE Model of Memristor with Nonlinear Dopant Drift. Radioengineering, 18(2), 210-214. 23. Anusudha T., & Prabaharan S. (2018). A versatile window function for linear ion drift memristor model – A new approach. AEU International Journal of Electronics and Communication, 90, 130-139. 24. Kvatinsky S., Friedman E. G., Kolodny A., & Weiser U. C. (2013). TEAM: ThrEshold Adaptive Memristor Model. IEEE Transactions on Circuits and Systems I: Regular Papers, 60(1), 211-221, Jan. 2013.

Design and Analyses of a Food Protein Sensing System  117 25. Yin J., Zeng F., Wan Q., Li F., Sun Y., Hu Y., Liu J., Li G., & Pan F. (2018). Adaptive Crystallite Kinetics in Homogenous Bilayer Oxide Memristor for Emulating Diverse Synaptic Plasticity. Advanced Functional Materials, 28(19), 1706927-1 – 1706927-10. 26. Choi B. J., Jeong D. S., Kim S. K., Rohde C., Choi S., Oh J. H., Kim H. J., Hwang C. S., Szot K., Waser R., Reichenberg B. & Tiedke S. (2005). Resistive switching mechanism of TiO2 thin films grown by atomic-layer deposition. Journal of Applied Physics, 98(3), 033715-1 – 033715-10. 27. Seo S., Lee M. J., Seo D. H., Jeoung E. J., Suh D.-S., Joung Y. S., & Yoo I. K. (2004). Reproducible resistance switching in polycrystalline NiO films. Applied Physics Letters, 85(23), 5655-5657. 28. Catenacci M.J., Flowers P.F., Cao C., Andrews J. B., Franklin A. D., & Wiley B. J. (2017). Fully Printed Memristors from Cu–SiO2 Core–Shell Nanowire Composites. Journal of Electronic Materials, 46(7), 4596–4603. 29. Yan X., Zhao Q., Chen A. P., Zhao J., Zhou Z., Wang J., Wang H., Zhang L., Li X., Xiao Z., Wang K., Qin C., Wang G., Pei Y., Li H., Ren D., Chen J., & Liu Q. (2019). Vacancy-Induced Synaptic Behavior in 2D WS2 Nanosheet–Based Memristor for Low-Power Neuromorphic Computing. Small, 15(24), 1901423-1 – 1901423-9. 30. Chanthbouala A., Garcia V., Cherifi R. O., Bouzehouane K., Fusil S., Moya X., Xavier S., Yamada H., Deranlot C., Mathur N. D., Bibes M., Barthelemy A., & Grollier J. (2012). A ferroelectric memristor. Nature Materials, 11(10), 860-864. 31. Zhong Y. –N., Wang T., Gao X., Xu J. -L., & Wang S. -D. (2018). Synapse-Like Organic Thin Film Memristors. Advanced Functional Materials, 28(22), 1800854-1 – 1800854-6. 32. Fu T., Liu X., Gao H., Ward J. E., Liu X., Yin B., Wang Z., Zhuo Y., Walker D. J. F., Yang J. J., Chen J., Lovley, D. R., & Yao J. (2020). Bioinspired bio-voltage memristors. Nature Communications, 11(1), 1861-1 – 1861-10. 33. Chua L. O., & Kang S. M. (1976). Memristive devices and systems. Proceedings of the IEEE, 64(2), 209-223. 34. Martinsen O. G., Grimnes S., Lutken C. A., & Johnsen G. K. (2010). Memristance in human skin. Journal of Physics: Conference Series, 224, 012071-1 – 012071-4. 35. Johnsen G. K., Lutken C. A., Martinsen O. G., & Grimnes S. (2011). Memristive model of electro-osmosis in skin. Physical Review E, 83(3), 031916-1 – 031916-5. 36. Gale E., Adamatzky A., & Costello B. (2014). Slime Mould Memristors. arXiV, https://arxiv.org/ abs/1306.3414v2, 2014. 37. Volkov A. G., Tucket C., Reedus J., Volkova M. I., Markin V. S., & Chua L. (2014). Memristors in plants. Plant Signaling & Behavior, 9(3), e28152-1 – e28152-8. 38. Hota M. K., Bera M. K., Kundu B., Kundu S. C., & Maiti C. K. (2012). A Natural Silk Fibroin Protein-Based Transparent Bio-Memristor. Advanced Functional Materials, 22(21), 4493–4499. 39. Mukherjee C., Hota M.K., Naskar D., Kundu S.C., & Maiti C.K. (2013). Resistive switching in natural silk fibroin protein-based bio-memristors. Phys. Status Solidi A, 210(9), 1797-1805. 40. Bag A., Hota M. K., Mallik S., & Maiti C. K. (2014). Bipolar Resistive Switching in Different Plant and Animal Proteins. 21st IEEE International Symposium on the Physical and Failure Analysis of Integrated Circuits (IPFA 2014), IEEE, 203-206. 41. Sun B., Liang D., Li X., & Chen P. (2015). Nonvolatile bio-memristor fabricated with natural bio-materials from spider silk. Journal of Materials Science: Materials in Electronics, 27(4), 3957–3962. 42. Murgunde B. K., & Rabinal M. K. (2017). Solution processed bilayer junction of silk fibroin and semiconductor quantum dots as multilevel memristor devices. Organic Electronics, 48, 276–284. 43. Wang, L., Wen, D. (2017). Nonvolatile Bio-Memristor Based on Silkworm Hemolymph Proteins. Scientific Reports, 7(1), 17418-1 – 17418-8.

118  Electrical and Electronic Devices, Circuits, and Materials 44. Wang H., Meng F., Cai Y., Zheng L., Li Y., Liu Y., Jiang Y., Wang X., & Chen, X. (2013). Sericin for Resistance Switching Device with Multilevel Nonvolatile Memory. Advanced Materials, 25(38), 5498–5503. 45. Chen Y.-C., Yu H.-C., Huang C.-Y., Chung W.-L., Wu S.-L., & Su Y.-K. (2015). Nonvolatile BioMemristor Fabricated with Egg Albumen Film. Scientific Reports, 5(1), 10022-1 – 10022-12. 46. Lee S. H., Moon J., Jeong Y., Lee J., Li X., Wu H., Lu W. D. (2020). Quantitative, Dynamic TaOx Memristor/Resistive Random Access Memory Model. ACS Applied Electronic Materials, 2(3), 701-709. 47. Pershin Y. V., & Ventra M. D. (2010). Practical Approach to Programmable Analog Circuits with Memristors. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(8), 1857–1864. 48. Itoh M., & Chua L. O. (2008). Memristor Oscillators. International Journal of Bifurcation and Chaos, 18(11), 3183-3206. 49. Lin P., Li C., Wang Z., Li Y., Jiang H., Song W., Rao M., Zhuo Y., Upadhyay N. K., Barnell M., Wu Q., Yang J. J., & Xia Q. (2020). Three-dimensional memristor circuits as complex neural networks. Nature Electronics, 3(4), 225-232. 50. Thangkhiew P. L., Zulehner A., Wille R., Datta K., & Sengupta I. (2019). An efficient memristor crossbar architecture for mapping Boolean functions using Binary Decision Diagrams (BDD). Integration, 71, 125-133. 51. Hanna H. A., Danial L., Kvatinsky S., & Daniel R. (2020). Cytomorphic Electronics with Memristors for Modeling Fundamental Genetic Circuits. IEEE Transactions on Biomedical Circuits and Systems, 14(3), 386-401. 52. Yang J. J., Strukov D. B., & Stewart D. R. (2013). Memristive devices for computing. Nature Nanotechnology, 8(1), 13–24. 53. Jung S., Kong J., Song S., Lee K., Lee T., Hwang H., & Jeon S. (2010). Resistive Switching Characteristics of Solution-Processed Transparent TiOx for Nonvolatile Memory Application. Journal of the Electrochemical Society, 157(11), H1042-H1045. 54. Alexandrov A. S., Bratkovsky A. M., Bridle B., Savel’ev S. E., Strukov D. B., & Williams R. S. (2011). Current-controlled negative differential resistance due to Joule heating in TiO2. Applied Physics Letters, 99(20), 202104-1 – 202104-3. 55. Ma H., Wang W., Xu H., Wang Z., Tao Y., Chen P., Liu W., Zhang X., Ma J., & Liu Y. (2018). Interface State-Induced Negative Differential Resistance Observed in Hybrid Perovskite Resistive Switching Memory. ACS Applied Materials & Interfaces, 10(25), 21755–21763.

7 Design of Low-Power DRAM Cell Using Advanced FET Architectures A. Durgesh* and Suman Lata Tripathi School of Electronics and Electrical Engineering Lovely Professional University, Punjab, India

Abstract

The present chapter includes the design and analysis of DRAM cells with a focus on the comparison of the different technologies such as CNTFET, FinFET and TFET that are suitable for low-power, high-performance memory design. A comparison is required to choose the preferred one to use. The best outcomes with the latest technologies are integrated to get more advantages. Rapid growth in the cell phone market needs memories for communication and to store the data. It is required to get a low-power device with high performance which can be feasible with scaling. The focus is on power dissipation and leakage current and choosing better techniques to eliminate leakage and power dissipation. Keywords:  Semiconductor memories, volatile memory, DRAM, CNTFET, FinFET, TFET

7.1 Introduction Memories are playing a crucial role in electronics. The demand for semiconductor memories is huge in the market, and they are classified into various types, such as Random Access Memory (RAM), Read-Only Meneory (ROM), Flash memory, etc. RAM and ROM are essential to digital electronics for various applications and interest in them is growing. RAM is used to access the memory randomly and content available can be changed as per requirements, whereas ROM is used to read the data available. Unlike RAM, ROM has not been subject to recent changes. Memories that depend on power will be called volatile memory, which loses the data when it is turned off. Similarly, the memories which do not depend on power which can store data when it is turned off are called non-volatile memories. RAM is of volatile memory; in the absence of power supply the data available in volatile memory is deleted. The non-volatile memory device does not depend on the power supply; the data available in non-volatile memory remains the same when the device is turned off. ROM is classified into MROM, PROM, EPROM, EEPROM, and RAM is classified into DRAM, SRAM, and CAM [1, 2]. The manufacturing of semiconductor memory was started by Intel and IBM in 1970. Those DRAMs are made up of MOS transistor logic *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (119–132) © 2021 Scrivener Publishing LLC

119

120  Electrical and Electronic Devices, Circuits, and Materials which deals with 1-kbit and 4-kbit DRAM. Later on, in the 1980s, 64-kbit came into existence and in the1990s 4-Mbit started. The demand of low power and high speed is growing; we are trying to decrease the area and size and want to increase the performance and speed [3]. It is called silicon on insulator (SOI) as insulator transistor in this technology; 1T-DRAM cells are designed in a capacitor less manner because the circuit design can store the data due to parasitic body capacitor. The main advantage of DRAM is it consists of only one capacitor and one transistor simply; it is called 1T-DRAM whereas in SRAM 6 a transistor is required [4–12]. MOS transistor faces challenges in these parameters; carbon nanotubes (CNT) are the solution for this problem, replacing the MOS transistor with carbon nanotubes. The sheets of graphite that are rolled like tubes are called carbon nanotubes [13]. Carbon-Nano-tube FET (CNTFET) is categorized into three types: 1. SB-CNTFET 2. PG-CNTFET and 3. C-CNTFET [15, 16]. Below 45nm technology node FinFET as a multi-gate architecture is also a potential candidate for low-power DRAM cell design with improved subthreshold operation and low leakage power [17, 19]. A steep subthreshold slope and low leakage current of tunnel FET (TFET) architecture are also very suitable for bulk DRAM cell design [20, 21]. The TFET structures work on the principle of tunneling of electrons from one region to another region that follows the charge plasma concept for band to band tunneling.

7.2 1T-DRAM (MOS) DRAM (Dynamic Random-Access Memory) the name dynamic indicates that changes should happen frequently within the system. DRAM consists of MOS transistor and a capacitor to store data ‘0’ or ‘1’ [4, 5]. The main drawback of DRAM is it requires a periodic refresh because the capacitor in DRAM gets discharged due to leakage. If a periodic refresh is not done the data will be lost, so it requires power to refresh the data and is called as volatile memory [6]. The construction of DRAM is very simple; to increase the performance or to scale the transistor helps to integrate a greater number of transistors and to design a portable device. The structure of 1T-DRAM (MOS) is shown below in Figure 7.1. As we know, the read and write operation of 1T-DRAM the digital devices and circuits deal with 0’s and 1’s; here read and write operation is to read zero or one and write zero or one. Bit Line (BL) Word Line (WL) NMOS(M)

Capacitor (Ca) gnd

Figure 7.1  Block Diagram of 1T-DRAM (MOS) [11].

Design of Low-Power DRAM Cell Using Advanced FET Architectures  121 In Figure 7.2 (a) consists of the word line (WL), bit-line (BL), capacitor source (Cs) and NMOS(M). When the word line (WL) is equal to the threshold voltage, NMOS(M) gets ON when it reaches a threshold condition [8] if the transistor is in ON is acting as a short circuit it makes the path between capacitor and bit-line. Whatever the data present in the capacitor will be taken to bit-line. Bit-line consists of some capacitance value if the capacitance of ‘Cs’ (storage capacitance) more than the bit-line capacitance bit-line passes the data to sense amplifier to read ‘1’ shown in Figure 7.2 (b). Similarly if the load capacitance ‘Cs’ is less than the bit-line capacitance sense amplifier sense the data and read ‘0’ shown in Figure 7.2 (c), periodic refresh is required to avoid loss of data. Whereas in the write operation it depends on bit-line if the bit-line is ‘1’, the word-line is in ON condition the capacitance load (Cs) get charging it is used to write ‘1’ shown in Figure 7.2 (d). Similarly, if the bit-line is ‘0’ and word-line is ON the capacitance load (Cs) gets discharged, this condition is known as write ‘0’ shown in Figure 7.2 (e) [9, 10].

Word-line

M bit-line (BL) capacitance load

C BL

Sense Amplifier (a)

Figure 7.2  (a) DRAM with a sense amplifier.

Word-line

MON bit-line (BL)

capacitance load (Cs)

CBL

Sense Amplifier

CBL > Cs (b)

Figure 7.2  (b) Read ‘0’ operation.

122  Electrical and Electronic Devices, Circuits, and Materials Word-line

MON bit-line (BL)

capacitance load (Cs)

CBL

Sense Amplifier

CS > CBL (c)

Figure 7.2  (c) Read ‘1’ Operation.

Word-line

MON bit-line (BL)=VDD capacitance load (Cs) VDD

Cs is charging

write '1' (d)

Figure 7.2  (d) Write ‘1’ Operation.

Word-line

MON bit-line (BL)=Zero capacitance load (Cs) GND

Cs is discharging

write '0' (e)

Figure 7.2  (e) Write ‘0’ Operation.

Design of Low-Power DRAM Cell Using Advanced FET Architectures  123

7.3 1T-DRAM (CNT-FET) Carbon nanotube FET has more advantages when compared to MOSFET; CNT can replace MOS due to the fact that high-performance CNT (Figure 7.3) can handle high voltages. Chirality vector (m,n) decides the material should be metallic or semiconducting, the threshold voltage Vth and Carbon nanotube has some diameter value as given below

Vth =



DCNT =



aVπ 3qDCNT



(7.1)

a m 2 + n 2 + mn π

(7.2)

Where, q is charge, V=3.033eV and the atomic distance of CNT is a = 2.49Å. Table 7.1 describes power consumptions in read write operations.

(n,0) / ZIG ZAG (m,m) / ARM CHAIR CHIRAL (m.n)

Figure 7.3  CNT structure with chiral vectors.

Table 7.1  Power consumption table with four operations of DRAM for 1T-DRAM (CNT-FET) [16]. 1T-DRAM(NCNT-FET)

Write 0

Read 0

Write 1

Read 1

Leakage power 1

36nw

37nw

36nw

20nw

Leakage power 0

7nw

13nw

13nw

14nm

Leakage current 1

4.1077E-17A

4.210E-17A

4.104E-17A

2.2610E-17A

Leakage current 0

7.8066E-19A

1.536E-20A

1.538E-20A

1.5613E-20A

Average dissipation

59nw

66nw

59nw

27nw

Dynamic dissipation

58nw

66nw

58nw

26nw

124  Electrical and Electronic Devices, Circuits, and Materials WL

M1

CS

CBL BL

Figure 7.4  1T-DRAM memory cell with CNT-FET.

Read and Write Operation CNT-FET 1T-DRAM If we choose C-CNTFET the working of 1T-DRAM is similar to MOS transistor DRAM as shown in Figure 7.4. To read operation it depends on storage capacitor (Cs) when the word-line is ON condition the N-CNTFET gets ON acts as short circuit and makes the path between ‘Cs’ and bit-line if the ‘Cs’ is greater than ‘CBL’ that means read ‘1’ if the ‘CBL’ is greater than ‘Cs’ read as ‘0’. To write ‘0’ or ‘1’ on storage capacitor word-line should be in ON condition if the bit-line is connected to logic ‘1’ storage capacitor get charging this condition is to write ‘1’. and bit-line is connected to ‘0’ the storage capacitor gets discharged this condition is to write ‘0’. After every operation refresh is required.

7.4 1T-DRAM (FinFET) Compared to MOSFET devices, FinFET devices gives better results. FinFET is made of SOI technology, which is preferred for low-power applications. FinFET consists of the double gate and it is called a multi-gate device. Due to the presence of multi-gate, the leakage current is reduced. If we apply the required power supply to multi-gate, good conduction is developed between source and drain. Normally SOI devices reduce the deviation current flow from source to drain but here muti-gate kept by this leakage current deviation is minimized. FinFET consists of two gates named as front gate and back gate, which are placed between the source and drain path. Four terminals are present in FinFET: 1) Source, 2) Drain, 3) Front gate and 4) Back gate. Hence four terminals are present in FinFET; it is also called a 4T-FinFET device [17]. The symbols of the p-type and n-type 4T-FinFET device are shown in Figure 7.5 and Figure 7.6. The operation of FinFET is similar to the MOSFET operation. Read and Write Operation FinFET 1T-DRAM If we select FinFET the working of FinFET 1T-DRAM is similar to MOS transistor DRAM. FinFET 1T-DRAM structure is shown in Figure 7.7. But in FinFET multi-gates are present for we should give two-word line connections [18].

Design of Low-Power DRAM Cell Using Advanced FET Architectures  125 As we know the read and write operation of 1T-DRAM(MOS) in the previous case, only single change is present 1T-DRAM here we should provide input of world line for both front and back gates of the FinFET. Read and write operation is to read zero or one and write zero or one. In the above Figure 7.7 (a) consists of the word line (WL), Bit Line (BL), capacitor source

Drain (D)

Front Gate (FG)

Back Gate (BG)

Source (S) P-FinFET

Figure 7.5  Symbol for P-type 4-Terminal FinFET. Drain (D)

Back Gate (BG)

Front Gate (FG)

Source (S) N-FinFET

Figure 7.6  Symbol for N-type 4-Terminal FinFET. Word Line (WL) n-FinFET (M)

capacitance Load

Bit Line (BL) CBL

Word Line (WL)

CCL

Sense Amplifier (a)

Figure 7.7  (a) Block Diagram of 1T-DRAM (FinFET) with the sense amplifier.

126  Electrical and Electronic Devices, Circuits, and Materials (Cs) and n-FinFET(M). when the word line (WL) of both gates is equal to the threshold voltage, n-FinFET(M) gets ON when it WL reaches to a threshold condition [19] if the transistor is in ON at both gates reaches to the threshold value it acts as short circuit it makes the path between the capacitor and Bit Line. The data available in the capacitor load will be taken to Bit Line. Bit Line consists of some capacitance value if the capacitance of ‘CCL’ (capacitance load) more than the Bit Line capacitance Bit Line passes the data to sense amplifier to read ‘1’ shown in Figure 7.7 (b), similarly if the load capacitance ‘Cs’ is less than the Bit Line capacitance sense amplifier sense the data and read ‘0’ shown in Figure 7.7 (c). A periodic refresh is required to avoid loss of data. Whereas in the write operation it depends on Bit Line if the Bit Line is ‘1’, the word line is in ON condition the capacitance load (Cs) get charging it is used to write ‘1’ shown in Figure 7.7 (d). Similarly, if the Bit Line is ‘0’ and the word line is ON the capacitance load (Cs) gets discharged this condition is known as write ‘0’ shown in Figure 7.7 (e).

Word Line (WL)=Vth n-FinFET (M) is ON

capacitance Load

Bit Line (BL) Word Line (WL)=Vth

CBL

Sense Amplifier

CCL

CBL > CCL (b)

Figure 7.7  (b) Read ‘0’ operation.

Word Line (WL)=Vth n-FinFET (M) is ON

capacitance Load

Bit Line (BL) Word Line (WL)=Vth

CBL

Sense Amplifier

CBL < CCL

(c)

Figure 7.7  (c) Read ‘1’ operation.

CCL

Design of Low-Power DRAM Cell Using Advanced FET Architectures  127 Word Line (WL) = Vth n-FitFET(M) is ON

capacitance Load

Bit Line (BL) = VDD Word Line (WL) = Vth

C CL

C CL is charging

VDD (d)

Figure 7.7  (d) Write ‘1’ operation.

Word Line (WL)=Vth n-FinFET (M) is ON

capacitance Load

Bit Line (BL) = GND Word Line (WL)=Vth

GND

CCL

CCL is discharging (e)

Figure 7.7  (e) Write ‘0’ operation.

Drain (D)

Gate (G)

Source (S) p-TFET (a)

Figure 7.8  (a) Symbol for p-type TFET.

128  Electrical and Electronic Devices, Circuits, and Materials Drain (D)

Gate (G)

Source (S) n-TFET (b)

Figure 7.8  (b) Symbol for n-type TFET.

7.5 1-T DRAM (TFET) Due to some limitations on MOSFET, TFET became an alternative source. TFET is suitable for low-power applications. TFET is a PIN diode device which consists of heavily doped p and n regions with intrinsic semiconductor material is placed between p and n regions. When the gate voltage reaches to threshold voltages conduction takes place in a particular manner the electrons flow between the source and drain through a tunnel [20]. The symbols of the p-type and n-type TFET device are shown in Figure 7.8 (a) and Figure 7.8 (b). Read and Write Operation TFET 1T-DRAM Read and write operations are similar to conventional MOSFET. The structure of 1T-DRAM(TFET) is shown in Figure 7.9 (a). When the world line is equal to the threshold Word Line (WL)

Bit Line (BL)

n-TFET (M)

Capacitance load CL

CBL

Sense amplifier

GND

(a)

Figure 7.9  (a) Structure of 1T-DRAM (TFET) with the sense amplifier.

Design of Low-Power DRAM Cell Using Advanced FET Architectures  129 voltage n-TFET(M) it gets ON and now read operation depends on the capacitance value of both sides. If the capacitance of load is less than the capacitance of Bit Line it is represented as read ‘0’. Similarly, the capacitance of Bit Line is less than the capacitance value of the load then it is represented as read ‘1’. Read zero and one operations are shown in Figure 7.9 (b) and Figure 7.9 (c). Coming to write operation when the world line is made equal to the threshold voltage transistor M is in ON if we place VDD at Bit Line the load capacitance is in charging state and it is known as write ‘1’ operation, which is shown in Figure 7.9 (d). Finally, write ‘0’ is done by placing GND at Bit Line when word line reaches the threshold voltage, transistor (m) gets ON and the load capacitance gets discharging. Write ‘0’ operation is shown in Figure 7.9 (e).

Word Line (WL)

n-TFET (M) is ON

Bit Line (BL)

Capacitance load (CL) CL

CBL Sense amplifier

CBL > CL

GND

read '0'

(b)

Figure 7.9  (b) Read ‘0’ operation.

Word Line (WL)

Bit Line (BL)

n-TFET (M) is ON

CL

CBL

Sense Amplifier

CL > CBL

read '1'

(c)

Figure 7.9  (c) Read ‘1’ operation.

Capacitance load (CL)

GND

130  Electrical and Electronic Devices, Circuits, and Materials Word Line (WL)

Bit Line (BL)

n-TFET (M) is ON

Capacitance load (CL) CL CLis charging GND

VDD write '1'

(d)

Figure 7.9  (d) Write ‘1’ operation.

Word Line (WL)

Bit Line (BL)

n-TFET (M) is ON

Capacitance load (CL) CL CL is discharging

GND

GND

write '0'

(e)

Figure 7.9  (e) Write ‘0’ operation.

7.6 Conclusion In this chapter, a DRAM cell design is explored with different FET architectures for lowpower bulk memory application. A comparison is made between MOSFET and CNTFET with different specifications. As to provide the best performance device CNTFET is recommended. The low-power device is needed in the future to integrate lots of transistors in single-chip CNT that are scalable. CNTFET can handle high voltages, and the leakage current is low in CNTFET compared to MOSFET. Research on Carbon nanotubes gives us more interesting outcomes. Not only CNTFET various FETs are replaced with MOSFET, but FIN-FET with SOI can also be used for shorter channel length for zero subthreshold swings and zero impact ionization FD-SOI FETs are used. This gives sharp switching which

Design of Low-Power DRAM Cell Using Advanced FET Architectures  131 may be used in various applications. There are several other low-power devices like FinFET and TFET that are also explored for their use in low-power DRAM cell design. Many electronic gazettes are now utilizing these advanced FET-based bulk memories that play an important role in improving battery life time with low power consumptions.

References 1. Godse, A.P.; D.A. Godse (2008). Fundamentals of Computing and Programing. India: Technical Publications. p. 1.35. ISBN 978-8184315097. Archived from the original on 2014-07-06 2. Arora, Ashok (2006). Foundations of Computer Science. Laxmi Publications. pp. 39 41. ISBN 8 170089719. Archived from the original on 2014-07-06. 3. Itoh, Kiyoo. (2008).The history of dram circuit designs–at the forefront of dram development. IEEE Solid-State Circuits Society Newsletter, 13(1), 27-31. 4. L.M. Terman (1993). Memory at ISSCC, ISSCC Commemorative Supplement to the Digest of Technical Papers, pp. 91-111. 5. K. Itoh, VLSI Memory Chip Design, Springer-Verlag, NY, 2001. 6. Hamsa, S., A. G. Ananth, and N. Thangadurai (2018). A study of semiconductor memory technology by comparing volatile and non-volatile memories. J Adv Res Dyn Control Syst. 10(4), 1252-1258. 7. K. Zhang (2009). Embedded Memories for Nano-Scale VLSIs. Springer. 8. Tsividis, Yannis, and Colin McAndrew. (2011). Operation and Modeling of the MOS Transistor. Oxford Univ. Press. 9. Rovell, Alexander (Jan-1981). CMOS Memory sense amplifier. U.S. Patent No. 4,247,791. 10. Keeth, Brent; Baker, R. Jacob; Johnson, Brian; Lin, Feng (December- 2007).  DRAM Circuit Design: Fundamental and High-Speed Topics. John Wiley & Sons. ISBN 978-0470184752. 11. Luyken, Richard J., et al. (2000). DRAM memory cell. U.S. Patent No. 7,368,752. 12. Desmond C.Y.Chek, Michael L.P. Tan, Mohammad Taghi Ahmadi, and Razali Ismail (2010). Analytical modeling of high performance single-walled carbon nanotube field-effect­-transistor”, ELSEVIER Microelectronics Journal 41, 579-584. 13. R. Saito, G. Dresselhaus, and M. Dresselhaus (1998). Physical Properties of Carbon Nanotubes. Imperial College Press. 14. R. Martel, T. Schmidt, H. R. Shea, T. Hertel, and P. Avouris (1998). Single and multi-wall carbon nanotube field-effect transistors. Applied Physics Letters, 73, 2447-2449. 15. Marani R., Gelao G., Perri A.G. (2013) Modelling of Carbon Nanotube Field Effect Transistors oriented to SPICE software for A/D circuit design, Microelectronics Journal, DOI:10.1016/j. mejo.2011.07.012, 33-39. 16. N. Somorjit Singh, Dr. M. Madheswaran (2013), 1T DRAM Memory cell Design and analysis in CNTFET Technology, International Journal of Engineering Research & Technology (IJERT) ICSEM, 1 (6). 17. Rahin, V., and A. Rahin (2016). A low-voltage and low-power two-stage operational amplifier using FinFET transistors. International Academic Journal of Science and Engineering, 3(4), 80-95. 18. Lee, Myoung Jin, et al.(2007). A proposal on an optimized device structure with experimental studies on recent devices for the DRAM cell transistor.  IEEE Transactions on Electron Devices 54(12) 3325-3335. 19. Fried, David M., Jon S. Duster, and Kevin T. Kornegay (2003). Improved independent gate N-type FinFET fabrication and characterization. IEEE Electron Device Letters 24(9), 592-594.

132  Electrical and Electronic Devices, Circuits, and Materials 20. Turkane, Satish M., and A. K. Kureshi (2016). Review of tunnel field effect transistor (TFET).” International Journal of Applied Engineering Research, 11(7), 4922-4929. 21. Ahmad, Sayeed, Naushad Alam, and Mohd Hasan (2018). Robust TFET SRAM cell for ultralow power IoT applications.  AEU-International Journal of Electronics and Communications,  89, 70-76.

8 Application of Microwave Radiation in Determination of Quality Sensing of Agricultural Products Dr. Ravika Vijay1*, Dr. Nidhi Bhargava2 and Prof. K. S. Sharma3 Department of Physics, Poornima University, Jaipur, India Department of Physics, IIS (Deemed to be University), Jaipur, India 3 Department of Physics, Advisor, IIS (Deemed to be University), Jaipur, India 1

2

Abstract

This chapter deals with the agricultural applications of microwave heating. Energies corresponding to radio frequency and microwave frequency have been used to enhance the facilities of crop handling, its storage and preservation and control weeds in agricultural production etc. It emphasizes upon the importance of dielectric properties in quality sensing of agricultural products. Mainly a discussion on applications of dielectric heating in agriculture is explored with various techniques. The presented chapter provides a brief summary about different techniques of measurement of dielectric properties, out of which open ended coaxial probe technique has been explained in detail. Permittivity measurement principles and techniques used for measurements on agricultural materials are also described. Dielectric spectroscopy of various agricultural products and their variation with moisture and temperature are specifically described. Keywords:  Agricultural products, dielectric constant (ε″), dielectric loss factor (ε″), dielectric heating (DH), microwave radiation, microwave heating, correlation, nutrients

8.1 Microwave Heating and its Applications to Agricultural Products 8.1.1 Principle of Microwave Heating Microwave heating of organic materials is a result of the transformation of alternating electromagnetic field energy into thermal energy due to inertia of the polar molecules and the friction due to other molecules of the material, which prohibit them from following the variations of electromagnetic fields [1]. During the interaction of electromagnetic field with the material, the molecular dipole moment lags behind the electric field and a part of electrical energy is dissipated as heat in the material. The most important characteristic of *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (133–154) © 2021 Scrivener Publishing LLC

133

134  Electrical and Electronic Devices, Circuits, and Materials microwave heating is volumetric heat generation, which means the material absorbs microwave energy in the whole of its volume and converts it into heat [2]. The microwave or radio frequency heating is also called dielectric heating, as it is dependent on dielectric properties of the material. Microwave heating has many industrial applications, such as pest control in fruits, safe storage of crops and seeds, metal casting, oil extraction from tar, increasing crop production, drying and enhancing the quality of crop, and controlling weeds in cropping system [3]. A few benefits related to the use of microwave heating in the food industry are instant start-up; faster and homogeneous heating of the bulk of food material; improved colour, flavour, and texture of cooked food, and nutrient retention. Microwave radiation has the capability to penetrate inside the materials and interact with the material molecules leading to generation of heat by dissipation of microwave energy. Due to this property, microwaves find applications in the polymer and ceramic industries (for synthesis, joining, melting, sintering, clinkering, epoxy curing, preheating rubber and thermosetting), in medicine (for thermal ablation of tissues, tumor detection and warming blood), in textiles (for drying) and in agriculture (for estimating moisture level in crops, disinfestation of cereal grains, quality sensing, etc.). In the food industry, use of microwaves is involved in numerous food processing operations, such as roasting, baking, blanching, thawing, dehydration, sterilization and pasteurization [4]. Dielectric properties of any material are crucial in its interaction with microwaves because they decide the scope of heating in that material when it is exposed to electromagnetic fields. When microwaves are made incident on a material, a portion of their energy is reflected and a portion is transmitted through its surface. Some amount of this transmitted energy is absorbed by the material. The proportion of reflected and absorbed energy is explained in terms of the dielectric properties. The interactions are expressed in terms of a basic electrical property which is the relative permittivity of the material. Dielectric permittivity of a material at radio and microwave frequencies is a complex quantity, which can be expressed as ε* = ε′ - jε″, where the real part (ε′) is called the dielectric constant and the imaginary part (ε″) is called the dielectric loss factor [5]. Dielectric constant (ε′) is material specific and measures the ability of the material to store electric energy, whereas dielectric loss factor (ε″) measures the ability of a material to convert electric energy into heat. Technologies incorporating radio and microwaves have the potential to transform the agriculture industry. Energies corresponding to radio frequency and microwave frequency have been used to enhance the facilities of crop handling, its storage and preservation; to kill pests and control weeds in agricultural production; to preserve food grains by disinfestations; to improve quality of seeds by preconditioning and to have more energy-efficient processing. Dielectric properties of agricultural products are important since they form the basis of development of rapid and non-destructive techniques employed for the measurement of key parameters, such as moisture, texture, chemical composition, structure, etc. [6]. Electrical characteristics of agricultural materials have proved to be advantageous for numerous human activities [7]. They provide great help to producers, handlers, and processors in implementation of non-destructive methods for prediction of quality and other essential properties of different types of agricultural products. The response of a material molecule when subjected to an external electric field of varying frequency is termed as dielectric spectroscopy, which is a non-destructive characterization technique and deals

Microwave Radiation in Sensing of Agricultural Products  135 with the frequency dependence of dielectric properties of materials. The dielectric properties of cereal grains and oilseeds play a crucial role in knowing about the electrical behaviour of food materials and develop indirect non-destructive techniques to deduce their physical properties [8]. Different features of agricultural products and food comprise moisture content, seed germination, frost susceptibility, chilling and freezing tolerance, pasteurization, the ripeness of the fruit, disinfestations in seeds, the freshness of the eggs, RF heating and other characteristics of fruits and vegetables. Different attributes of seeds, soil, meat, and milk, etc., can be added to these characteristics to obtain a comprehensive list of characteristics of agricultural materials. Naturally, all materials possess dielectric properties which are based on the structure of their molecules and more precisely on the pattern of distribution of electric charges. These charges may be either permanently present inside the molecules or may be induced on the surfaces temporarily. Thus, it can be inferred that dielectric properties furnish a specific description for a mixture of different types of molecules. As the physical and chemical properties of any material are also dependent on the structure of molecules of that material, these properties of a given material can be determined from its dielectric properties [9]. Hence, dielectric properties can be applied for quality sensing. Moisture content is a major contributor to the dielectric properties of food materials, while frequency, temperature, chemical composition and physical structure are other factors influencing the dielectric properties [10]. Applications of dielectric properties in the field of agriculture can be categorized into two types: dielectric heating and product quality sensing. In the case of agricultural products, dielectric heating caused by the use of high-frequency electric fields linked with microwave energy has been investigated for various uses. These applications involve drying of materials such as grains and seeds, disinfestations in stored products, seed treatment to improve germination, conditioning of products to improve nutritional value, controlling product-borne pathogens, and treatment of soil for inactivating weed seeds and pest control. The product quality sensing includes utilization of information about dielectric properties of agricultural products for estimating moisture content and determination of other characteristics of some materials.

8.1.2 Moisture Sensing The dielectric properties measurements are used for quick and non-destructive estimation of moisture content in materials. Moisture content is a vital property of agricultural products, since it gives information about their appropriateness for harvesting and about consequent storage, processing and packaging operations. The knowledge about moisture content of the crops often helps in estimating the sale price of the products for desired purposes. Dielectric properties can be used to measure moisture content in the products by employing properly designed electronic sensors having good precision. Such moisture sensing instruments, which have operating frequency range as 1-50 MHz, have been developed and used for quick estimation of moisture content in food grains for many years. Themoisture-dependence of dielectric properties in particular frequency ranges may potentially be utilized to design online moisture meters [11], which can be used not only to monitor moisture content in drying operations but also in various unit processes prevalent in the food industry [12].

136  Electrical and Electronic Devices, Circuits, and Materials

8.1.3 Promoting Germination Microwaves can be used to simulate growth and seed germination. The effect of heating the seeds by using electromagnetic radiation at microwave frequencies was first studied by Davis et al. [13]. This is a dry method and it unfolds the seed coating giving far more beneficial results as compared to the conventional boiling water mechanism. Plants like Accacia have a waterproof coating which causes a delay in germination. After being microwaved the resulting seeds can be stored for a longer period of time and even remain more viable. The knowledge about the dielectric properties is also important in the study of electrical treatment given to seed to improve germination [14].

8.1.4 Food Processing Information about the correlation between frequency of electromagnetic wave and dielectric properties of a material is useful in deciding the suitable frequency range in which the material possesses the intended dielectric properties required for a particular application. This relationship is also utilized in study and development of heating processes or sorting techniques which are based on interaction with electromagnetic energy [15, 16]. This knowledge is also beneficial in the choice of appropriate cooking devices and packaging materials and in the development of microwave and radio frequency heating equipments [17].

8.1.5 Weeds, Insects and Pests Control Microwave heating can be used for pests and weed-killing purposes. The agricultural industry needs to spend a large amount in weed management strategies. The conventional chemical fumigation methods have many disadvantages. The use of electromagnetic radiation does not leave any residues and hence is considered to be one of the fastest-developing environmental-friendly methods for weed and pest control. It even facilitates selective heating through which only the desired plants can be targeted without hampering the adjacent ones. The procedure based on this principle is extensively used in activities relating to spotweed control. The cost constraints both in the form of the equipment cost, as well as the energy requirement cost, limit the use of microwave heating. The selective dielectric heating is used for disinfestations of stored grains and dry fruits or fruits [18]. The dielectric measurements may also be applied to determine water activity and measure density in food materials [19]. Further, if the dielectric properties of the weed plants and the crop plants are significantly distinguishable, measures can be taken to bring about thermal runaway for weed plants during microwave heating. Thermal runaway can kill weed plants in a cropping environment when the specific heating conditions are maintained.

8.1.6 Product Conditioning A number of studies have been conducted on the application of microwave heating for treatment of crops to upgrade the quality of agricultural products. Industries are concerned about the product quality and production costs and therefore have adopted radio frequency and microwave technologies which have a large number of advantages over conventional methods. The advantages of these systems include: reduction in drying time, retention of

Microwave Radiation in Sensing of Agricultural Products  137 nutrients, product quality enhancement, and flexibility in producing a wide variety of dried products [20]. Presently, such applications of microwave heating are limited to small scale due to high start-up costs and comparatively complicated technology.

8.1.7 Microwave Drying The drying mechanism in process industries consumes an exhaustive amount of energy. The conventional hot-air drying methods demand an extended drying time, because of which the quality of the final product is degraded. Microwave heating at about 2.45 GHz facilitates volumetric drying. The microwave drying process is not used exclusively, but is used in association with other drying processes. A combination of hot-air drying with microwave heating is widely accepted [21]. Microwave exposure can result in highly porous and dried products if it is employed individually during the initial stages of drying. Therefore it is used during the final stages of drying to reduce shrinkage [22] and shorten the total drying time. Microwave drying brings about 25-90 percent [23, 24] reduction in drying time and the drying rate is increased by a factor of four [25, 26], as compared to the conventional drying techniques. The products which are dried using microwaves are found to have higher porosity, better rehydration, better aroma retention and better color retention. In spite of these advantages, this process is being adopted by the industries at a slow pace due to the complexities involved in designing of microwave drying chambers [27]. Microwave drying is a fast drying technique that can be implemented to high-value products such as, fruits and vegetables [20].

8.1.8 Quality Sensing in Fruits and Vegetables The quality attributes of fruits comprise firmness, soluble solid content, pH, electrical conductivity, and moisture. These qualities can be enhanced by rapid, dependable, and non-­ destructive assessment, which helps in effective production, harvesting and storage of fruits and also in processing and grading of fruits for marketing operations. This non-destructive assessment leading to quality sensing is done by measuring dielectric properties.

8.2 Measurement Techniques The measurement of dielectric properties of agricultural materials are used for non-­ destructive testing and prediction of specific characteristics of agricultural products subjected to various physical or chemical changes, so the dielectric measurement techniques are important for applications in areas like agriculture, food industry, soil science, rubber and polymer industry, etc. It is finding increasing applications in agriculture and the food industry, because of the advent of moisture meters, microwave ovens and new electric development in the field of agriculture and food processing industries [28]. In the microwave range, the dielectric properties of agricultural materials can be determined with the help of microwave sensors [29], some of which are discussed in the following sections. The measurement techniques presently being used for measuring dielectric properties of agricultural products can be classified as reflection or transmission type, employing resonant or non-resonant systems and based on open or closed structures [29]. For closed structures,

138  Electrical and Electronic Devices, Circuits, and Materials waveguide and coaxial transmission line techniques are used, while as for open structures, free-space transmission and open-ended coaxial-line techniques are used for determination of the dielectric properties of food materials. Resistivity cell, lumped circuits, parallel plate capacitor, transmission line, etc., are the methods which are used in the low frequency range, from a few KHz to hundreds of MHz, whereas time domain spectroscopy, coaxial probe, cavity resonators and waveguide measurements are the methods which are used at microwave frequencies [30, 31]. These techniques have unique advantages and disadvantages. For measuring dielectric properties of materials in wide band of radio frequencies, from a few MHz to hundreds of GHz, a technique based on Network Analyzer and coaxial probe is used nowadays, as it is easy to use, provides direct results, requires little or no sample preparation and variation in dielectric properties with frequency can be studied over a wide band of frequencies. This method also provides quick results for the effect of temperature variation [32–36]. Looking to its importance, a short description of open-ended coaxial probe – Network Analyzer technique is given below.

8.2.1 Open-Ended Coaxial Probe – Network Analyzer Technique The coaxial probe method is an improvised version of the earlier transmission line technique. The open-ended coaxial-line probe technique has been widely used in the wideband permittivity estimation of liquids and semi-solid materials which include biological samples and food materials [37–40], and of fresh fruits and vegetables [41]. In the coaxial probe method a signal generated by the Network Analyzer after passing through the coaxial line is made to be input on the material through the coaxial probe. The tip of the probe should touch the flat surface of a solid sample or it should be immersed in the liquid under test. The probe also acts as the receiver of the signal reflected by the sample. The phase difference between the incident and reflected signals and attenuation of the signal amplitude are estimated by the Network Analyzer to which the coaxial probe is connected. Through the analysis of incident and reflected signals, attenuation of the signal amplitude and phase change that occurs during reflection of the signal from the sample, the Network Analyzer directly provides the values of dielectric properties of the sample. The results obtained from this method have limited accuracy, especially when the material of the sample has low values of permittivity, but this technique is quite simple, easy to use and can be used to determine the dielectric properties of a sample over a wide band of frequencies (viz., 500 MHz to 110 GHz or 20 MHz to 20 GHz, or 50 MHz to 50 GHz by using different models of probes with different types of Network Analyzers) [19, 42]. This technique has been found to provide good results for materials with a loss factor greater than unity, when used at frequencies 915 MHz and 2,450 MHz [42, 43]. The diameter of coaxial line should be equal to 3.5 mm for being used with an open-ended probe. The probes with flat flanges are especially suitable for determination of dielectric properties of solid samples [42]. Wang et al. successfully used the open-ended coaxial probe method for determination of the permittivity (i.e., dielectric constantand dielectric loss factor) of fruits in the temperature range of 20 to 60°C, at frequencies 1MHz to 1,800 MHz [36]. They also used this technique as selective heating treatment for controlling insects in fruits. Precautions are needed in using this technique for obtaining results with good accuracy. The calibration of the probe should be done accurately by using known standards and after calibration it

Microwave Radiation in Sensing of Agricultural Products  139 should be tested for some standard liquid (say methanol) to check whether it yields correct results with desired accuracy. Only after performing such a test, the probe should be used to measure the dielectric properties of an unknown sample.

8.2.2 Network Analyzer The process commonly used by the scientists to measure the electrical properties of agricultural products and to check the dielectric properties of food products or to check the performance of the circuits when used as part of more complex systems is called Network analysis. In principle, the network analysis means the analysis of the EM waves reflected, and transmitted from a sample placed in a transmission line, to compare them with the incident wave and from the information like phase change, attenuation etc., to derive the dielectric properties of the sample. The incident, reflected and transmitted beams are shown in Figure 8.1, using light wave analogy and a PNA Network Analyzer with a dielectric probe kit is presented in Figure 8.2. The reflectometric technique is helpful in designing of portable sensors for the determination of dielectric spectroscopy of agricultural materials [9]. Dielectric spectroscopy is considered to be very hopeful [28] for the quality sensing of agricultural materials, biomasses and in food industries [44]. The materials of agricultural origin could be assessed for their qualities like moisture content, ripeness, etc., by this technique [45, 46]. This

Incident

Transmitted

Reflected

Figure 8.1  Light wave analogy to show reflected and transmitted beams from a sample for incident beam of RF or microwave signal.

Figure 8.2  PNA Network Analyzer with 85070E dielectric probe kit.

140  Electrical and Electronic Devices, Circuits, and Materials Colloid Dielectric Probe

MicroStrip Transmission Line

Coaxial Probe

Strip conductor

ac

Dielectric Substrate

Ground Plane

Transmission Line

Six Port Reflectometer

Free Space

Cavity Resonator Parallel Plate

10

6

7 10

10

8

10

9

10

10

11 10

12 10

13 10

14 10

15 10

Frequency (Hz)

Figure 8.3  Dielectric properties measurement techniques used for the agriculture material: characterization versus the frequency range [48].

technique is also useful for the investigation of dielectric properties during thermal treatments for controlling insects in fruits and vegetables [47]. There are so many techniques apart from the coaxial probe method, which are based on the applied frequency range (Figure 8.3). The major measurement techniques used in the sector of agricultural products are illustrated for different ranges of frequency in Figure 8.3. These measurement techniques are suitable in different frequency ranges and for different types of the agricultural materials and have some advantages and disadvantages. A brief description of some of the common techniques are given below in Table 8.1

8.3 Dielectric Spectroscopy of Agricultural Products at Different Temperatures The knowledge of complex permittivity of different types of substances at microwave frequencies is of special interest in different areas of research, such as, molecular behaviour in liquids and solids, behavior of different types of soils, electrical behavior of different types of foods and biological samples, processing of food materials, radiation absorbers, microwave circuit design, etc. The dielectric properties of materials are also important for industrial applications because they provide information about the electrical and magnetic characteristics and molecular behaviour of the materials, which prove to be quite useful in research and development of a number of industries, viz., ferrite devices, microwave oven, microwave circuits, food processing, moisture meters, etc.

Brief description

In this technique, a sample of definite geometry, which is to be tested, is placed inside an enclosed transmission line. Both the reflection from the surface of the sample and transmission through the sample can be measured.

This is based on the resonant frequency shifting and how much change in absorption frequency occurs of a tuned resonant cavity.

A signal generated by the Network Analyzer after passing through the coaxial line is made to be input on the material through the coaxial probe.

This method uses the reflection characteristic of the sample under test.

Technique

Transmission Line

Cavity Perturbation

Open-Ended Coaxial Probe

Time Domain Spectroscopy

Substance must be homogeneous.

Solids, liquids, gel, dairy items.

Well suited for dielectric measurements of materials with low loss tangents.

Solid, liquid, powder form of solid and viscous fluid types of food.

Type of agricultural material

Table 8.1  Brief description of some measurement techniques.

The results from this technique are of good accuracy, only a few percent of error shows.

This technique is relatively simple as compared to others, and can be used over a wide band of frequencies.

A large number of measurements are possible in a short period of time and preparation of sample is relatively easy.

More accurate as compared to the more usual method using a Network Analyzer and coaxial probe, laboratory method.

Advantages

(Continued)

This technique is expensive.

Calibration of the system is an important part for giving correct values of permittivities.

Single frequency measurement is restricted by this technique.

Narrow range of frequencies, samples should be fitted in a particular portion of a transmission line, so preparation is difficult and time consuming.

Disadvantages

Microwave Radiation in Sensing of Agricultural Products  141

Brief description

A sample is put between the antennas i.e., a transmitting antenna and a receiving antenna, which are connected to the Vector Network Analyzer. The sample attenuation and phase shift of the signal reflected by and transmitted through the sample are measured by VNA.

Provides wideband permittivity measurements.

It is suitable for industrial purposes likefood industries and biochemical industries.

Technique

Free Space transmission techniques

Six-port reflectometer (SPR)

Colloid dielectric probe

Food materials, chemicals and biological samples, Colloidal liquid materials.

Liquids.

Homogeneous or inhomogeneous dielectrics.

Type of agricultural material

Table 8.1  Brief description of some measurement techniques. (Continued)

Rapid and more accurate measurements.

Advanced sensor technique, which directly provides us the permittivity vs. frequency characteristics.

Does not need any special sample preparation; used for industrial applications, wide range of frequencies.

Advantages

Errors occur due to polarization effect.

A more complex mathematical procedure.

The designing of the sample holder is a big task.

Disadvantages

142  Electrical and Electronic Devices, Circuits, and Materials

Range of frequency & temperature

3.43 GHz, 7.31 GHz, 9.30 GHz and 14.70 GHz (28°C)

10MHz-1.8 GHz (0-10 week storage) at 4°C

1–1800 MHz (20–60°C) (16 days storage)

10 MHz–1.8 GHz (5–65°C)

915 MHz and 2.45 GHz (23°C)

200 MHz–8.5 GHz (16–25°C)

10 MHz–1.8 GHz (5–95°C)

Fruits and vegetables

Apple (Red delicious apple, Delicious Apple, Fuji apple and Granny Smith apple)

Apple (Fuzi apple, Pink Lady apple, Red Rome apple)

Mangoes

Navel orange

Grape

Guava

Avocado

ε enhances at lower frequency range with the temperature, whereas it reduces at higher frequency range with the temperature. At a particular frequency, ε reduces with the temperature and the loss factor enhances with the temperature.

ε decreases continuously with increasing frequency at all the temperatures and ε increases on increasing the temperature, at GHz frequency scale.

ε decreases almost linearly with increasing frequency, whereas ε increases in a random fashion with increasing frequency.

ε increases with increase in temperature at lower frequencies, whereas at higher frequencies, it decreases with increase in temperature. ε was found to increase consistently on increasing the temperature below frequency 1 GHz.

(Continued)

[34, 55]

[54]

[35, 53]

[52]

[10]

[51]

ε and ε values remain almost same as the storage period of 10 weeks and follow the general trend with increasing the frequency. Storing the mangoes do not effect much on the ε and ε . The rate of reduction with frequency was larger for ε as compared to ε .

[50]

Reference

ε decreases with increasing frequency for all the four samples. With increase in frequency, ε increases first, reaches its peak value and then decreases linearly with increase in frequency.

Comments

Table 8.2  Dielectric properties of some fruits and vegetables at indicated frequency, temperature and moisture content.

Microwave Radiation in Sensing of Agricultural Products  143

Range of frequency & temperature

10–1800 MHz (20–60°C)

10–1800 MHz (20–60°C)

2800 MHz, (20–60°C)

3.43 GHz, 7.31 GHz, 9.30 GHz and 14.70 GHz (28°C)

3.43 GHz, 7.31 GHz, 9.30 GHz and 14.70 GHz (28°C)

1-50 GHz (30-60°C)

1-50 GHz (30-60°C)

Fruits and vegetables

Apricots

Dates

Strawberry

Watermelon (Sugar Baby & Arka Manik)

Matured Banana & Unmatured Banana

Red Delicious Apple Juice

Green Grapes (Sultania)

(Continued)

[61]

[60]

ε reduces with enhancing frequency at all the four temperatures. ε first enhance with frequency, reaches its maximum value and then reduces with enhancing frequency. Relaxation frequency is noted between 6.5 GHz to 14.0 GHz. ε decreases continuously with increasing frequency at all temperature, except for 600C. Dielectric dispersion found in the frequency range 5 to 10 GHz. ε of green grape juice increases with increasing temperature in the frequency range 1 to 2.5 GHz

[59]

dielectric properties of ripened fruits are different than that of raw fruits, the relaxation frequency of unmatured banana is greater than that of matured banana.

[59]

[57, 58]

ε decreases with increasing the temperature at higher moisture content and its increases at intermediate moisture content, whereas ε has the highest value at average moisture content. ε decreases first rapidly then slowly with increase in frequency for all the four samples. ε curves for these samples are found to change curvature at about 9 GHz, which corresponds to a relaxation frequency of the samples.

[56]

[56]

Reference

Both the dielectric parameters (ε and ε ) of all the samples decrease with increasing frequency, and increase with increasing temperature at each frequency.

Both the dielectric parameters (ε and ε ) of all the samples decrease with increasing frequency, and increase with increasing temperature at each frequency.

Comments

Table 8.2  Dielectric properties of some fruits and vegetables at indicated frequency, temperature and moisture content. (Continued)

144  Electrical and Electronic Devices, Circuits, and Materials

[59]

[64]

ε was found to decrease with rise in temperature and increase with increasing moisture content. ε does not show any definite trend for its variation with frequency, temperature and moisture content. Without peel have higher value of dielectric constant at all the four frequencies. Relaxation frequency of the interior of the bottle gourd is less than the relaxation frequency of its peel. ε first increases up to 550C and then mitigates as the temperature increases more than 550C because the presence of oligofructo saccharides.

2450 MHz (5–130°C)

4.71 GHz, 7.43 GHz, 9.25 GHz, 14.70 GHz at 24°C

2450 MHz (5–130°C)

200 MHz–20 GHz

500–3000 MHz (0–90°C)

2800 MHz, (20–60°C)

Bottle gourd (with and without peel)

Garlic

Onion

Green coconut water

Mushroom

ε decreases with increasing the temperature at high moisture content and at intermediate moisture content , ε increases with temperature. The loss factor shows a reverse trend like excellent value at lower MC.

At 915 MHz, MW heating is much effected with Ionic conduction and at 2450 MHz a constant relationship was found between ionic and dipolar mechanisms, depending on temperature.

Both the dielectric parameters (ε and ε ) linearly increase with increasing moisture content.

[68]

[67]

[65, 66]

[63]

[62]

Potato

ε follows the general trend with increasing frequency and temperatures. On adding the salt, ε increases with increasing temperature at 915 MHz, but first decreases at 2450 MHz.

300–3000 MHz (23–120°C), The effect of salt was also observed.

Reference

Tomato

Comments

Range of frequency & temperature

Fruits and vegetables

Table 8.2  Dielectric properties of some fruits and vegetables at indicated frequency, temperature and moisture content. (Continued)

Microwave Radiation in Sensing of Agricultural Products  145

146  Electrical and Electronic Devices, Circuits, and Materials The behavior of electrical properties of agricultural materials has been a burning research and development area for researchers of Agrophysics [49]. A number of research studies have been done on the dielectric spectroscopy regarding vegetables and fruits, grains, seeds, dry fruits, dairy products and described for frequency ranges, different temperatures, compositions, moisture content and storage period. Some of them are summarized below in Table 8.2. The results of dielectric spectroscopy (ε vs. f and ε vs. f) of green grapes juice and banana (matured and unmatured) as reported by Vijay et al. [59] are presented below in Figure 8.4 (a & b) and Figure 8.5 (a & b) respectively.

40 80

35

Dialectric Constant (ε')

60

Dielectric loss factor (ε'')

30 C 40 C 50 C 60 C

70

50 40 30

30

25

20 30 C

15

40 C 50 C

20

60 C

10

10 0

10

20 30 40 Frequency (f) (GHz)

50

10

0

20 30 40 Frequency (f) (GHz)

(a)

50

(b)

Figure 8.4  Frequency dependence of the (a) dielectric constant (ε') and (b) dielectric loss factor (ε'') of green grapes juice at indicated temperatures [61].

60

0.90 Matured Banana Unmatured Banana

55

0.85 0.75 Dielectric loss factor (ε'')

Dielectric Constant (ε')

50 45 40 35 30

0.70 0.65 0.60 0.55 0.50 0.45 0.40

25 20

Matured Banana Unmatured Banana

0.80

0.35 0.30 4

6

8 12 10 Frequency [GHz]

(a)

14

16

4

6

8 10 12 Frequency [GHz]

14

16

(b)

Figure 8.5  Variation of (a) dielectric constant (ε') and (b) dielectric loss factor (ε'') of two samples of banana with microwave frequencies [59].

Range of frequencies & temperature

4.69 GHz, 7.01 GHz, 9.32 GHz (30-80°C)

4.69 GHz, 7.01 GHz, 9.32 GHz (30-80°C)

4.69 GHz, 7.01 GHz, 9.32 GHz (30-80°C)

4.65 GHz, 7.00GHz, 9.35 GHz, 14.92 GHz (With MC% 9.20-24.30 %)

4.65 GHz, 7.00GHz, 9.35 GHz, 14.92 GHz (With MC% 12.86-24.86 %)

200 Mhz-20 GHz, (25-95°C) at 11.2 % MC

Food grains in powder form

Barley

Chickpea

Mustard seeds

Wheat (RAJ 3077) in powder form

Green gram in powder form

Ground Hard Red Winter Wheat

[69]

[69]

[18]

Both ε and ε at a particular frequency increase with moisture, the increase in ε with moisture being rapid as compared to ε Both ε and ε follow the general trend with increasing frequency.

[69]

[69]

[69]

Reference

For all the four frequencies, values of ε and ε increase with increasing level of moisture content, parallel lines obtained for ε – MC curves show that the variation is almost linear at all the four frequencies.

(ε ) decreases and the value of dielectric loss (ε ) increases till 70° C, above which a reverse trend is observed, i.e., the value of ε’ increases and the value of ε decreases at all frequencies. ε increases with temperature almost linearly till 70°C and above 70°C it decreases till 80°C, showing a peak at about 70°C at all three frequencies.

Dielectric constant (ε ) and dielectric loss factor (ε ) increase almost linearly with increase in temperature.

Both the dielectric constant and dielectric loss decrease with increase in frequency.

Comment

Table 8.3  Dielectric properties of some grains and seeds are summarized in the following table at indicated frequency, temperature and moisture content.

Microwave Radiation in Sensing of Agricultural Products  147

Dielectric constant (ε')

148  Electrical and Electronic Devices, Circuits, and Materials

6.00

Variation of dielectric constant (ε') with moisture content for wheat

5.00

(4.65 GHz)

4.00

(7.00 GHz)

3.00

(9.35 GHz)

2.00

(14.92 GHz)

1.00 0.00 0.00

5.00

10.00 15.00 20.00 % Moisture content

25.00

30.00

(a)

0.80

Variation of dielectric loss with moisture content for wheat

Dielectric loss (ε'')

0.70 0.60

(4.65 GHz)

0.50

(7.00 GHz)

0.40

(9.35 GHz) (14.92 GHz)

0.30 0.20 0.10 0.00

5.00

15.00 20.00 10.00 % Moisture Content

25.00

30.00

(b)

Figure 8.6  Moisture variation of (a) dielectric constant (ε') and (b) dielectric loss (ε'') for wheat in powder form at four microwave frequencies.

Dielectric properties of some grains and seeds as reported by Bhargava et al. [69] are summarized below in Table 8.3 at indicated frequency, temperature and moisture content. Further, the effect of moisture on dielectric properties of wheat powder as reported by Bhargava et al. [69] is shown below in Figure 8.6.

8.4 Correlation of Dielectric Properties with Nutrients Dielectric properties determine the interaction between food material and microwaves which are electromagnetic waves of high frequencies. These properties are helpful in predicting the behaviour of food materials during microwave processing, heating and cooling [70]. As such, they play a significant role in the design of microwave processing equipment and in the development of microwaveable foods and meals [71]. These properties are very crucial in determining the penetration depth that can be attained by the energy in a specific food material and thus ascertaining physical properties of these materials. With a good understanding of the dielectric properties of various food components and their interaction

Microwave Radiation in Sensing of Agricultural Products  149 with electromagnetic radiation during microwave heating, it is possible to develop successful processes for microwaveable foods [72]. Nutrients are the nutritious components in foods that a living organism utilizes to survive and grow. Food nutrients are mainly of two types: (a) macronutrients, viz., fats, carbohydrate, protein etc. (b) micronutrients, viz., vitamins, iron, calcium, zinc, iodine, etc. Macronutrients provide the considerable part of energy required for metabolism in an organism, while micronutrients supply the essential cofactors for metabolic functions to be conducted. Both types of nutrients can be acquired from the environment [73]. They are used to grow and repair tissues, control body processes, and are converted into energy required by the body to perform numerous functions. Balanced nutrition helps in preventing diseases and promotes healthy living. There are six categories of essential nutrients that the body requires from food: carbohydrates, fats, fibre, vitamins, minerals and water. The major constituents of different types of foods are their nutrients viz., proteins, fats, fiber, ash, carbohydrates and moisture contents. The electrical properties of food grains have been found to have connection with their nutrients and have important applications in the field of agriculture and food science. Dielectric constant (ε′) and dielectric loss (ε″) factor are the properties of primary importance for predicting heating rates for food materials when subjected to microwave radiation. It has been established that the dielectric properties of food grains, pulses, oilseeds, fruits and vegetables mainly depend on their moisture content. The total average values of dielectric properties also depend on other nutrients, like, proteins, fats, fiber, ash and carbohydrates. Each material has a unique molecular structure which makes its behaviour distinct in the electric field. The commercial and nutritional value of food products determines its quality and it can be related to their physical and chemical properties. As such, the dielectric properties can reasonably provide quality information about any complex material assuming it to consist of various mixed substances. Figure 8.7 presents a brief sketch of chemical and physical properties of agricultural products and food materials and their correlation

Under

Environmental Parameters

Physical & Chemical Properties

Dielectric Properties

Temperature Frequency Storage Time

• Density • Salinity • PH • Soluble Solid Content • Moisture Content • Peel of the fruit

• Dielectric Constant • Loss factor • Tangent Loss • Relaxation Time

Correlation

Quality Parameters of Food Materials

Characterization

External • Color • Appearance

Parameters

• Firmness • Ripening

• Taste • Value

Characterization

Internal • Biotic & Abiotic contaminants

Figure 8.7  Correlation between dielectric properties and physical/chemical properties [48].

150  Electrical and Electronic Devices, Circuits, and Materials with the dielectric properties under various environmental parameters which may cause the quality indices to change [48]. Since the dielectric properties of foods depend on their chemical composition, it is possible to develop a correlation between dielectric properties of food entities with their nutrients viz., moisture, crude proteins, fats, crude fiber, total ash and total carbohydrates. Numerous efforts have been made to establish a relationship between the dielectric properties and food composition by Sun et al. [74] which are based on the weighted averages of the dielectric properties of individual components of a food material. Tabil [75] observed that the dielectric properties of food products depend on their composition. The effect of water and salt (or ash) content on the dielectric properties depends mainly on the mode in which they are bound or constrained to move by the other food components [35]. Calay et al. [76] categorized food materials into grains, vegetables, fruits and meat and formulated the equations predicting their dielectric properties as a function of moisture, fats, salts and temperature with the coefficients of determination (R2) lying between 0.70 to 0.82. Sun et al. [74] compiled the data pertaining to dielectric properties available in literature and their dependence on moisture, ash contents of fruits, fish, meats and vegetables and used them to establish predictive equations. They inferred that it was tough to establish equations based on generic composition for determining dielectric properties of all types of food products. As such, it was considered desirable to devise such equations for a particular product or a group of products of food. Garcia et al. [53] showed the results of a linear regression of loss factor with conductivity of grape juice at two frequencies 0.2 and 3.0 GHz and at 20°C. They found that the correlation at 3 GHz is much better than that at 200 MHz. Simple models predicted on the basis of easily measurable composition and dielectric data can serve many useful purposes. Dielectric properties of salt solutions were measured so as to explain some of the relations [74]. Bhargava et al. [69] established correlation between nutrients and dielectric properties of pulses, grains and oilseeds at microwave frequencies. It was observed that the dielectric properties of food grains do not show any significant correlation with food nutrients when food grains of different types, such as cereals, pulses and oilseeds are considered together. Therefore, it becomes difficult to form predictive equations for ε′ and ε″ in terms of nutrients. The complex structure of grain kernels (varying with maturity stage and time of storage); the variation of the dielectric properties with moisture content, bulk density and temperature; and the unpredictable behaviour of the dielectric relaxations; all these factors lead to the complicated dielectric behaviour of food grains. Vijay et al. [59] investigated the nutrients and dielectric properties of four varieties of apple and watermelon and obtained correlation between two types of properties. It can be concluded that dielectric properties of a typical food material depend on a number of factors and cannot be easily predicted just based on its proximate analysis and composition. The reasons which make the composition-based predictions of dielectric properties of foods so difficult are that the molecular structures and chemical compositions of the nutrients are quite complex and susceptible to different physical conditions, whose effects differ for different nutrients and that both non-electrolyte and electrolyte systems play an important role in determining the dielectric properties of foods.

Microwave Radiation in Sensing of Agricultural Products  151

8.5 Conclusion This chapter is intended to provide information about the agricultural applications of microwave heating. It emphasizes the importance of dielectric properties in quality sensing of agricultural products. A discussion on applications of dielectric heating in agriculture is also covered. It also presents a brief summary about different techniques of measurement of dielectric properties, out of which the open-ended coaxial probe technique has been explained in detail. Permittivity measurement principles and techniques used for measurements on agricultural materials are reviewed. Dielectric spectroscopy of various agricultural products and their variation with moisture and temperature are also discussed. A section on correlation of nutrients with dielectric properties is also included which consists of a review of different studies carried out on correlation between dielectric properties and nutrients.

References 1. Vadivambal, R.; Jayas, D.; Changes in quality of microwave-treated agricultural products-a review. Biosystems Engineering 2007, 98, 1-16. 2. Vadivambal, R.; Jayas, D.S.; Non-uniform Temperature Distribution During Microwave Heating of Food Materials—A Review. Food Bioprocess Technol. 2010, 3, 161-171. 3. Gupta, P.; Pradhan, I; Application of Microwave in Agriculture. Conceptual Frame Work & Innovations in Agroecology and Food Sciences. KrishiSanskriti Publications, New Delhi. 2015. 4. Chandrasekaran, S.; Ramanathan, S.; Tanmay, B.; Microwave food processing - A review, Food Research International 2013, 52(1), 243-261. 5. Saltiel, C.; Datta, A.K.; Heat and mass transfer in microwave processing. Advances in Heat Transfer 1999, 33, 1-94. 6. Khaled, D.E.; Castellano, N.N.; Gazquez, J.A.; Salvador, R.G.; Manzano-Agugliaro, F; Cleaner quality control system using bioimpedance methods: A review for fruits and vegetables. J. Clean. Prod. 2017, 140, 1749-1762. 7. Nelson, S. O; Dielectric Properties of Agricultural Materials and Their Applications; Academic Press: London, UK, 2015. 8. Trabelsi, S.; Paz, A.M.; Nelson, S.O.; Microwave dielectric method for the rapid, non-­destructive determination of bulk density and moisture content of peanut hull pellets. Biosyst. Eng. 2013, 115, 332-338. 9. Skierucha, W.; Wilczek, A.; Szypłowska, A.; Dielectric spectroscopy in agrophysics. Int. Agrophys. 2012, 26, 187–197. 10. Sosa-Morales, M.E.; Tiwari, G.; Wang, S.; Tang, J.; Garcia, H.S.; Lopez-Malo, A.; Dielectric heating as a potential post-harvest treatment of disinfesting mangoes, Part I: Relation between dielectric properties and ripening. Biosyst. Eng. 2009, 103, 297-303. 11. Nelson, S. O.; Measurement and applications of dielectric properties of agricultural products, IEEE Trans. Instrum. Meas. 1992, 41, 116-122. 12. Berbert, P. A.; Queiroz, D.M.; Melo, E.C.; Dielectric properties of common bean. Biosystems Engineering, 2002, 83(4), 449-462.

152  Electrical and Electronic Devices, Circuits, and Materials 13. Davis, F. S.; Wayland, J. R.; Merkle, M.G.; Ultrahighfrequency electromagnetic fields for weed control: phytotoxicity and selectivity, Science, 1971, 173(3996), 535–537. 14. Nelson, S. O.; Dielectric properties of grain and seed in the 1 to 50 MHz.Trans. ASAE 1965, 8, 38-48. 15. Nelson, S.O.; Dielectric Spectroscopy in Agriculture. J. Non-CrystSolids. 2005, 351, 2940–2944. 16. Wang, S.; Birla, S.L.; Tang, J.; Hansen, J.D.; Postharvest treatment to control codling moth in fresh apples using water assisted radio frequency heating. Postharvest BiolTechnol. 2006, 40(1), 89–96. 17. Ohlsson, T.; Dielectric properties and microwave processing. In: Food Properties and Computeraided Engineering of Food Processing Systems, (Edited by R.P. Singh and A.G. Medina), Kluwer Academic Publisher, Norwell, 1989. 18. Nelson, S.O.; Review and assessment of radio-frequency and microwave energy for stored grain insect control. Trans ASAE, 1996, 39 (4), 1475-1484. 19. Engelder, D. S.; Buffler, C. R.; Measuring dielectric properties of food products at microwave frequencies. Microw. World, 1991, 12(2), 6-15. 20. Zhang, M.; Tang, J.; Mujumdar, A. S.; Wang, S.; Trends in microwave-related drying of fruits and vegetables. Trends Food Sci. Technol. 2006, 17, 524-534. 21. Andrés A.; Bilbao, C.; Fito, P.; Drying kinetics of apple cylinders under combined hot airmicrowave dehydration. J Food Eng. 2004, 63, 71-78. 22. Feng, H.; Tang, J.; Cavalieri, R. P.; Plumb, O. A.; Heat and mass transport in microwave drying of porous materials in a spouted bed. AIChE J. 2001, 47, 1499-1512. 23. Feng, H.; Tang, J.; Mattinson, D.S.; Fellman, J. K.; Microwave and spouted bed drying of blueberries: the effect of drying and pretreatment methods on physical properties and retention of flavor volatiles. J Food Process Preserv. 1999, 23, 463-479. 24. Feng, H.; Tang, J.; Cavalieri, R.; Combined microwave and spouted bed drying of diced apples: effect of drying conditionson drying kinetics and product temperature. Invited contribution to the special Hall Issue. Dry Technol. 1999, 17, 1981-1998. 25. Brygidyr, A.M.; Rzepecka, M. A.; McConnell, M.B.; Characterization and drying of tomato paste foam by hot air and microwave energy. Can Inst Food SciTechnol J. 1977, 10, 313–319. 26. Maskan, M.; Drying, shrinkage and rehydration characteristics of kiwifruits during hot air and microwave drying. J Food Eng. 2001, 48:177-182 27. Nijhuis, H.H.; Torringa, E.; Luyten, H.; René, F.; Jones, P.; Funebo, T.; Ohlsson, T.; Research needs and opportunities in the dry conservation of fruits and vegetables. Dry Technol. 1996, 14:1429-1457. 28. Venkatesh, M. S.; Raghavan G. S. V.; An overview of dielectrical properties measuring techniques. BiosystEng. 2005, 47, 15-7330. 29. Kraszewski, A.; Microwave aquametry.  J MicrowPower. 1980, 15, 209–220. 30. Icier, F.; Baysal, T.; Dielectric properties of food materials-2: Measurement techniques. Crit Rev Food SciNutr. 2004, 44, 473–478. 31. Nyfors, E.;Vainikainen, P; Industrial microwave sensors, Chapter 2. Norwood: Artech House. 1989. 32. Feng, H., Tang, J.; Cavalieri, R. P.; Dielectric properties of dehydrated apples as affected by moisture and temperature. Trans ASAE. 2002, 45, 129–135. 33. Ikediala, J.N.; Tang, J.; Drake, S. R.; Neven, L. G.; Dielectric properties of apple cultivars and codling moth larvae. Trans ASAE. 2000, 43, 1175–1184. 34. Nelson, S. O.; Frequency and temperature-dependent permittivities of fresh fruits and vegetables from 0.0l to 1.8 GHz.  Trans ASAE. 2003, 46, 567–574. 35. Venkatesh, M. S.; Raghavan, G. S. V.; An overview of microwave processing and dielectric properties of agri-food materials. Biosystem Eng. 2004, 88, 1–18.

Microwave Radiation in Sensing of Agricultural Products  153 36. Wang, S.; Tang, J.; Johnson, J. A.; Mitcham, E.; Hansen, J. D.; Hallman, G.; Drake, S. R.; Wang, Y.; Dielectric Properties of Fruits and Insect Pests as related to Radio Frequency and Microwave Treatment. Biosystems Engineering. 2003, 85, 201-212. 37. Nelson, S.O.; Forbus, W.; Lawrence, K.; Permittivities of fresh fruits and vegetables at 0.2 to 20 GHz. J. Microw. Power Electromagn. Energy 1994, 29, 81–93. 38. Herve, A.G.; Tang, J.; Luedecke, L.; Feng, H.; Dielectric properties of cottage cheese and surface treatment using microwaves. J. Food Eng. 1998, 37, 389–410. 39. Blackham, D.V.; Pollard, R. D.; An improved technique for permittivity measurements using a coaxial probe. IEEE Trans Instrum. 1997, 46, 1093-1099. 40. Grant, J. P., Clarke, R. N.; Symm, G. T.; Spyrou, N. M.; A critical study of the open-ended coaxial line sensor technique for RF and microwave complex permittivity measurements. J Physics E: SciInstrum.  1989, 22, 757-770. 41. Nelson, S.O.; Bartley, P.G.; Frequency and temperature dependence of the dielectric properties of food materials. Trans. ASAE 2002, 45, 1223-1227. 42. Hewlett-Packard.; Basics of measuring the dielectric properties of materials. Application Note, 1992, 1217-122l. 43. Sheen, N.I.; Woodhead, I.M.; An open-ended coaxial probe for broad-band permittivity measurement of agricultural products. J AgricEng Res. 1999, 74, 193–202. 44. Kiviharju, K.; Salonen, K.; Moilanen, U.; Eerikäinen, T.; Biomass measurement online: The performance of in situ measurements and software sensors. J. Ind. Microbiol. Biot. 2008, 35, 657–665. 45. Damez, J.L.; Clerjon, S.; Quantifying and predicting meat and meat products quality attributes using electromagnetic waves: An overview. Meat Sci. 2013, 95, 879–896. 46. Kunle, O.F.; Egharevba, H.O.; Ahmadu, P.O.; Standardization of herbal medicines—A review. Int. J.Biodivers. Conserv. 2012, 4, 101–112. 47. Wang, Y.; Zhang, L.; Gao, M.; Tang, J.; Wang, S.; Temperature-and moisture-dependent dielectric properties of macadamia nut kernels. Food Bioprocess Tech. 2013, 6, 2165–2176. 48. Khaled, D.E.; Castellano, N.N.; Gázquez, J.A.; Perea-Moreno, A.J.; Manzano-Agugliaro, F.; Dielectric Spectroscopy in Biomaterials: Agrophysics.  Materials 2016, 9, 310. 49. Zhang, H.; Electrical Properties of Foods; EOLSS: NewYork, USA, 2007. 50. Vijay, R.; Jain, R.; Sharma, K. S.; Frequency Dependence of Dielectric Properties of Four Cultivars of Apple at Microwave Frequencies. J. Environ. Nanotechnol. 2014, 3, 43–47. 51. Guo, W.; Nelson, S. O.; Trabelsi, S.; Kays, S. J.; 10–1800-MHz dielectric properties of fresh apples during storage.  J Food Eng.  2007, 83, 562–569. 52. Nelson, S. O.; Dielectric Spectroscopy of Fresh Fruit and Vegetable Tissues from 10 to 1800 MHz.J. Microwave Power Electromagn. Energy 2005, 40, 31–47. 53. Garcıa, A.; Torres, J. L.; Prieto, E.; De Blas, M.; Dielectric Properties of Grape Juice at 0.2 and 3 GHz. J. Food Eng. 2001, 48, 203–211. 54. Kundu, A.; Gupta, B; Broadband dielectric properties measurement of some vegetables and fruits using open ended coaxial. International Conference on Control, Instrumentation, Energy & Communication (CIEC). IEEE: India, 2014. 55. Coronel, P.; Simunovic, J.; Sandeep, K. P.; Kumar, P.; Dielectric Properties of Pumpable Food Materials at 915MHz. Int. J. Food Prop .2008, 11, 508–518. 56.. Alfaifi, B.; Wang, S.; Tang, J.; Rasco, B.; Sablani, S.; Jiao, Y.; Radio Frequency Disinfestation Treatments for Dried Fruit: Dielectric Properties. LWT – Food Sci. Technol. 2013, 50, 746–754. 57. Zhu, Z.; Guo, W.; Frequency, Moisture Content, and Temperature Dependent Dielectric Properties of Potato Starch Related to Drying with Radio-Frequency/Microwave Energy. Sci. Rep. 2017, 7, 9311.

154  Electrical and Electronic Devices, Circuits, and Materials 58. Jiang, H.; Shen, Y.; Zhen, L.; Li, W.; Zhang, Q.; Evaluation of Strawberries Dried by Radio Frequency Energy. Dry. Technol. 2018, 1–10. 59. Vijay, R.; Jain, R.; Sharma, K. S.; Investigation of Dielectric Properties of some Fruits and Vegetables at Microwave Frequencies, Thesis, The IIS University, Jaipur, 2015. 60. Mudgett, R. E.; Electrical properties of foods, In Engineering properties of foods (Edited by M. A. Rao and S. S. H. Rizvi), pp. 389-455, Marcel Dekker Inc, New York. 1995. 61. Vijay, R.; Jain, R.; Sharma, K. S.; Dielectric Spectroscopy of Grape Juice at Microwave frequencies. International Agrophysics. 2015, 29, 239-246. 62. Peng, J.; Tang, J.; Jiao, Y.; Bohnet, S. G.; Barrett, D. M.; Dielectric Properties of Tomatoes Assisting in the Development of Microwave Pasteurization and Sterilization Processes. LWT Food Sci. Technol. 2013, 54, 367–376. 63. Sipahioglu, O.; Barringer, S. A.; Taub, I.; Prakash, A.; Modeling the Dielectric Properties of Ham as aFunction of Temperature and Composition. J. FoodSci. 2003, 68, 904–909. 64. Van Loo, J.; Coussement, P.; de Leenheer, L.; Hoebregs, H.; Smits, G.; On the Presence of Inulin and Oligofructose as Natural Ingredients in the Western Diet. Crit. Rev. Food Sci. Nutr. 1995, 35, 525–552. 65. Nelson, S. O.; Microwave Dielectric Properties of Fresh Onions. Trans. ASABE 1992, 35, 963–966. 66. McKeown, M. S.; Trabelsi, S.; Tollner, E. W.; Nelson, S. O.; Dielectric Spectroscopy Measurements for Moisture Prediction in Vidalia Onions. J. Food Eng. 2012, 111, 505–510. 67. Franco, A. P.; Yamamoto, L. Y.; Tadini, C. C.; Gut, J. A. W.; Dielectric Properties of Green Coconut Water Relevant to Microwave Processing: Effect ofTemperature and Field Frequency. J. Food Eng. 2015, 155, 69–78. 68. Funebo, T.; Ohlson, T.; Dielectric Properties of Fruits and Vegetables as a Function of Temperature and Moisture Content. J. Microw. Power Electromagn.Energy 1999, 34, 42–54. 69. Bhargava, N.; Sharma, K. S.; An investigation of the Dielectric Properties of food grains, pulses and oilseeds at Microwave Frequencies, Thesis, The IIS University, Jaipur. 2015. 70. Ryynanen, S.; The electromagnetic properties of food materials: A review of the basic principles. J. Food Eng. 1995, 26(4), 409-429. 71. Mudgett, R. E.; Dielectric properties of food, In: Microwaves in the Food Processing industry (Edited by R. V. Decareau), Academic Press, Orlando. 1985, 15-37. 72. Shukla,T.P.; Anantheswaran, R.C.; Ingredient Interactions and Product development for microwave heating, In: Handbook of Microwave Technology for Food Applications. (Edited by A.K. Datta and R.C. Anantheswaran), Marcel Dekker, New York. 2001. 73. Whitney, E.; Rolfes, D.S.;  Understanding Nutrition, 10th edition, M.S. Thomson-Wadsworth. 2005. 74. Sun, E.; Datta A.; Lobo, S.; Composition-based prediction of dielectric properties of foods, J. Microw. Power Electromagn. Energy 1995, 30(4), 205-212. 75. Tabil, L. G.; Some Physical properties of pistachios (Pistaciavera L.) nut and its kernel, Food Eng. 2006, 72, 30-38. 76. Calay, R. K.; Newborough, M.; Probert, D.; Calay, P. S.; Predictive equations for the dielectric properties of foods. Int. J. Food Sci. Technol. 1995, 29(6), 699-713.

9 Solar Cell Dr. Arvind Dhingra

*

Faculty, Electrical Engineering Department, Guru Nanak Dev Engineering College, Ludhiana, India

Abstract

Non-conventional sources of energy, especially solar energy, have garnered tremendous attention in recent times. Solar energy is an abundantly available clean source of energy. To harness solar energy, solar cells are the components which are required. Since the time solar PV cells were invented, they have undergone a tremendous change in their construction. The efficiencies have seen a remarkable upward trend. The cost of the cells has been on the decline. Newer materials and technologies to produce solar cells have come up. This chapter describes the history of solar cells from the time of inception to the present day. The prevalent technologies of today will be discussed in detail with their pros and cons. Keywords:  Solar cell, semiconductors, mono crystalline, polycrystalline

Introduction Solar energy and its usage have been used widely by mankind. One of the various uses of solar energy is for conversion to electrical energy. The basic device that is used for converting sunlight to electrical energy is the solar cell. This chapter describes the solar cell from a historical background, covering its construction, types and working.

9.1 History of Solar Cell Solar energy has been known to mankind for a long time. Use of solar energy is mentioned as early as the 7th century BC. The earliest use of solar energy by mankind was to produce ignition by focussing sunlight through magnifying glasses for purpose of cooking. Ancient Greeks and Romans used sunlight to light up torches to adorn their religious ceremonies. Then came the advent of sun rooms in which Roman bath tubs were used to capture the energy from the sun for natural warmth. There are some stories to suggest that Greeks used the concentrating power of bronze shields to burn enemy ships before the enemy could land on their territory. However, the practical use of solar energy started in 1839 when Edmund Becquerel, a French physicist, discovered the photovoltaic effect. The photovoltaic effect is Email: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (155–168) © 2021 Scrivener Publishing LLC

155

156  Electrical and Electronic Devices, Circuits, and Materials production of electro motive force on exposure of a material to light, especially sunlight. Electro motive force is the force required for movement of electrons and hence production of electric current. Becquerel was carrying out an experiment with a cell having two metal electrodes in an electrolytic solution. He found that when the cell was exposed to sunlight the current from the cell increased and this gave rise to the theory of photovoltaic. Most of the modern semi-conductor devices that use silicon crystals make use of this effect. Willoughby Smith, an English electrical engineer, then discovered that selenium could work as a photoconductor. A photoconductor is that material which when exposed to sunlight causes a flow of electrons. Some three years after this discovery, two scientists, namely William Grylls Adams and Richard Evans Day, discovered that selenium could produce electricity without any heating or moving parts by just exposing it to sunlight. This paved the way for easy harnessing of solar energy. This type of energy production did not require any moving parts and nor did it require any other additional source to be burnt for production of energy, thereby providing an efficient source of energy. Approximately half a century after Becquerel’s discovery, an American scientist named Charles Fritts was able to make the first solar cell by applying a thin coat of gold over selenium. This type of solar cell had very low efficiency of around 1-2%. Heinrich Hertz, a German scientist, was the first to observe the photoelectric effect when he could establish the production of free electrons from a metal surface on exposure to sunlight. He was able to establish that ultraviolet light was able to produce more current than visible light. In later years, Albert Einstein introduced the potential of solar energy by publishing a paper on the photoelectric effect and the concept of light carrying the energy. For the modern-day solar cell a major breakthrough was achieved at Bell laboratories where a team of three scientists, Daryl Chapin, Calvin Fuller, and Gerald Pearson, using silicon, created what could be called the first practical solar cell. The efficiency of this cell was 6%, showing a quantum jump over the initial efficiency of 1-2%. One such battery of early stages is shown in Figure 9.1. In the 1950s Weston Electronics began the sale of commercial licenses for silicon photovoltaic technologies. At that time the costs were too high to be commercially viable [1]. Solar cells found their application in space explorations through the launching of satellites Vanguard I, Vanguard II, Explorer III and Sputnik III. The success of these missions

Figure 9.1  The first solar cell battery.

Solar Cell  157 encouraged scientists to find out more cost-effective and efficient solutions involving solar cells. In the mid-1960s, NASA launched a fully solar-powered satellite named Nimbus. In the 1970s, the famous energy crisis set in, which propelled research in the field of solar cells. The costs started decreasing and were reduced by as much as 1/5th of the prevailing levels. The governments of the day started taking an interest in the development of cost-effective, efficient solar cells with the US president deciding to install solar panels on the White House, the presidential abode. This gave a signal that solar cells were here to stay and power the world. Giving further impetus to the solar movement, in the early 1980s the first solar parks were opened, starting with one in California. Solar cells received a makeover boost with the creation of retractable solar panels. The introduction of new materials for making solar cells in the late 1990s led to enhanced solar energy conversion efficiency. The revolutionary introduction of flexible solar panels furthered the cause of solar cell penetration. This helped in ease of usage of solar cells for generation of energy from varied locations. Researchers worked on and have succeeded in having sunless solar power using the magnetic hyperbolic dispersion properties of material to convert heat to electricity. Figure 9.2 below shows the timeline for the evolution of the solar cell.

Figure 9.2  Time Line for Solar cell (Ref.: Solarium.in).

158  Electrical and Electronic Devices, Circuits, and Materials

9.2 Constructional Features of Solar Cell [2] Semiconductor materials are best suited for making solar cells because they require lesser energy to free their valence electrons for current flow. Materials like silicon, germanium, arsenide, indium, cadmium, selenium, etc., are commonly used for making the solar cell.

9.3 Criteria for Materials to Be Used in Manufacturing of Solar Cell 1. 2. 3. 4.

Must have band gap from 1ev to 1.8ev. It must have high optical absorption. It must have high electrical conductivity. The raw material must be available in abundance and the cost of the material must be low.

The constructional details are shown in Figures 9.3 & 9.4. A solar cell construction-wise can be compared to a PN junction diode with the dissimilarity being in the thickness of P and N type layers. The solar cell has a thin P type layer which is made to grow over a relatively thicker N type layer. Electrodes are then placed on top of P layer. Electrodes are thin and do not obstruct the light reaching the junction layer. A current collecting electrode is usually provided at the bottom of N type layer. Sometimes rings of metal are put around P and N layers and then these act as positive and negative electrodes. This complete assembly Electron Flow

Electron

Photon Absorbed in Depletion Zone Electron-hole Photon Creation

Front Electrical Contact N-Type Depletion Zone

Off On

P-type

Current

Hole

Back Electrical Contract

Electron-Hole Recombination

Figure 9.3  Constructional details of Solar cell. Light Energy

Nickel Plating +

Anti-reflecting Coating

N-type Silicon

Load

P-type Silicon + –

Hole Electron

Figure 9.4  Construction of Solar cell with metal rings.

– Circuit Globe

Solar Cell  159 is then housed in a glass container to prevent any damage due shock. This construction is shown in figure below:

9.4 Types of Solar Cells [5] Solar cells are made using silicon crystal wafers. These are as shown in Figure 9.5. These wafers are similar to ones used for making computer processor parts. Three types of silicon wafers are available and based on the type of wafer used, the solar cells can be classified. The three types of wafers available are: a) Monocrystalline: This type of crystal is made up of a single type of crystal material, i.e., only one type of semiconducting material. The process used for making this type of crystal is Czochralski process. In this method crystal growth is utilised to get a single crystal of semiconductors or metals or salts. These types of crystals are one single unbroken continuous crystal having no grain boundaries. They are the most efficient type of crystals. Because of the intricate manufacturing process involved in making of these types of materials, they are costlier. b) Polycrystalline: This type of crystal is less efficient then monocrystalline wafers. These types of crystals are made of a number of smaller crystals. They are made using several types of purification processes. The purification processes are followed by a casting method which has relatively lower costs. These types of materials have a typical visible grain-like structure with metal flake effect. Polycrystalline materials can be very pure, up to 99.9999% silicon. The Figure 9.6 below shows the grain-like structure for a polycrystalline material. The cost of these types of materials is less than monocrystalline materials due to the growing of silicon on less costly substrates rather than silicon itself. c) Multicrystalline: Multicrystalline cells are made up of multiple crystals. The multi-crystalline is made by controlled extraction of heat from the melt in quartz crucible. It is done in such a manner that the interface between the growing solid and ingot is as thin and flat as possible. The process is shown pictorially in Figure 9.7 below:

Figure 9.5  Silicon wafers.

160  Electrical and Electronic Devices, Circuits, and Materials grain boundary

grain 5

grain 2

grain 4 d characteristic grain size

grain 1

grain 3 grain 6 Grain 7

Figure 9.6  Polycrystalline material with grain-like structure.

Crucible Molten Si Furnace Crystal

Pedestal

Figure 9.7  Process employed for making multicrystalline cells.

9.5 Process of Making Crystals for Solar Cell Manufacturing [2] The basic material used for making crystals for solar cells is silicon. This silicon is to be extracted from a specific kind of sand known as silica sand or also called silicon dioxide. This sand is made by the process of crushing of quartz rock. This type of sand has a lot of impurities and needs purification. This is purified using the Carbon arc welding process. In this process the excess or unwanted oxygen is extracted and gives a silicon which is approximately 99% pure. The silicon so obtained is further subjected to purification process to get as close to as 100% pure silicon. This pure silicon can then be doped with the desired impurity, i.e., boron for P type or Phosphorous for N type crystals. The polycrystalline silicon so prepared is ready to be melted. This melt can then be formed into large rectangular blocks. These blocks can be cut in to thin wafers using a diamond wire for purpose of cutting. As discussed earlier, monocrystalline wafers are produced using Czochralski process which involves melting of polycrystalline silicon material in conditions of high pressure and high temperature. Then a single monocrystalline crystal grows as an ingot.

Solar Cell  161 The following are the basic steps to make monocrystalline photovoltaic or solar cells: 1. 2. 3. 4. 5. 6.

Using the CAW process, the silicon sand is purified up to 99% pure silicon. Another refining process is used make this up to 100% pure silicon. Doping is the next process which is required to get P type or N type material. The material so doped is melted and ingot is formed. Diamond wire is used to cut this ingot to thin wafers. The wafers are then coated with P type or N type material to get PN junction.

The cell assembly is housed in glass. The next section describes the glass.

9.6 Glass As said earlier, the glass sheet at the front is to protect the solar cell from the impacts of harsh weather, hail storms, airborne debris particles. This glass should have high strength and usually tempered glass of 3.0 to 4.0 mm thickness is used. This provides resistance to extreme temperature changes as well as resistance for mechanical loads. The IEC standard specifies that solar panels under the standard impact test should be capable of withstanding impact of hailstones having a diameter of 1 inch or 25 mm and traveling with a speed of 27 m/s. This makes the glass tougher and in an unlikely event of accidental breaking, the glass gets disintegrated into small fragmental pieces instead of sharp jagged sections. This glass should be highly permissible in order to allow maximum light inflow and the glass cover should not impact the conducting efficiency of the cell in any fashion. The glass has a coating of anti-reflective material which has low iron content on rear side. This helps to bring down losses and also improves light transmission.

9.7 Cell Combinations In order to get the desired voltage or current levels, various combinational arrangements of cell are used. In order to get higher voltage levels, series combination of cells is preferred. When the higher current levels are required, parallel combination of cells is used. The following discussion is on these combinations of cells.

9.7.1 Series Combination of Solar Cells [4] When we connect two cells so that negative of the first cell is connected to the positive of next, then the arrangement is called series arrangement. This arrangement is shown in Figure 9.8. In such an arrangement, the output current remains unchanged but the output voltage becomes sum of the voltages of individual cells. The output characteristics of two solar cells when (Figure 9.9) connected in series is shown by the following graph. It can be seen that each individual cell has current output of 0.8A and a voltage of 0.6V. When they are combined then the output current remains the same, i.e., 0.8A but the output voltage becomes 1.2V, i.e., double of the voltage of individual cells.

162  Electrical and Electronic Devices, Circuits, and Materials Solar Cells in Series



solar cells



(+) (+) LOAD (–) (–) (+)

e¯ e¯

(–)

e¯ e¯

electron flow

Figure 9.8  Solar cell connection in series.

1.6

Current in

A 0.8 0.4

Single Cell

0.0

Two cell in series

0.6v

1.2v

Voltage in volts Current Same Voltage Double

Figure 9.9 

9.7.2 Parallel Combination of Solar Cells [4] When the positive terminals of two cells are joined together at one end and the negative terminals of these cells are joined at the other end, this arrangement is called parallel arrangement. This is shown in Figure 9.10. CELL 1

I1=I1+I2

I2 CELL 2 I1 V1=V1=V3

Figure 9.10  Parallel connection of cells.

Solar Cell  163

1.6 Current in

A 0.8 0.4 0.0

Two cell in series

Single Cell

0.6v Voltage in volts Voltage Same Current Double

Figure 9.11  Current voltage characteristics for series connection.

For such arrangement, the output voltage remains the same but the current becomes the sum of currents from two cells. The following graph in Figure 9.11 shows the characteristics of cells when connected in parallel.

9.7.3 Series-Parallel Combination of Solar Cells [4] There may be cases where we require both larger amounts of currents and voltages. In such cases we combine series and parallel arrangements to get series parallel arrangement. This type of arrangement facilitates more currents and voltages. Such an arrangement is shown in Figure 9.12 below. In the arrangement shown above each individual cell has a voltage rating of 19V and current rating of 8A. Now we combine the cells such that there are two groups of four cells

152 W 19V/8A

+



+



152 W 19V/8A

152 W 19V/8A

+



+



152 W 19V/8A

152 W 19V/8A

+



+



152 W 19V/8A

152 W 19V/8A

+



+



152 W 19V/8A

+ Total voltage: 19V + 19V = 38V Total current: 4 x 8A = 32A Total power: 38V x 32A = 1216 = 8 x 152W

Figure 9.12  Series parallel arrangement of solar cells.



164  Electrical and Electronic Devices, Circuits, and Materials

Current in

A

1.6 0.8 Two cell in series

Single Cell 0.0

0.6v

1.2v

Voltage in volts Both the current and voltage increases

Figure 9.13  Current and voltage characteristics for parallel connection.

connected in two parallel groups and these groups are then connected in series. The output voltage and current levels are shown in Figure 9.12 above. In case we have four cells having current output of 0.8A and voltage output of 0.6V each and we combine them in series parallel arrangement, the output characteristics of such an arrangement are shown in Figure 9.13.

9.8 Solar Panels The solar module is constructed by connecting the single solar cells in various combinations of series and parallel according to the rating required. The combination of the solar modules together is known as the solar panel. One such combination is shown in Figure 9.14. These are the panels we see commonly installed on roof tops for generation of electricity. These panels are installed on structures made of galvanized steel. The thickness and grade of material required for making these structures depends on the site conditions and requirements of safety and strength.

Single Unit of PV Cell

Solar Module Solar Panel Circuit Globe

Figure 9.14  Solar panel connections.

Solar Cell  165

9.9 Working of Solar Cell [3] The solar cell works when sunlight falls on it. The incident light from the sun could be transmitted or reflected from the semiconductor material. As we have seen above, that solar cell is made of a material which has its conducting properties in between those of conductors and insulators. These conducting properties of a semiconductor material make this material an efficient converter of light energy to electrical energy. As the light falls on the solar cell, the semiconductor material absorbs the light and starts emitting the electrons. The electrons are emitted as the light particles called photons have got energy which they transfer to the semiconductor material. The electrons with the energy imparted from photons start moving and this creates an electric field. Since the electrons move in one direction, they lead to production of current. As described in sections above, the semiconductor materials have metal electrodes joined to them which facilitate the taking out of current from the solar cell. The phenomenon is explained in the Figure 9.15 below. This figure shows a solar cell made of silicon as semiconductor material. A resistive load is connected across the cell. The solar cell has a P type semiconductor layer and an N type semiconductor layer which when joined together form a PN junction. The joining of two layers creates a barrier potential which under normal conditions does not allow any flow of electrons. But when the light falls on the junction, the photons from light impart energy to the junction. As soon this energy level exceeds the barrier level, the electrons start flowing, leading to flow of current. This can be summarized as: 1) Under the photovoltaic effect, when sunlight falls on the PN junction a potential is developed across the PN junction. This potential when it exceeds the barrier potential the current starts to flow through the circuit. 2) Hence light energy which is in the form of photons gets converted to electrical energy. 3) The electrons absorb the light packets or photons which have energy greater than the band gap energy. This makes the electrons move from valence band to conduction band which further translates to current.

Light

P IF

I

N

E-Field I2 +

V



R P-N Junction Solar Cell with Resistive Load Circuit Globe

Figure 9.15  PN Junction solar cell with resistive load.

166  Electrical and Electronic Devices, Circuits, and Materials 4) The required wavelength of light required for imparting energy to electrons is calculated using the Planck’s equation which is



Eg = h = hc/λ = 1.24

Where h is Planck’s constant and λ is wavelength of incident light.

9.10 Solar Cell Efficiency Solar efficiency is the measure of how much of solar energy falling on the cell gets converted to electrical energy through the use of photovoltaic effect. The efficiency of the solar cell is dependent on a number of factors like the latitude of the place where it is installed, the climate, reflectance, thermodynamic efficiency, conduction efficiency, charge carrier separation, charge carrier collection efficiency, etc. It is difficult to measure the direct and absolute efficiency of a solar cell. In place of this we measure the quantum efficiency. The quantum efficiency is the measure of conversion of incident photons to conducting electrons. It gives a magnetic tunnelling effect. The solar efficiency gives an idea of the energy generated for a year. Generally the efficiency is low, of the order of around 20%. This means a solar cell which has an area of 1m2 if it is assumed that efficiency of this solar cell is 20% then it should be giving an output of 200kWh per year under standard conditions (25 deg C, equatorial region). For solar cells, a maximum power point may be defined as a point which gives maximum V x I. It is the point at which the cell can give maximum output at the available level of irradiation. The maximum power point for a cell varies with the change in irradiation levels. Actually the solar power point is the product of Voc x Isc where Voc is the open circuit voltage and Isc is the short circuit current. The quality of a solar cell is also rated in terms of a factor called as fill factor. It is the power available at maximum power point divided by the product of open circuit voltage and short circuit current at that point. The fill factor depends on the solar cell’s resistance and losses in the diode. The value of the fill factor is in the range of 50% to 82%.

9.11 Uses/Applications of Solar Cells The solar cell has a versatile range of applications. The major applications are: a) b) c) d)

For producing electrical power when used in solar panels Solar farms For drying vegetables using solar energy In solar thermal applications

Solar Cell  167

Conclusion Though the presence and use of solar cell has been gaining ground, we are far from utilizing the full potential of solar energy. The low efficiency of cells coupled with the high initial costs are a major hindrance in use of solar cells. Research is underway to increase the efficiency and produce cheaper solar cell so that the full benefit of abundantly available solar power can be received.

References

1. https://www.cleanenergyreviews.info/blog/solar-panel-components-construction 2. https://circuitglobe.com/photovoltaic-or-solar-cell.html 3. https://www.electrical4u.com/solar-cell/ 4. https://www.sciencedirect.com/topics/engineering/multicrystalline-silicon

10 Fabrication of Copper Indium Gallium Diselenide (Cu(In,Ga)Se2) Thin Film Solar Cell Jaymin Ray1*, Keyur Patel2, Gopal Bhatt3, Priya Suryavanshi4 and C. J. Panchal4 Department of Physics, Uka Tarsadia University, Bardoli, Dist. Surat, Gujarat, India 2 Government Science College, Dhanpur, Dist. Dahod, Gujarat, India 3 Science & Humanities Department, Babaria Institute of Technology, Vadodara, Gujarat, India 4 Department of Applied Physics, The M.S. University of Baroda, Vadodara, Gujarat, India 1

Abstract

The copper indium gallium diselenide (CIGS)–based solar cell structure consists of an absorber layer of CIGS, a buffer layer of cadmium sulfide (CdS), and then a window layer of intrinsic zinc oxide (i-ZnO) and Aluminium doped zinc oxide (Al-ZnO). Hetero-junction thin film solar cells are generally famous for the inter- and intra-band recombination loss of the electrons and holes that are generated through photo-generated carriers. The grain growth of each layer and their lattice matching influenced the functioning of the solar cell. The fabrication part includes the deposition of successive layers on the glass substrate. Before layer by layer deposition, these layers are individually optimized on glass substrates. Each layer is prepared in various deposition conditions and characterized by several analytical techniques to obtain a good-quality device. In this chapter, the influence of the thicknesses of i-ZnO and CdS layer is explained for CIGS solar cell. Also, the influence of flash evaporated CdS and the thermally evaporated CdS are studied. The performance of fabricated CIGS solar cell has been observed by the current-voltage (I-V) measurements and the spectral response measurements. Keywords:  CIGS thin film solar cell, current-voltage (J-V) measurements, spectral response measurements, grain growth, heat soaks, thermal annealing

10.1 Introduction Copper Indium Gallium Diselenide (Cu(In,Ga)Se2 - CIGS)–based solar cell belongs to the І-ІІІ-VІ (Ι= Cu, ΙΙΙ= (In, Ga), and VΙ= Se) family. These chalcopyrite semiconductors are auspicious materials especially for thin film solar cells fabrication. The reason behind this is its high absorption coefficient (α ≈ 105 cm-1), and direct band gap (Eg ≈ 1.15 eV) [1]. Being a quaternary material, its stoichiometric compositions and defect chemistry critically influence the device performance and this factor gets controlled by film growth parameters. By optimizing the required parameters of the films, recently the conversion efficiency of CIGS *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (169–188) © 2021 Scrivener Publishing LLC

169

170  Electrical and Electronic Devices, Circuits, and Materials solar cell has reached the values of above 25% [2]. Along with this, its lifetime and reliability should be comparable with that of Silicon solar cell technology. In a thin film–based device there is a direct impact of temperature variation, impurities, slender structure, etc., on the above-mentioned parameters.

10.2 Device Structure of CIGS Thin Film Solar Cell A typical device structure of CIGS thin solar cell is shown in Figure 10.1 (a). Being a thin film hetero-junction device, it consists of different varieties of semiconductor layers grown on one another. CIGS thin film solar cell is generally prepared on the soda lime glass substrate, as it is available in plenty of amount at a low price. In addition to that the thermal expansion coefficient is well matched with CIGS material. In the device structure there

Solar Spectrum (Visible Region)

Photos Ag grid n+ AI-ZnO window layer i-ZnO window layer n-Cds buffer layer p-CIGS absorber layer

– mA +

Molybdenum (Mo) back-contact Soda lime Glass substrate (a)

Ag grid line - 0.2 mm ZnO bi-layer - 0.16 cm2 CdS layer

- 0.25 cm2

CIGS layer

- 0.36 cm2

Molybdenum - 1.0 cm2 Glass slide

- 1.69 cm2 (b)

Figure 10.1  (a) Schematic of a typical CIGS thin film solar cell device structure, (b) Adopted CIGS solar cell structure with a frame-shaped shadow mask.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  171 are two metal contacts used, one is back contact (positive contact) and the second one is top contact (positive contact). The back contact is generally made from the Molybdenum (Mo) film, as it is chemically inert and is not able to react below 600 C. The deposition of Mo films is the first step of fabrication of CIGS solar cell. Then after, the CIGS films and CdS films form a pn-junction. On that the transparent conductive oxide layer (TCO), (generally bilayer of ZnO, Al-ZnO (AZO) and i-ZnO thin films) is deposited to collect photogenerated electrons and in turn to block electrons to recombine with holes. On TCO the top contact is deposited in the finger type grid form. The sunlight first enters through the metal grid and TCO and then reaches the pn-junction that leads to generation of electron hole pairs. The generated electrons are collected by top contact whereas the holes are collected by the back contact.

10.3 Fabrication and Characterization of CIGS Thin Film Solar Cell The fabrication part of CIGS solar cell includes the layer by layer growth on a glass substrate. Figure 10.1 (b) illustrates the construction of the fabricated CIGS thin film solar cell. A frame-shaped shadow mask, for each layer having a different area, is used to reduce the possibility of short-circuiting between stacked layers, particularly at the edges. During the deposition of the different layers of the CIGS solar cell device, the structure is taken out from the vacuum chamber to change the source material and the shifting of mask. The final active area of the cell is 0.16 cm2. Each layer in the solar cell construction plays a significant function in the fabrication of p-n junction. In the present case, CIGS, CdS, Al- and i-ZnO and Mo films were deposited and optimized it on glass substrate individually. These optimized parameters are used to prepare the final CIGS solar cell. The derail of deposition parameters is shown in Table 10.1.

Table 10.1  Film preparation methods and deposition parameters for preparation of CIGS solar cell. Thin film

Film deposition method

Substrate temperature

Thickness of film (nm)

Mo [3, 4]

RF magnetron sputtering

Room temperature (RT)

1000

CIGS [5]

Flash Evaporation

Substrate temperature 250 °C + Rapid Thermal Annealing (RTA) at 500 °C

1000

CdS

Thermal [6] and Flash evaporation

100 °C

Thermal evaporation = 50 to 150 Flash evaporation = 120 to 180

ZnO

RF magnetron sputtering

RT

i-ZnO = 20, 35, 70 Al-ZnO = 500 [7]

Ag Grid

Thermal evaporation

RT

200

172  Electrical and Electronic Devices, Circuits, and Materials The silver (Ag) metal is used for the top contact as in finger type geometry. During the fabrication process, after deposition of each layer, the behavior of the solar cell was checked in order to confirm the appropriateness of the junction. Finally we fabricated the CIGS thin film solar cell by changing the thickness of CdS and i-ZnO layer. In addition we also used the thermal and flash evaporation method for growing the CdS layer. The functioning of the CIGS solar cell was observed by J-V measurements and the spectral response measurements. LabVIEW (Laboratory Virtual Instrument Engineering Workbench)–based precise automation program was developed for analyzing the solar cell J-V characteristics and spectral response measurement. Figure 10.2 (a) shows the block diagram of measurement set-up used to solar cell I-V characteristics measurement. Metal Halide bulb of 150 W power is utilized as a light source. Keithley 2000 (61/2 digit) multimeter and Keithley 2420C source meter are connected with computer using GPIB. The source meter and multimeter are configured in 4-wire configuration to eliminate the contact resistance value, which plays an important role in terms of series resistance (Rs), and shunt resistance (Rshu) of the solar cell. The source meter capable to source and measure the voltage or current in the range of 200 mV to 60 V and 10 A to 3 A, respectively. Solarimeter (CEL, India) was used to calibrate the light source for different input illumination power. The idea behind the development of the LabVIEW program (for I-V and QE measurement) is to protect the solar cell from the excessive irradiation from light bulb. As the irradiation time is more the temperature of the solar cell increases, hence Voc of the solar cell decreases because of the widening of the depletion region. The useful parameters like solar cell efficiency (η), fill-factor (FF), open-circuit voltage (Voc), short-circuit current (Isc), series resistance (Rs), and shunt resistance (Rshu) were directly evaluated from the J-V characteristic of the solar cell in very short time compared to the manual measurement. The LabVIEW program, shown in Figure 10.2, is utilized to measure J-V characteristics of prepared solar cell. The LabVIEW program has two parts, one is dark J-V measurements and the other is illuminated I-V measurements. By selecting dark J-V tab form, which is available at the front panel of the program. In the dark I-V set the parameters, i.e., the start voltage, stop voltage, voltage source delay, voltage source steps. Using data save option data can be save in .txt format, moreover curves can be saved in .jpg format. Before running the program, the solar cell is placed in the dark environment. After the execution (run) of the program, ideality factor, n, and reverse saturation current, I0 of the solar cell can be found from obtained curves. For the illuminated I-V, one Tab was provided to select input power to the solar cell, i.e., AM 1.5 or AM 1. In addition to that other parameters such as start voltage, voltage source delay, voltage source steps and the temperature of the operated solar cell can be set. Select the data save option and run the program. The graph of illuminated I-V and P-V was plotted and from those plots the program find the solar cell parameters, i.e., like η, FF, Voc, Isc, Rs, and Rsh. Spectral response, i.e., Quantum efficiency (QE), measurements analyzed the behavior of a device over a spectrum of light. In general, QE defined as ration of the optically generated electrons due to the monochromatic probe beam to the number of light photons incident. Using LabVIEW (Version 8.2) software and set-up consisting of tungsten helogen

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  173 White light Irradiation Source (150 W Metal Halide)

Keithley 2420C source

PC

GPIB Keithley 2000 multimeter

Test Leads

Solar Cell

(a)

(b)

Figure 10.2  (a) The block diagram of the experimental set-up for the solar cell J-V measurement, (b) The front panel of the LabVIEW for the J-V measurements in light as well as dark environment.

lamp, Monochrometor, and SR550 Lock in amplifier are used to measure QE response in the range of 350–1400 nm at 5 nm intervals. After the basic measurement the QE can be calculated using the relation,



QE(λ ) =

h ⋅ c ⋅ IDUT (λ ) ×100% e ⋅ λ ⋅ ISTD (λ ) ⋅ R STD (λ )

(10.1)

174  Electrical and Electronic Devices, Circuits, and Materials where h is Plank’s constant, c is the speed of light, e is the electron charge, ISTD(λ) is the standard detector current, and RSTD(λ) is the responsivity of the standard detector in W/A.

10.3.1 Effect of Thermally Evaporated CdS Film Thickness on the Operation of CIGS Solar Cell In CIGS solar cell, the junction between CIGS and CdS plays the crucial part in carrier generation and the recombination process. In the preparation procedure of CIGS solar cell, we have tried to optimize CIGS/CdS junction parameters by varying the thicknesses of CdS layer from 50 to 150 nm. Minimum series resistance and approach of ideality factor near to unity is the prime requirement for better pn junction. Figure 10.3 shows the dark J-V curve of CIGS/CdS junction with varying thicknesses from 50 nm to 150 nm of CdS layer. The dark J-V curve of CIGS/CdS junction clearly indicates that as thickness of CdS enhances the slope of the curve gets diminishes, and then series resistance decreases. The lowest series resistance of 4.1 Ω and ideality factor of about 1.32 is observed for the 50 nm thickness of CdS layer. Table 10.2 shows the measured values of series resistance, ideal factor and the reverse saturation current density of CIGS/CdS junction for different thicknesses of CdS layer. It was observed that by reducing the thickness of CdS layer from 150 nm to 50 nm, the series resistance reduces from 14.2 to 4.1 Ω and reverse saturation current density varies from 9.6 × 10-10 to 3.6 × 10-11 mA/cm2. Lower reverse saturation current density, observed for thin CdS layer, may be responsible for the tunneling or shorting of the photo-generated carriers to the back contact. The value of ideality factor varies from 1.78 to 1.32 as the thickness of CdS reduces (Table 10.2). Still there is a need to improve these values listed in Table 10.2. S. Nishiwaki et al. have observed nearby values for stacked CGS-based solar cells. According to their results of poor junction characteristics of CGS/ ITO was observed [8].

8e+2

Current density (mA/cm2)

6e+2

50 nm 60 nm 70 nm 100 nm 150 nm

4e+2 2e+2 0

–2e+2 –4e+2 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2 0.0 0.2 Voltage (V)

0.4

0.6

0.8

1.0

1.2

Figure 10.3  The dark J-V characteristics of CIGS/CdS hetero-junction having different thicknesses of CdS layer.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  175 Table 10.2  The series resistance, reverse saturation current density, and ideality factor of CIGS/ CdS hetero-junction having a different thickness of CdS layer. CdS thickness (nm)

Series resistance (Ω)

Reverse saturation current density (mA/cm2)

Ideality factor

50

4.1

3.6 × 10-11

1.32

60

6.4

7.5 × 10-11

1.41

70

8.2

8.6 × 10-11

1.55

100

9.2

4.2 × 10-10

1.63

150

14.2

9.6 × 10-10

1.78

10.3.2 Effect of Heat Soaks on CIGS/CdS Hetero-Junction Instead of annealing up to certain temperature, soaking pulse having a temperature of 200 C was given to the CIGS/CdS junction and the effect of it was observed at different time intervals. The thickness of CdS was kept 70 nm, though it shows the higher value of series resistance, but it shows better surface coverage of film compared to the 50 nm thick CdS, which has a lower series resistance. The dark J-V characteristics of CIGS/CdS junction, heat soaked for different time (in seconds, s) viz. 20 s, 40 s and 60 s is shown in Figure 10.4. The idea behind the thermal soak is a type conversion of p-CIGS layer. That leads to formation n-CIGS/p-CIGS homo-junction [9] of about just 5-10 nm thin, may help in the recombination at the interface. p-CIGS layer forms easily by diffusing Cd to Cu-deficient sites of CIGS, which leads to the establishment of homo-junction [10].

1e+3

Current density (mA/cm2)

8e+2

20 sec 40 sec 60 sec

6e+2 4e+2 2e+2 0 –2e+2 –4e+2 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2 0.0 0.2 Voltage (V)

0.4

0.6

0.8

1.0

1.2

Figure 10.4  The dark J-V characteristics of CIGS/CdS heterojunction heat soaked for different time.

176  Electrical and Electronic Devices, Circuits, and Materials As seen from the Figure 10.4, the heat soak for less time interval, 20 s, shows significant improvement in the sharpness of the J-V. The threshold voltage for 20 s heat soak is 0.39 V and 0.44 V without heat soak as shown in Figure 10.3. The series resistance is 3.4 Ω for 20 s heat soak CIGS/CdS junction, while it is 8.2 Ω without heat soak (Table 10.2). This reduction in the series resistance and threshold values shows the clear indication of the improvement of CIGS/CdS by applying the heat soak for 20 s. By increasing the soak time the series resistance value increases. It reaches to 9.3 Ω for 60 s heat soak pulse. The values of series resistance, ideality factor, and reverse saturation current density, for different heat soak pulse is shown in Table 10.3. After the ZnO bi-layer (intrinsic and Al-doped ZnO) deposition on CdS layer, the light J-V measurements are carried out at 100mW/cm2 intensity. The successive layers of i-ZnO (70nm thickness) and AZO (450 nm thickness) are deposited on CdS. After the ZnO bi-layer deposition the Al grid is deposited by thermal evaporation at room temperature. A couple of solar cells with Al grid did not show any photovoltaic effect. By microscopic observation of prepared CIGS solar cells, blackish colored Al grid strips were observed which may be due to the shadow effect of mask or Al diffusion into the AZO layer. Another couple of CIGS cells with a similar kind of photovoltaic effect confirms the shorting of the junction due to the Al deposition. To overcome this, silver (Ag) grid deposited on AZO layer by thermal evaporation method at room temperature. Thin film of Ag, in the form of grid, shows nice appearance of reflecting silvery surface. The CIGS solar cells, (01 and 02 in Figure 10.5), having Ag grid shows Voc of about 0.35 mV and Jsc about 1 μA/cm2. Many researchers have observed and discussed the shorting of the p-n junction due excess Cd atoms on the surface of CIGS [11], which makes the porous interface at the CdS/CIGS, In fact, a very thin layer instated of void. This porous structure may exist due to evaporation loss of CdS at high temperature (>1400 C) and material locality. Consequently, the pore development ought to be associated with diffusion out of cadmium (Cd) and/or Sulphur (S) ions from CdS. The pore structure, i.e., excess Cd atoms, may be reduced by controlling the surface composition of CdS layer. The flash evaporation was used instead of thermal evaporation technique of the deposition of CdS layer for improving the Cd and S composition.

10.3.3 Effect of Flash Evaporated CdS Film Thickness on the Performance of CIGS Solar Cell To deposit binary/ternary semiconductor compound material in vacuum, the flash evaporation method is widely employed as it is simple and easy to operate. A pulverized material Table 10.3  The series resistance, reverse saturation current density, and ideality factor of CIGS/ CdS hetero-junction having a different heat soak time. Heat soak time (s)

Series resistance (Ω)

Reverse saturation current density (mA/cm2)

Ideality factor

20

3.4

2.4 × 10-11

1.19

40

7.2

6.3 × 10-11

1.32

60

9.3

2.6 × 10-10

1.52

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  177 0.0 –2.0e–4

Current (mA/cm2)

–4.0e–4 –6.0e–4 –8.0e–4 –1.0e–3 –1.2e–3 01 02

–1.4e–3 –0.1

0.0

0.1 0.2 Voltage (mV)

0.3

0.4

Figure 10.5  Light J-V characteristics of CIGS solar cell with Ag grid. Some of them show minor photovoltaic effect.

is transferred to Mo boat slowly at a regular speed. The Mo boat is preheated to allow an immediate evaporation of transferred material. The CdS layer is deposited by flash evaporation method at 100 C substrate temperature. The thickness of cds is varied from from 120 nm to 180 nm. Below 120 nm the film’s appearance was non-uniform. So the growth of CdSlayer from 120nm on CIGS layer was carried out. Figure 10.6 shows the dark J-V characteristics of CIGS/CdS junction having different thickness of CdS layer. 5e–2

Current density (mA/cm2)

4e–2 3e–2

120 nm 140 nm 160 nm 180 nm

2e–2 1e–2 0 –1e–2 –2e–2 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2 0.0 0.2 Voltage (V)

0.4

0.6

0.8

1.0

1.2

Figure 10.6  The dark J-V characteristics of CIGS/CdS structure having a various thickness of flash evaporated CdS layer.

178  Electrical and Electronic Devices, Circuits, and Materials The dark J-V indicates that the threshold voltage is higher (~0.68 V) for the thicker CdS layer (180 nm). Besides these, as thickness of CdS is decreases, the threshold voltage is reduced and the reverse saturation current density increases. A higher value of reverse saturation current is generally not advisable for preparing the solar cell device. The presence of this current can be due to the existence of pin holes, crystal defects or impurities at the edges of the devices or at the interface [12]. Of course, these types of factors are the origin of parasitic conduction paths and by nature they are non-uniform. The values of the series resistance, ideality factor and reverse saturation current density are measured for CIGS/CdS junction by varying CdS thickness which is listed in Table 10.4. A light J-V measurement, Figure 10.7, indicates that 140 nm thickness has more Voc and Isc compared to other thicknesses of CdS. In the next part i-ZnO layer (140 nm) is deposited on Cds layer using the RF magnetron sputtering.

Table 10.4  The series resistance, reverse saturation current density, and ideality factor of CIGS/ CdS hetero-interface having different thicknesses of Flash evaporated CdS layer. CdS thickness (nm)

Series resistance (Ω)

Reverse saturation current density (mA/cm2)

Ideality factor

120

2.3

-11

1.8 × 10

1.13

140

2.2

8.5 × 10-11

1.12

160

3.2

9.6 × 10-11

1.19

180

5.3

1.2 × 10-10

1.63

0.0 –5.0e–4

Current (mA)

–1.0e–3 –1.5e–3 –2.0e–3 –2.5e–3

120 nm 140 nm 160 nm 180 nm

–3.0e–3 –3.5e–3 0.00

0.02

0.04

0.06

0.10 0.08 Voltage (mV)

0.12

0.14

0.16

0.18

Figure 10.7  The light J-V characteristics of CIGS/CdS structure having a different thickness of flash evaporated CdS layer.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  179 In the case of CIGS/CdS/i-ZnO structure dark and Light J-V were also measured. Dark J-V characteristic of the CIGS/CdS shows a noticeable Schottky behavior for the 140 nm thickness of CdS thin film. Figure 10.8 demonstrates dark J-V characteristics of CIGS/CdS/ i-ZnO structure having different thickness of flash evaporated CdS layer. As the thickness of CdS decreases from 180 nm to 120 nm, the series resistance decreases from 400 Ω to 45 Ω and the diode ideality factor decreases from 3.8 to 1.6. After the deposition of i-ZnO layer, AZO layer was deposited using the RF magnetron sputtering. Figure 10.9 illustrates dark and light J-V of a complete CIGS solar cell having different thicknesses of CdS layer. The dark J-V plot shows the linear relationship between current density and applied voltage. This indicates the shorting of the junction which may be due to the deposition of high energy AZO sputtering particles for a longer time. Light J-V curve shows a maximum value of Voc equal to 0.5 mV and Isc of 30 μA, which again confirms the major detrimental effect of AZO deposition. Generally, in case of sputtering process, highly energetic sputtered particles bring an internal stresses and local defects [13, 14]. To overcome this internal stress, ZnO layer’s thickness was reduced from (from 70 nm to 20 nm) and then observed device functioning.

10.3.4 Effect of i-ZnO Film Thickness on the Performance of CIGS Solar Cell The dark J-V plots of CIGS/CdS/i-ZnO structure is shown in Figure 10.10. It clearly shows a higher forward current after the threshold voltage (0.4 V) for 20 nm thin i-ZnO layer as compared to the other higher thickness (35, and 70 nm). This may be due to more optimal doping concentration and thus better conductivity across the junction. It also results in the lowering of the diode ideality factor. As seen from Figure 10.10, the series resistance decreases from 96 Ω to 12.5 Ω as thickness of i-ZnO reduces, i.e., from 70 nm to 20 nm.

2.5e–3 2.0e–3

120 nm 140 nm 160 nm 180 nm

Current (mA)

1.5e–3

1.0e–3 5.0e–4 0.0 –5.0e–4 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2

0.0

0.2

0.4

0.6

0.8

1.0

1.2

Voltage (V)

Figure 10.8  The dark and J-V characteristics of CIGS/CdS/i-ZnO structure having different thicknesses of flash evaporated CdS layer.

180  Electrical and Electronic Devices, Circuits, and Materials 8e–2

Current density (mA/cm2)

6e–2 4e–2

180 nm 160 nm 140 nm 120 nm

2e–2 0 –2e–2 –4e–2 –6e–2 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2 0.0

0.2

0.4

0.6 0.8 1.0 1.2

Voltage (V) (a)

Current Density (mA/cm2)

0.0 –5.0e–3 –1.0e–2 –1.5e–2 –2.0e–2 –2.5e–2

180 nm 160 nm 140 nm 120 nm

–3.0e–2 –3.5e–2 –0.1

0.0

0.1

0.2

0.3

0.4

0.5

0.6

Voltage (mV) (b)

Figure 10.9  (a) Dark and (b) Light J-V characteristics of CIGS complete solar cell having different thicknesses of flash evaporated CdS layer.

Ideality factor of diode decreases from 1.5 to 1.23. On the other hand the reverse leakage current density increases with the decreased thickness of i-ZnO. After observing the diode behavior, in the dark environment, up to i-ZnO layer, AZO layer was deposited on i-ZnO and then dark and light J-V characteristics were assessed. Figure 10.11 (a) and (b) shows the light and dark J-V behavior of CIGS solar cell having a different thickness of i-ZnO layer, respectively. The dark J-V clearly shows that as i- ZnO thickness reduces the diode behavior of CIGS solar cell improves. Forward resistance value for CIGS solar cell (having a lowest thickness of i-ZnO, i.e., 20 nm) is 450 Ω and the reverse resistance is 491 Ω. In the case CIGS solar cells having a 35 nm and the 70 nm of i-ZnO the forward and reverse resistance is nearly the same. That indicates the p-n junction has not been formed properly.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  181 8e–2

Current density (mA/cm2)

6e–2

20 nm 35 nm 70 nm

4e–2

2e–2

0

–2e–2 –1.2 –1.0 –0.8

–0.6 –0.4 –0.2 0.0 0.2 Voltage (mV)

0.4

0.6

0.8

1.0

1.2

Figure 10.10  The dark J-V of CIGS/CdS/i-ZnO structure having different thicknesses of i-ZnO layer.

For the variation of i-ZnO thickness, the photovoltaic effect of CIGS solar cell has been observed. The maximum Voc is about 138 mV and the maximum Jsc is about 1.3 mA/cm2 has been observed for the CIGS solar cell having thickness of 20 nm thickness of i- ZnO. As the i-ZnO thickness increases the Voc decreases that can be shown in Figure 10.11 (b). The possible reason for getting lower values of Voc and Jsc is the higher reverse leakage current density, which indicates the formation of the pore structure at the interface after the deposition of AZO layer. The photo-current generation expresses a number of electron-hole pair’s production per quanta and its distribution amongst the area is called as a current density (Jsc). A complete of a fraction collection of these electron-hole pairs is referred to as collection efficiency. Depending on the device active depth, i.e., within the space-charge region (SCR), the potential difference (built-in electric field) tangle electrons towards the TCO and top contact grid and holes towards the back contact. Outside of the influence of potential difference, carrier transportation is imperfect by means of diffusion, and hence the collection reduces. Further to assure the observed behavior of the prepared solar cell, simulation was carried out by taking the actual optical ne electrical parameters of each films of cell. AMPS-1D software was used to observe the numerical simulation of the CIGS solar cell. The simulated results clearly indicate that as the thickness of i-ZnO increases the performance decreases, well matched with the observed actual cell results as shown in Figure 10.11 (c). Only the fill factor and Jsc were better in case of simulation, which may be due to deficiency of controlled recombination parameters at the pores, in the simulation software. The influence of i-ZnO on the spectral response of CIGS solar cell has also been checked. The plot of spectral response for CIGS solar cell having different thickness of i-ZnO is shown in Figure 10.12. The drop in spectral response below 520 nm wavelength is generally explicated by the photon absorption phenomena at CdS films as its band gap is 2.4 eV. Subsequently, drop in Quantum efficiency at higher wavelengths (~1100 nm) is due to the

182  Electrical and Electronic Devices, Circuits, and Materials

Current Density (mA/cm2)

1e–1

20 nm 35 nm 70 nm

8e–2 6e–2 4e–2 2e–2 0 –2e–2 –4e–2

–6e–2 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2 0.0 0.2 0.4 0.6 0.8 1.0 1.2 Voltage (V) (a)

Current Density (mA/cm2)

0.0 –0.2 –0.4 –0.6 –0.8 –1.0

20 nm 35 nm 70 nm

–1.2 –1.4

0

20

40

100 60 80 Voltage (mV)

120

140

160

(b)

Current Density (mA/cm2)

0.0 –0.2 –0.4 –0.6 –0.8 –1.0 20 nm 35 nm 70 nm

–1.2 –1.4 –1.6

0

20

40

60 80 100 Voltage (mV)

120

140 160

(c)

Figure 10.11  The J-V characteristics, (a) in dark and, (b) in light of CIGS solar cell having different thicknesses of i-ZnO layer, and (c) Simulated light J-V curves.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  183 35 20 nm 35 nm 70 nm

Quantum efficiency (%)

30 25 20 15 10 5 0

400

600

1200

800 1000 Wavelength (nm)

1400

Figure 10.12  Spectral response of CIGS solar cell having different thicknesses of i-ZnO layer.

CIGS band edge, i.e., 1.10 eV. The CIGS solar cell having thin i-ZnO layer, i.e., 20 nm, shows maximum spectral response and above 20 nm the spectral response decreases. After observing the photovoltaic effect of the cell having a 20 nm i-ZnO, we again made CIGS solar cells having various thicknesses of CdS, i.e., 120 nm to 180 nm, by keeping constant thickness of i-ZnO, i.e., 20 nm. The dark J-V plots of CIGS/CdS/i-ZnO structure having different thicknesses of CdS is shown in Figure 10.13. It shows that as the thickness of CdS layer decreases the reverse saturation current increases and the forward threshold voltage decreases. 0.10

Current Density (mA/cm2)

0.08 0.06

120 nm 140 nm 160 nm 180 nm

0.04 0.02 0.00 –0.02 –0.04 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2

0.0

0.2

0.4

0.6

0.8

1.0

1.2

Voltage (V)

Figure 10.13  The dark J-V of CIGS/CdS/i-ZnO structure having different thicknesses of CdS layer.

184  Electrical and Electronic Devices, Circuits, and Materials

Current Density (mA/cm2)

2.0e–1

120 nm 140 nm 160 nm 180 nm

1.6e–1 1.2e–1 8.0e–2 4.0e–2 0.0 –4.0e–2 –8.0e–2

–1.2e–1 –1.2 –1.0 –0.8 –0.6 –0.4 –0.2 0.0 0.2 0.4 0.6 0.8 1.0 1.2 Voltage (V) (a)

Current Density (mA/cm2)

0.0 –0.2 –0.4 –0.6 –0.8 120 nm 140 nm 160 nm 180 nm

–1.0 –1.2 –1.4

0

20

40

60 80 100 Voltage (mV)

120

140

160

(b)

Current Density (mA/cm2)

0.0 –0.2 –0.4 –0.6 –0.8 –1.0

120 nm 140 nm 160 nm 180 nm

–1.2 –1.4 –1.6

0

20

40

60 80 100 Voltage (nm)

120

140 160

(c)

Figure 10.14  (a) Dark, (b) light J-V characteristics of CIGS solar cell device having different thicknesses of CdS layer and (c) Simulated light J-V curves.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  185 The minimum reverse saturation current density and the minimum threshold value are observed for 140 nm of CdS film thickness. The higher forward current after the threshold voltage (0.42 V) is due to a more optimal conductivity across the junction. It also improves the diode ideality factor. The dark J-V of CIGS/CdS/i-ZnO structure having various thicknesses of CdS films are shown in Figure 10.13. It is seen that, as the thickness of CdS film decreases from 180 nm to 120 nm the diode behavior improves, as the series resistance is reduced from 33 Ω to 11 Ω. The deposition of AZO has been carried out on i-ZnO layer. The dark and the light J-V characteristics of complete CIGS solar cell having various thicknesses of CdS is shown in Figure 10.14 (a) and (b), respectively. The dark J-V characteristics of prepared cells show that there is no diode-like behavior of the junction which has the higher thickness of CdS films, viz. 160 and 180 nm. On the other hand, CIGS solar cell device having CdS thickness of 140 nm shows the diode behavior including the maximum reverse leakage current density. This diode behavior is responsible for getting the photovoltaic effect with the maximum Voc of about 138 mV and the maximum Jsc of 1.3 mA/cm2. There is no photovoltaic effect observed for 120 nm CdS, which may be because of the non-uniform CdS layer, providing the shunt path for the photo-generated carriers. In case of simulation also the 140 nm thickness of CdS shows the best performance of the cell. At higher thickness of CdS (180 nm), the forward voltage threshold is not observed from the dark J-V plot. Also there has been a minor photovoltaic effect observed for 180 nm thickness of CdS. It is possible that the thicker high resistive CdS does not allow the photo-generated carriers to pass through. In case of 120 nm and 180 nm thin CdS, the simulated performance shows (from Figure 10.14 (c)) the better open circuit compare to the practically observed data. This certainly indicates the leakage current is more dominant because of the irregular and high resistive nature of the films. Similarly, the maximum spectral response of CIGS solar cells has been observed having the thickness of CdS layer of 140 and 160 nm, as shown in Figure 10.15. The fabricated CIGS thin film solar cell is shown in Figure 10.16.

35 120 nm 140 nm 160 nm 180 nm

Quantum efficiency (%)

30 25 20 15 10 5 0

400

600

800 1000 Wavelength (nm)

1200

1400

Figure 10.15  Spectral response of CIGS solar cell having different thicknesses of CdS layer.

186  Electrical and Electronic Devices, Circuits, and Materials

Figure 10.16  The photograph of a complete CIGS solar cell with the measurement probe- Gold wire, a top contact on Ag grid and copper strip, a pressure contact on Molybdenum thin film. The inset photograph gives a closer look of a single CIGS solar cell.

10.4 Conclusion In the fabricate part of CIGS thin film solar cell device, the best optimized condition of each individual thin film layer was used. The initial performance of these fabricated devices is less compared to the standard values of commercially available solar cells. The data from all the experiments indicate the importance of CdS layer. As the thickness of CdS gets lower, the performance of solar cell deteriorates due to the shorting within the layer of ZnO and CIGS. Thick CdS layer can protect the junction from the high energy sputtered particles. Some of the devices become electrically shunted due to stress generated during the AZO coating at higher pressure. By changing thickness of i-ZnO and the CdS layer the internal stress can be reduced. Finally, successful fabricated CIGS solar cell having a Voc and Jsc of about 138 mV and 1.30 mA/cm2 is obtained. Obtained experimental results were also compared with simulated results, pointing out that the effect of the non-uniform films and its voids certainly influence the recombination of the photo-generated carriers and so, on the performance of the solar cell.

References 1. I. Repins, M.A. Contreras, B.Egaas, C. DeHart, J. Scharf, C.L. Perkins, B. To, R. Noufi, Progress in Photovoltaics: Research and Applications, 16 (2008) 235. 2. H. Heriche, Z. Rouabah, N. Bouarissa, Optik, 127(24) (2016) 11751-11757. 3. J. Ray, T.K. Chaudhuri, J. Optoelectron. Adv. Mater., 17(634) (2015) e639. 4. J.R. Ray, N.M. Shsh, M.S. Desai, C.J. Panchal, J. Nano- Electron. Phys., 3(1) (2011) 766-775. 5. J.R. Ray, M.S. Desai, C.J. Panchal, Bharati Rehani, P.K. Mehta, J. Nano- Electron. Phys., 5(2) (2013) 02013. 6. N.M. Shah, J.R. Ray, M.S. Desai, C.J. Panchal, J. Optoelectron. Adv. Mater., 12 (10), (2010) 2052. 7. J.R. Ray, M.S. Desai, C.J. Panchal, P.B. Patel, J. Nano- Electron. Phys., 3(1) (2011) 755-765.

Fabrication of Cu(In,Ga)Se2 Thin Film Solar Cell  187 8. S. Nishiwaki, S. Siebentritt, P. Walk, M. Ch. Lux-Steiner, Progress in Photovoltaics: Research and Applications, 11 (2003) 243. 9. T. Nakada, Thin Solid Films, 361–362 (2000) 346. 10. Soon-Yong Park, Eun-Woo Lee, Sang-Hwan Lee, Sang-Wook Park, Woo Kyoung Kim, Sung Ho Lee, Wan-Gyu Lee, Boung Ju Lee, Hee Kyung Bae, Jung Ho Yoo, Chan-Wook Jeon, Current Applied Physics, 10 (2010) S399. 11. L.L. Kazmerski, O. Jamjoum, P.J. Ireland, Journal of Vacuum Science and Technologies, 21 (1982) 486. 12. P.G. Muzykov, A.V. Bolotnikov, T.S. Sudarshan, Solid-State Electronics, 53 (2009) 14. 13. I. Petrov, V. Orlinov, A. Misiuk, Thin Solid Films, 120 (1984) 55. 14. J. Hinze, K. Ellmer, Journal of Applied Physics, 88 (2000) 2443.

11 Parameter Estimation of Solar Cells: A Multi-Objective Approach Saumyadip Hazra and Souvik Ganguli* Department of Electrical and Instrumentation Engineering, Thapar Institute of Engineering and Technology, Patiala, Punjab, India

Abstract

Single objective problems only minimize the error and till now according to the literature most of the research done in the field of PV cell has been through single objective function. Through this chapter, a comparative study is presented with the help of multi-objective function for some newly developed metaheuristic algorithm. A total of five equations are used for the formulation of the objective function and for each one square error is used. The specifications for the cells are used from the manufacturer’s datasheet. Four very widely popular solar panels for the extraction of results have been compared for the five different types of algorithms. To prove the results obtained, the P-V and I-V curves of the same have been plotted considering the idealized model of the PV cell. The results obtained are very promising and are giving good results. Keywords:  Solar photovoltaics, PV system modelling, multi-objective optimization (MOO)

11.1 Introduction In the last several decades, the planet has witnessed many problems regarding the methods which were conventionally used for the production of electricity. The high speed of deterioration of natural resources is particularly alarming and has led to the search for other techniques or methods from which electrical energy can be produced. The reasons for the deterioration are the uncontrolled rates of exploitation of the resources, environmental pollution and other hazards related to the mining and transportation of these resources [1-3]. The other alternatives in use for the production of electricity are the renewable energy resources which have the highest potential and have compelled us to produce energy from them as they do not get exhausted. From the list of all the renewable resources for generating electricity, solar energy has gained a lot of attention from researchers and engineers all around the world due to its high abundance, very low maintenance, zero pollution and almost no noise working capability. The production of electricity through solar energy is done with the help of photovoltaic cells [4, 5]. The photovoltaic (PV) cells are the *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (189–210) © 2021 Scrivener Publishing LLC

189

190  Electrical and Electronic Devices, Circuits, and Materials semiconductors with a P-N junction which, when illuminated with the help of sunlight, produce electrons, and hence produce electricity. According to the present scenario, their installation cost initially is very high and their working efficiency is very low. The PV panels are made from connecting a large number of PV cells. Another disadvantage of PV panels is that they are only able to produce electricity in the presence of sunlight. The degradation of the panels gets accelerated by a large amount when the physical conditions are very harsh. Hence, this area demands a lot of research to be done and currently all the researchers are focusing on this topic [6, 7]. For the evaluation of the specifications of the cell, the knowledge of the parameters is required accurately. The parameters play an important role in many ways for the PV cell. The parameters are directly dependent on the efficiency of the cell. Besides this, the parameters help to make the panel work at its maximum efficiency and at full load capacity. The correct information regarding the parameters also helps the manufacturers for controlling the quality of the cells and deciding the specifications of the cells. But the problem is that the data related to the parameters are not provided by the solar panels manufacturing companies. Hence, to extract the parameters from the PV cells its equivalent mathematical model is to be developed such that the mathematical model gives the correct parameters on solving them and the P-V and the I-V curve of the PV cell can be successfully implemented from the data obtained from it. Also, it should be able to define how exactly the PV cell is working [8–10]. Based on the mathematical model, the mathematical equations are developed. The equation contains both linear and non-linear components. There are mainly four widely accepted models for the modelling of the PV cells and they are the ideal single-diode model (ISDM), single-diode model (SDM), double-diode model (DDM), triple-diode model (TDM). The SDM has one diode and five parameters to be estimated, DDM has two diode and seven parameters while the TDM has three diodes and nine parameters. The parameters to be estimated mainly consist of photovoltaic current (Ipv), reverse saturation current of all the diodes (Io), ideality factors of the diodes (a), the series resistance (Rs) and parallel resistance (Rp). The series resistance and the parallel resistance are absent in the ISDM. According to the previous literature the SDM and the DDM are the most widely used models for PV cells as their circuit is relatively simpler and have fewer variables to be estimated. Many researchers prefer the SDM over the other models because the computational time required in it is the lowest and covers mostly all aspects of a cell and losses. The SDM only represents recombination losses in the quasi-neutral region. The DDM is more accurate than the SDM and is another most exploited area which represents the recombination losses in the quasi-neutral and the space charge region of the cell. The TDM is the most accurate of them all and is the least exploited area as the number of variables are more, hence more computational time is required which represents the recombination losses in the quasi-neutral region, the space charge region and the grain boundaries of the cell [11–14]. For the estimation of the parameters of the cell mainly two approaches are used for solving the no-linear equations; the first is the analytical method and the second is the numerical method. The analytical method requires the values of the variables at each point for plotting the graph. These methods may also require the method of curve fitting which makes them completely dependent on the position of the point on the curve plotted. The curves drawn for the cell, i.e., I-V curve and P-V curve both are highly non-linear which makes the analytical methods unfit for use as the results would be very poor [12, 13]. The second method

Parameter Estimation of Solar Cell  191 is the numerical method which is used as an optimization problem that continuously optimizes the result so as to reduce the error between the actual values and the calculated values and also require some initial guess. Numerical methods are mainly of two types: deterministic method and the second is the heuristic method. The deterministic methods have the limitation that there is a difficulty in inserting a new variable or coefficient in the existing equations. Also, these methods are completely based on the initial values of the chosen variable, and a wrong initial value for the variable may end up in very poor results. So, there is difficulty in deciding the initial value of the variables. Deterministic methods also demand that the objective function should be convex, continuous and differentiable over the entire range. On the other hand, the metaheuristic methods are free of all these limitations and hence are much preferred over all the other methods for solving the problem [13–17]. The most popular methods for the estimation of parameters of the solar cell based on the literature are Artificial Bee Colony (ABC), Particle Swarm Optimization (PSO), Genetic Algorithm (GA), Flower Pollination Algorithm (FPA), Pattern Search (PS), Simulated Annealing (SA), Differential Evolution (DE), Harmony Search (HS), etc. But all of them are based on a single objective, which is to minimize the error [18]. In this chapter, a comparative study is presented with the help of some newly developed algorithms which are used with multi-objective, i.e., to minimize the error as well as to maximize the power output from the PV cell. The algorithms which are chosen for this purpose give good results with far fewer errors than in the case of single objective. These nature-based metaheuristic algorithms are currently widely popular amongst researchers, who are continuously working on the new algorithms or to make the existing ones better. The methods are chosen on the basis of their convergence speed, complexity, error in result, etc. The algorithms also must be chosen properly as they may give good results for only a given set of problems and for the others they may fail. Besides the algorithms, there may be also some new kind of mathematical models developed for the PV cell which may help to determine the parameters of the cell more accurately. The next part of the chapter has been presented in the following manner. Section 11.2 represents the problem statement which defines the different equations and the errors utilized for the different models used. Section 11.3 represents the methodology or the metaheuristic algorithms used for the extraction of the results, the fourth section depicts the results obtained in tabular form along with their corresponding curves and the final section consists of the conclusion drawn from the chapter.

11.2 Problem Statement The basic equation for showing the working of the PV cells is the Shockley’s equation for diodes which is given as–



  q(V + IRS )   I = I 0 exp   − 1   aKT  

(11.1)

Where, I0 is the reverse diode saturation current of diode, a is the ideality factor, Rs represents the series resistance, K is the Boltzmann constant (1.3806503 × 10−23 J/K), T is the

192  Electrical and Electronic Devices, Circuits, and Materials temperature in Kelvin (K) and q is the charge of an electron (1.60217646 × 10−19C). When the circuit diagram of a PV cell is prepared it has a current source which represents the photovoltaic current/pv, a diode and multiple diodes for some models, a resistance in series Rs and a resistance in parallel Rp.

11.2.1 SDM Figure 11.1 depicts the SDM which consists of a single diode in it. Applying the Kirchhoff ’s Current law to it-

I = I ph − I D −



V + IRs Rp

(11.2)

Substituting the value of ID from equation (11.1) in equation (11.2) then it becomes



  q(V + IRS )   V + IRs I = I ph − I 0 exp   − 1 − Rp   aKT  

(11.3)

For the purpose of developing the constraints using data known from manufacturer’s specification chart, various conditions were applied on equation (11.3) in the same lines as [20] 1. Open circuit condition, V = Voc, I = 0, equation (11.3) becomes

  qV   V I pv = I 0 exp  oc  − 1 − oc   aKT   R p



(11.4)

2. Short circuit condition, V = 0, I = Isc, equation (11.3) can be modelled as



  q( IRS )   IRs I sc = I pv − I 0 exp   − 1 −   aKT   R p RS

I IPV

Figure 11.1  SD model of PV cell [19].

ID

RP

V

(11.5)

Parameter Estimation of Solar Cell  193 3. Maximum Power Point (MPP) condition, V = Vmp, I = Imp, equation (11.3) can be derived as



  q(Vmp + Imp RS )   Vmp + Imp RS Imp = I pv − I 0 exp   − 1 − aKT Rp    4. The slope of the P-V curve which is given as





Impp dI =− : dV Vmpp

 I q  q(Vmp + Imp Rs )   1  Imp = (Vmp − Imp Rs )   0 exp    +   aKT  R p    aKT 5. The slope of the I-V curve which is given as

(11.6)

(11.7)

dI 1 =− : dV R par

 I q  qI R    Rs = ( R p − Rs )   0 exp  sc s     aKT    Rp   aKT

(11.8)

For the development of the objective function, the errors generated from the above equations, i.e., equation (11.4), equation (11.5) and equation (11.6) have been considered. The error equations can be written as

  qV   V ErrOC = I 0 exp  OC  − 1 + OC − I PV   aKT   R p

(11.7)

  qI R   I R ErrSC = I SC + I 0 exp  SC S  − 1 + SC S − I PV   aKT   R p

(11.8)

  q(Vmp + Imp RS )   Vmp + Imp RS − Imp Errmp = I PV − I 0 exp   − 1 − aKT Rp   

(11.9)

(11.10)



 I q  q(Vmp + Imp Rs )   1  EPV = (Vmp − Imp Rs )   0 exp    +  − Imp  aKT  R p    aKT

(11.11)



 I q  qI R    R EIV = ( R p − Rs )   0 exp  sc s    − s  aKT    R p   aKT







194  Electrical and Electronic Devices, Circuits, and Materials The objective function should be developed in such a way that accurate results can be obtained from them and they should be able to depict the PV cell as closely as possible when the graph is plotted from them. Considering all these things the square error is chosen as they very accurately help to get the results. Therefore, the error equation is given below following the same line as in [21] 2 2 2  E =  Eoc2 , Esc2 , Emp , EPV , EIV 



(11.12)

where, the maximization of power from cell and minimization and minimization of error is done altogether.

11.2.2 DDM The DDM consists of two diodes connected in parallel in the circuit and is represented in Figure 11.2. Applying the Kirchhoff ’s Current for the calculation of the load current-

I = I pv − I D1 − I D 2 −



V + IRs Rp

(11.13)

Substituting the value of ID1 and ID2 from equation (11.1) in equation (11.13) it becomes



  q(V + IRS )     q(V V + IRS )   V + IRs I = I pv − I 01 exp  − 1 − I 02 exp    − 1 − Rp   a1KT     a2 KT   (11.14)

For developing the constraints from the known data of the specification sheet from the manufacturer, different conditions have been imposed on equation (11.14) as follows 1. Open circuit condition, V = Voc, I = 0, then equation (11.14) becomes



  qVoc     qVoc   Voc I pv = I 01 exp  − 1 + I 02 exp    − 1 +   a1KT     a2 KT   R p RS

IPV

ID1

Figure 11.2  DD model of PV cell [19].

ID2

RP

I V

(11.15)

Parameter Estimation of Solar Cell  195 2. Short circuit condition, V = 0, I = Isc, then equation (11.14) can be modelled as



  q( IRS )     q(IIRS )   IRs I sc = I pv − I 01 exp   − 1 − I 02 exp   − 1 −  a1KT a2KT   R p   

(11.16)

3. Maximum Power Point (MPP) condition, V = Vmp, I = Imp, then equation (11.14) can be derived as   q(Vmp + Imp RS )   Vmp + Imp RS   q(Vmp + Imp RS )   Imp = I pv − I 01 exp  − 1 − I 02 exp   − 1 −    a2 KT Rp a1KT     

4. The slope of the P-V curve which is given as



Impp dI =− : dV Vmpp

  I q  q(Vmp + Imp Rs )   1   q(Vmp + Imp Rs )    I 02q + Imp = (Vmp − Imp Rs )   01 exp  exp      + R  a1KT a2 KT     a2 KT   a1KT  p  

5. The slope of the I-V curve which is given as



(11.17)

(11.18)

dI 1 =− : dV R par

 I q  qI R    I q  q( I R )    Rs = ( R p − Rs )   01 exp  sc s   +  02 exp  sc se    Rp  a1KT    a2 KT  a2 KT      a1 KT

(11.19)

For developing the objective function, the errors generated from the equations written above, i.e., equation (11.4), equation (11.5) and equation (11.6) are considered. The error equations can be written as



  qVoc     qVoc   VOC ErrOC = I 01 exp  − I PV − 1 + I 02 exp    − 1 + KT   R p   a1KT     a2K

  q( IRS )     q( IRS )   I SC RS ErrSC = I SC + I 01 exp  − I PV  − 1 + I 02 exp   − 1 +  a1KT a2 KT   R p   

(11.20)

(11.21)

  q(Vmp + Imp RS )   Vmp + Imp RS   q(Vmp + Imp RS )   Errmp = I PV − I 01 exp  − Imp − 1 − I 02  exp   − 1 −    Rp a1KT a2 KT     



(11.22)   I q  q(Vmp + Imp Rs )   1   q(Vmp + Imp Rs )    I 02q EPV = (Vmp − Imp Rs )   01 exp  exp  +     + R  −   Imp a1KT a2 KT      a2 KT   a1KT p   

(11.23)



 I q  qI R    I q  q( I R )    R EIV = ( R p − Rs )   01 exp  sc s   +  022 exp  sc s    − s (11.24)  a1KT    a2 KT  a2 KT    R p   a1 KT

196  Electrical and Electronic Devices, Circuits, and Materials The final objective function again formulated with the same square errors as of above and is given as



2 2 2  E =  Eoc2 , Esc2 , Emp , EPV , EIV 

(11.25)

11.3 Methodology There are many methods for solving engineering problems. Regarding the optimization problem, all the feasible solutions are checked with the help of an objective function so that the best or the optimal result can be obtained. Mainly there are two methods of optimization – single objective and multi-objective. Until now, according to the published literature, mostly all the work in the field of PV cells and its parameter estimation has been done with the help of single objective. As the name suggests, there is only one objective of the problem which is to be optimized in case of single objective optimization. But recently, multi-objective problems have gained a lot of attention as there are many objectives which can be optimized altogether. Grey wolves are known as apex predators who live in small groups. They have their group divided into four sub-sections of wolves. The alpha wolves are the leaders who are responsible for the main hunting purpose. In this algorithm their hunting process is depicted and is used for the optimization of the problem. The position is mainly determined by the considered leaders of the group. There are various equations which are used for the calculation of the next position of the wolves and to update their position based on the direction from the leader [22]. For the multi-objective operation, an archive is created and the search is done gain and again for the new leaders. The solutions which are dominant are saved in the archive or else they are not saved. If a new solution is encountered then one of the least dominant or one of them is chosen randomly from the most crowded hypercube solution is deleted from the archive. All the equations are the same as that of the original algorithm. All the selected leaders are given some weight based on the Roulette Wheel Selection [23]. Grasshoppers are small insects. They are mostly found to live alone but they can form a large swarm along with other grasshoppers. The large swarm formed, the grasshoppers move randomly here and there in search of their target, which is food. This is absent in the larval stage as they move very slowly. This is depicted with the help of mathematical equations for developing the algorithm. There is also a factor which is calculated for noting the social distancing of the grasshoppers. This factor is responsible for determining the repulsion, attraction or the comfort zone for the grasshopper. The next target for the grasshoppers is chosen from the best obtained solution so far [24]. For making this multiobjective, the Pareto Optimal dominance is used. The best of all the Pareto dominance which is obtained so far is stored in the archive. The target in this case is chosen from the archive which also consists of the Pareto non-dominance solution. And in order to achieve this, the distance of the solution from its next closest solution is calculated. The solutions are counted and are assumed to be the quantitative measures for the crowded areas and the probability is calculated that the solution would be a solution [25]. Salps live in water and have a transparent body. They continuously force the water out of themselves in order to move forward. The salps form a long chain in which first of all the whole chain is divided into two parts, one is the leader who is at the front and the rest are

Parameter Estimation of Solar Cell  197 all called to be the followers. Based on this, the mathematical model is developed for the search of food for the salps. The leader is responsible for updating the position of the whole group, and for doing it Newton’s law of motion is used. The fitness of each salp is calculated and based on that their position is updated. For the multi-objective part of this algorithm, it is considered that there is a repository with a limited number of food resources which can be called the Pareto non-dominant solutions. When any of the salps has a better dominant solution then both are replaced. For finding out the non-dominated solutions, the neighborhood method is used along with the distance from it. Then they are assigned with weights and the probability is calculated for the selection of the best solution [26]. Dragonflies are small insects which hunt other smaller animals for their living. They also form a swarm in which they do hunting or migration. These two characteristics are particularly used for the two stages of an optimization algorithm which is exploration and exploitation. The dragonflies are mathematically modelled for mainly five types of behaviors shown by them which are separation, alignment, cohesion, attraction towards the food and running away from the enemy. All these factors are considered altogether for updating their position for getting food particle. All the positions are updated and also the Euclidean distance is calculated. For the multi-objective, first of all an archive is created. The position is updated with the help of equations used in the dragonfly algorithm. The food was selected from the archive which was considered to have food resources and also the Pareto front is created from it and consists of the non-dominant solutions. The best solution is searched with the help of the hyper-spheres which are created. After the creation of hypersphere, the Roulette wheel selection is used and probability is calculated for finding the best solution [27]. Antlions are bugs which hunt smaller bugs. They create traps and when the ants walk into them, they are trapped and hunted by the antlion. In this algorithm, it is considered that the whole search agents are the ants who have the optimal point near the antlion among the group of antlions. The ants continuously move randomly for searching of food and their position is updated based on their position by the random walk formula; the antlions continuously calculate the fitness of each of the ants and they dig their hole based on that. Continuously the position of the ants is decreased to make them approach the pit of the antlion. Based on this the best antlion obtained so far is considered to be the elite antlion. The fitness of antlions is also determined in each iteration and based on that the elite of them is changed [28]. In the multi-objective approach of this algorithm, there is first of a new technique which is employed for finding the new elites which are chosen from the archive created from the Pareto non-dominated solutions. Once the solution or the fittest elite is calculated then it is optimized with help of ALO. A niching process is used for the calculation of the distribution of the solutions in the stage. And then a probability formula is used for calculating the most probable elite from the archive [29].

11.4 Results and Discussions The multi-objective method for the parameter extraction of the PV cell can be employed with any of the cell available in the market based on the datasheet. This multi-objective method is employed for the minimization of the error and the maximization of the power obtained from the cell. For doing so, the square error of each one is considered. The first two equations of the model is used for reducing the error and the next three equations are

198  Electrical and Electronic Devices, Circuits, and Materials used for the maximization of the power. Four standard solar modules have been used for the same namely, Solar World Pro SW255 polycrystalline, AS-6P30 255W polycrystalline, Solar World Plus SW280 monocrystalline and Nemy JB270M-60 monocrystalline. The datasheet for the corresponding cell as obtained from the manufacturer has been reported in Table 11.1, which are used for the parameter estimation. Based on the PV module used, the results are compared for all the five algorithms used and for each of the solar cell models. The range of values for the variables considered are presented in Table 11.2. For all the metaheuristic algorithms used for the multi-objective operation for parameter estimation, a total of 50 search agents are considered along with 200 maximum iterations. All the optimization processes are executed in the MATLAB R2019a where 20 runs for each algorithm was done.

11.4.1 Results for the Single-Diode Model The results obtained from the SDM for the different cells are shown in the Tables 11.3– 11.6 and the corresponding comparison of the P-V and I-V curves are drawn in Figure 11.3. Table 11.1  Datasheet information of the various PV modules incorporated.

Company

Solar World Pro SW255 polycrystalline

AS-6P30 255W polycrystalline

Solar World Plus SW280 monocrystalline

Nemy JB270M-60 monocrystalline

Maximum power Pm (W)

255

255

280

270

Voltage at nominal power Vmpp (V)

30.9

30.5

31.2

31.1

Current at nominal power Impp (A)

8.32

8.37

9.07

8.68

Open circuit voltage Voc (V)

38

38.1

39.5

38.6

Short circuit current Isc (A)

8.88

8.83

9.71

9.2

Number of cells per module

60

60

60

60

Table 11.2  Ranges of the variables considered. Parameter

Lower Bound

Upper Bound

Ipv photovoltaic current (A)

5

12

a ideality factor

0.5

2

Rs series resistance (Ω)

0.001

0.1

Rp parallel resistance (Ω)

25

500

I0 reverse saturation current (A)

0

1e-06

Parameter Estimation of Solar Cell  199 Table 11.3  Parameter values for the Solar World Pro SDM. Method

Ipv (A)

a

RS (Ω)

RP (Ω)

I0 (A)

MOGWO

9.1261

1.4816

0.0456

42.9567

2.5926e-07

MOGOA

9.0527

1.5056

0.0594

76.7233

8.6495e-07

MOSSA

9.1267

1.4285

0.0902

71.1683

3.7660e-07

MODA

9.2952

1.4279

0.4147

46.7613

3.7883e-07

MOALO

9.1598

1.4587

0.2337

32.5196

5.2028e-07

Table 11.4  Estimated parameters for AS-6P30 SDM. Method

Ipv (A)

a

RS (Ω)

RP (Ω)

I0 (A)

MOGWO

9.0590

1.4152

0.0195

40.0062

3.1178e-07

MOGOA

9.1562

1.4761

0.0712

45.6171

6.4431e-07

MOSSA

9.2753

1.4190

0.0662

72.2291

3.2483e-07

MODA

9.1300

1.4889

0.3815

62.3895

7.4513e-07

MOALO

9.2453

1.4910

0.3119

29.0913

7.4167e-07

Table 11.5  Parameters obtained from Solar World Plus SW280 SDM. Method

Ipv (A)

a

RS (Ω)

RP (Ω)

I0 (A)

MOGWO

9.9455

1.4680

0.0010

46.4739

3.1976e-07

MOGOA

10.1245

1.5510

0.0858

80.7815

6.9966e-07

MOSSA

9.8315

1.5400

0.0320

35.1324

5.6706e-07

MODA

10.0523

1.4894

0.2741

25.9649

4.2252e-07

MOALO

10.1260

1.4881

0.6376

65.1639

4.2782e-07

Table 11.6  Estimated parameters for Nemy JB270M-60 SDM. Method

Ipv (A)

a

RS (Ω)

RP (Ω)

I0 (A)

MOGWO

9.2657

1.606684

0.011858

48.534443

1.556779e-07

MOGOA

9.3836

1.4749

0.0130

32.7386

4.0014e-07

MOSSA

9.4955

1.5587

0.1331

74.1008

9.9888e-07

MODA

9.3765

1.3442

0.0483

130.5889

7.7829e-08

MOALO

9.3524

1.5022

0.2045

62.0618

2.4243e-07

200  Electrical and Electronic Devices, Circuits, and Materials 300 SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

250

Power (W)

200 150 100 50 0 −50

0

5

10

15

20 Voltage (V)

15

20 Voltage (V)

25

30

35

40

10 8

SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

Current (A)

6 4 2 0 −2

0

5

10

25

30

35

40

Figure 11.3  Comparison of the P-V and I-V curves for SDM (Solar World Pro).

As depicted by the values as well as the figures, the obtained values are in well accordance with actual values. The tables depict that for each of the PV module involved, the values of the parameter estimated do not vary much and there is a very little difference between them. For an ideal model the value of the ideality factor should be 1 but in the results obtained, the values are not one as some errors are considered. The series resistance which is generated due to the obstruction of the flow of the current is also much less and the parallel resistance have their values under 100 ohms for each algorithm. In terms of the photovoltaic current, somewhat poor results are obtained in some cases for the MOALO and MODA algorithms. The results obtained for the Solar World Pro SDM are very good for all the algorithms where all the values are within the prescribed range. The values of the ideality factor are not very high which reveals that they do not show much non-linear behavior. The values of the

Parameter Estimation of Solar Cell  201 series resistance are also very low as they are generated due to the obstruction in the paths. Only the photovoltaic current of the MODA has a bit higher value than the normal value. All the results have been also shown with the help of P-V and I-V curve which also show a smooth nature as shown in Figure 11.3. Table 11.4 shows the results of the AS-6P30 polycrystalline which has very good results. The values of the reverse saturation current are also much less, hence there is very little loss in the diodes when the current flow through it, the value of parallel resistance is in the range. The P-V and I-V curves have a good convergence characteristics and have a smooth nature as shown in Figure 11.4. Table 11.5 shows the results obtained for the Solar World Plus monocrystalline and the results obtained are pretty good. Talking about the photovoltaic current they are nearly equal to the ideal photovoltaic current and have values in the range. For the algorithms 300 AS-6P30 (MOGOA) AS-6P30 (MSSA) AS-6P30 (MODA) AS-6P30 (MOALO) AS-6P30 (MOGWO)

250

Power (W)

200 150 100 50 0 −50

5

0

10

15

20 Voltage (V)

25

30

35

25

30

35

40

10 AS-6P30 (MOGOA) AS-6P30 (MSSA) AS-6P30 (MODA) AS-6P30 (MOALO) AS-6P30 (MOGWO)

8

Current (A)

6 4 2 0 −2

0

5

10

15

20 Voltage (V)

Figure 11.4  Comparison of PV and IV characteristics for AS-6P30 SDM.

40

202  Electrical and Electronic Devices, Circuits, and Materials MOGOA and MOALO, the value of the photovoltaic current is bit higher which inserts some error in their results. The results of the series resistance are extremely good in the case of MOGWO which is much less. The values of the reverse saturation current are also much less and all are much less than 1μA. The curves plotted in Figure 11.5 for this module are mostly overlapping, which shows that the results obtained are very good. Table 11.6 shows the results for the Nemy JB270M-60 and their corresponding P-V and I-V curves are shown in Figure 11.6. The curves show very little variation and have overlapping nature and are very smooth. The values of the parameters are under the range specified. The values obtained by the MODA differs from the others. Its reverse saturation current is lowest in the table but the maximum power obtained by it as seen from the Figure 11.6 is higher than the others. 350 300

SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

250

Power (W)

200 150 100 50 0 −50

5

0

10

15

20 Voltage (V)

25

30

20 Voltage (V)

25

30

35

40

12 10

Current (I)

8

SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

6 4 2 0

−2

0

5

10

15

Figure 11.5  Comparison of PV and IV characteristics for SW280 SDM.

35

40

Parameter Estimation of Solar Cell  203 300 Nemy JB270M-60 (MOGOA) Nemy JB270M-60 (MSSA) Nemy JB270M-60 (MODA) Nemy JB270M-60 (MOALA) Nemy JB270M-60 (MOGWO)

250

Power (W)

200 150 100 50 0 −50 0

5

10

15

20 Voltage (V)

25

30

35

40

10 8

Nemy JB270M-60 (MOGOA) Nemy JB270M-60 (MSSA) Nemy JB270M-60 (MODA) Nemy JB270M-60 (MOALA) Nemy JB270M-60 (MOGWO)

Current (A)

6 4 2 0 −2

0

5

10

15

20 Voltage (V)

25

30

35

40

Figure 11.6  Comparison of PV and IV characteristics for Nemy JB270M-60 SDM.

11.4.2 Results for Double-Diode Model The results obtained for the different PV modules using the five different algorithms are reported in the Tables 11.7–11.10, and their corresponding P-V and I-V curves are shown in the Figures 11.7–11.10. All the parameters which are obtained are well within the ranges as taken earlier. The value of the photovoltaic current Ipv is very good hence representing the two recombination errors considered in them. The variation of the results for all the parameters for all the algorithms is not much, showing that all the results converge at approximately the same point. The value of the reverse saturation current is also in the order of 10-7 which

204  Electrical and Electronic Devices, Circuits, and Materials Table 11.7  Parameters estimated for the Solar World Pro for DDM. Method

Ipv (A)

a1

a2

RS (Ω)

RP (Ω)

I01 (A)

I02 (A)

MOGWO

9.1686

1.6563

1.4937

0.1086

26.2100

4.5966e-07

5.2361e-07

MOGOA

9.1862

1.5183

1.5494

0.1112

41.3958

4.6489e-07

4.7700e-07

MOSSA

9.1562

1.7231

1.5172

0.2690

36.7221

2.3451e-07

7.8575e-07

MODA

9.0284

1.5985

1.6165

0.0010

70.5206

9.9951e-07

9.9997e-07

MOALO

9.0919

1.2790

1.5343

0.1422

25.0592

1.9368e-08

5.0961e-07

300 SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

250

Power (W)

200 150 100 50 0 −50 0

5

10

15

20 Voltage (V)

25

30

15

20 Voltage (V)

25

30

35

40

10 8

SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

Current (A)

6 4 2 0 −2

0

5

10

Figure 11.7  PV and IV characteristics for Solar World Pro DDM.

35

40

Parameter Estimation of Solar Cell  205 Table 11.8  Parameters e stimated for the AS-6P30-240W DDM. Method

Ipv (A)

a1

a2

RS (Ω)

RP (Ω)

I01 (A)

I02 (A)

MOGWO

8.8913

1.7116

1.4613

0.0585

54.6261

4.4563e-07

3.6778e-07

MOGOA

9.0660

1.4619

1.7026

0.0986

42.4140

4.0369e-07

2.7909e-08

MOSSA

9.0436

1.5327

1.6463

0.0982

44.1645

6.9565e-07

6.2091e-07

MODA

9.3502

1.6230

1.4213

0.0203

29.8408

9.7070e-07

1.6018e-07

MOALO

8.9752

1.4872

1.5195

0.0608

60.4357

4.1386e-07

1.9349e-07

nearly resembles the ideal behavior for the cell. All the above-mentioned points are proved from the plotted P-V and I-V curves for these cells which show that the curves for all the algorithms approximately overlap each other. Table 11.6 shows the results for the Solar World Pro DDM in which the photovoltaic current value is quite good for all the algorithms and also the series and parallel resistance. The ideality factors obtained from different algorithms is also good, except for one value in case of MSSA which crosses 1.7. The reverse saturation currents of the diodes is much less and in this case the exception is shown by the MODA in which the value is very close to 1μA. Coming to the P-V and I-V curves as shown in Figure 11.7 the best results are shown by the MODA algorithm as the maximum power is lowest for it, hence the error in the result is the least. Table 11.7 shows the results for the AS-6P30 where the results are quite good for all the algorithms except for the MODA. The photovoltaic current in this algorithm is much higher than the other algorithms and also the reverse saturation current for this algorithm is close to 1μA. This can be clearly seen from the P-V and I-V curves in Figure 11.8. Other than that the values of the ideality factor for MOGWO crosses 1.7 and MOGOA has it around 1.7. Table 11.9 shows the results obtained from the Solar World Plus DDM. This table shows that the results obtained from all the algorithms are quite good and all of them are well within the range. From the P-V and I-V curve for this module shown in Figure 11.9 the maximum power obtained by the MOALO algorithm is the highest, hence the result is not good as its value is going higher than 300W. In this case the best result is given by MOGOA algorithm which has the lowest maximum power. Coming to Table 11.9, the results are shown of Nemy JB270M-60 monocrystalline DDM. The results are quite good and particularly the photovoltaic current and the ideality factor, which have very good results for all the algorithms except the MODA. From the P-V and I-V curve in the Figure 11.10 the maximum power is highest in case of MODA hence the error in the result is the most. Other than that, the values are quite good with good characteristics.

206  Electrical and Electronic Devices, Circuits, and Materials 300 250

AS-6P30 (MOGOA) AS-6P30 (MSSA) AS-6P30 (MODA) AS-6P30 (MOALO) AS-6P30 (MOGWO)

Power (W)

200 150 100 50 0 −50

0

5

10

15

20 Voltage (V)

25

30

35

40

10 8

AS-6P30 (MOGOA) AS-6P30 (MSSA) AS-6P30 (MODA) AS-6P30 (MOALO) AS-6P30 (MOGWO)

Current (A)

6 4 2 0 −2

0

5

10

15

20 Voltage (V)

25

30

35

40

Figure 11.8  PV and IV comparison characteristics for AS-6P30 DDM.

Table 11.9  Estimated parameters for Solar World plus 280W DDM. Method

Ipv (A)

a1

a2

RS (Ω)

RP (Ω)

I01 (A)

I02 (A)

MOGWO

9.9042

1.6764

1.5077

0.01428

37.3053

3.7474e-07

3.4003e-07

MOGOA

9.9256

1.5207

1.7183

0.01321

48.2589

5.8002e-07

9.9350e-07

MOSSA

10.1300

1.6100

1.6874

0.0764

56.9882

8.9280e-07

8.9282e-07

MODA

10.0488

1.5865

1.7978

0.0301

70.0942

8.5695e-07

6.1300e-07

MOALO

10.1191

1.5047

1.5221

0.0700

27.0653

3.3852e-07

9.5052e-08

Parameter Estimation of Solar Cell  207 350 300

SolarWorld Plus (MOGOA) SolarWorld Plus (MSSA) SolarWorld Plus (MODA) SolarWorld Plus (MOALO) SolarWorld Plus (MOGWO)

250

Power (W)

200 500 100 50 0 5

0

10

15

20 Voltage (V)

25

30

35

40

30

35

40

12 10 SolarWorld Pro (MOGOA) SolarWorld Pro (MSSA) SolarWorld Pro (MODA) SolarWorld Pro (MOALO) SolarWorld Pro (MOGWO)

Current (A)

8 6 4 2 0 −2

0

5

10

15

20 Voltage (V)

25

Figure 11.9  P-V and I-V curves comparison for Solar World Plus DDM.

Table 11.10  Estimated parameters for Nemy JB270M-60 DDM. Method

Ipv (A)

a1

a2

RS (Ω)

RP (Ω)

I01 (A)

I02 (A)

MOGWO

9.7328

1.5541

1.7740

0.0015

65.9806

9.3434e-07

3.4284e-07

MOGOA

9.4777

1.6956

1.5117

0.1648

82.2745

6.1375e-07

4.9366e-07

MOSSA

9.8749

1.7050

1.5213

0.3138

112.05102

7.1953e-07

5.7774e-07

MODA

10.0091

1.3884

1.7813

0.3872

43.6266

1.3032e-07

7.7056e-07

MOALO

9.8171

1.5628

1.4511

0.3532

96.2098

1.4021e-07

2.6781e-07

208  Electrical and Electronic Devices, Circuits, and Materials 350 350

Nemy JB270M-60 (MOGOA) Nemy JB270M-60 (MSSA) Nemy JB270M-60 (MODA) Nemy JB270M-60 (MOALA) Nemy JB270M-60 (MOGWO)

Power (W)

250 200 150 100 50 0 –50

5

0

10

15

20 Voltage (V)

25

30

35

40

(a) 12 10 Nemy JB270M-60 (MOGOA) Nemy JB270M-60 (MSSA) Nemy JB270M-60 (MODA) Nemy JB270M-60 (MOALO) Nemy JB270M-60 (MOGWO)

Current (A)

8 6 4 2 0 −2 0

5

10

15

20 Voltage (V)

25

30

35

40

(b)

Figure 11.10  Comparison of the PV and IV characteristics for Nemy JB270M-60 DDM.

11.5 Conclusions There are various types of PV modules which are available in the market and for modelling those different types of models are used. In this chapter, different types of models of the PV cell were considered for some of widely popular solar modules available in the market. The datasheet provided along with them consists of some standard data taken at STC condition. Utilizing that data, the parameters of the cell were extracted using the five different types of metaheuristic algorithms and results are compared. Then for the validation of the results obtained from them the algorithms the comparative P-V and I-V curves are plotted

Parameter Estimation of Solar Cell  209 for each case. All the work has been done using the novel multi-objective concept in which the error has been reduced along with the maximization of the power from the cell. The results obtained are very encouraging and all of them are well within the defined limits. The error in the results for all the algorithms is much less. Out of all the algorithms MOGWO, MOSSA and MOALO have given the most consistent results throughout the results. The curves plotted for each one have a very smooth nature and good characteristics. All the results obtained throughout along with the graphs, there is very little variation in them and the curves obtained are mostly overlapping. There are many new algorithms which have been developed and are being developed currently, all of them can be used for calculation of the parameters by making them multi-objective. Here lies the future scope where new models of the PV cell can be explored along with the new algorithms.

References 1. A. R. Jordehi, “Parameter estimation of solar photovoltaic (PV) cells: A review,” Renewable and Sustainable Energy Reviews, vol. 61, pp. 354-371, 2016. 2. O. Avalos, E. Cuevas, A. V. González, J. Gálvez, S. Hinojosa, D. Zaldívar, D. Oliva, “A Comparative Study of Evolutionary Computation Techniques for Solar Cells Parameter Estimation,” Computación y Sistemas, vol. 23, pp. 231–256, 2019. 3. V. J. Chin, Z. Salam, “Coyote optimization algorithm for the parameter extraction of photovoltaic cells,” Solar Energy, vol. 194, pp. 656-670, 2019. 4. K. Ishaque, Z. Salam and H. Taheri, “Simple, fast and accurate two diode model for photovoltaic modules,” Solar Energy Materials and Solar Cells, 2011. 5. J. Ma, “Optimization Approaches for Parameter Estimation and Maximum Power Point Tracking (MPPT) of Photovoltaic Systems,” PhD diss., University of Liverpool, 2014. 6. P. J. Gnetchejo, S. N. Essiane, P. Ele, R. Wamkeue, D. M. Wapet, S. P. Ngoffe, “Important notes on parameter estimation of solar photovoltaic cell,” Energy Conversion and Management, pp. 1-11, 2019. 7. R. Abbassi, A. Abbassi, M. Jemli, S. Chebbi, “Identification of unknown parameters of solar cell models: A comprehensive overview of available approaches,” Renewable and Sustainable Energy Reviews, pp. 453-474, 2018. 8. V. J. Chin, Z. Salam, “A New Three-point-based Approach for the Parameter Extraction of Photovoltaic Cells,” Applied Energy, vol. 237, pp. 519-533, 2019. 9. H. K. Mehta, H. Warke, K. Kukadiya, A. K. Panchal, “Accurate Expressions for Single-DiodeModel Solar Cell Parameterization,” IEEE Journal of Photovoltaics, 2019. 10. T. Wei, F. Yu, G. Huang, C. Xu, “A Particle-Swarm-Optimization-Based Parameter Extraction Routine for Three-Diode Lumped Parameter Model of Organic Solar Cells,” IEEE Electron Device Letters, vol. 40, no. 9, pp. 1511-1514, 2019. 11. C. Chellaswamy, R. Ramesh, “Parameter Extraction of Solar Cell Models based on Adaptive Differential Evolution Algorithm,” Renewable Energy, vol. 97, pp. 823-837, 2016. 12. V. J. Chin, Z. Salam, K. Ishaque, “Cell modelling and modelling parameters estimation techniques for photovoltaic simulator application: A review,” Applied Energy, pp. 501-519, 2015. 13. N. H. Tong, W. Pora, “Parameter Extraction Technique Exploiting Intrinsic Property of Solar Cell,” Applied Energy, vol. 176, pp. 104-115, 2016. 14. X. Gao, Y. Cui, J. Hu, N. Tahir, G. Xu, “Performance comparison of exponential, Lambert W function and Special Trans function based single diode solar cell models,” Energy Conversion and Management, vol. 171, pp. 1822-1842, 2018.

210  Electrical and Electronic Devices, Circuits, and Materials 15. S. M. Ebrahimi, E. Salahshour, M. Malekzadeh, F.Gordillo, “Paremeters Identification of PV Solar Cells using Flexible Particle Swarm Optimization Algorithm,” Energy, vol. 179, pp. 358372, 2019. 16. V. Khanna, B. K. Das, D. Bisht, Vandana, P. K. Singh, “A three diode model for industrial solar cells and estimation of solar parameters using PSO algorithm,” Renewable Energy, vol. 78, pp. 105-113, 2015. 17. M. H. Qais, H. M. Hasanien and S. Alghuwainem, “Parameters Extraction of Three-diode Photovoltaic Model using Computation and Harris Hawks Optimization,” Energy, 2020. 18. N. Muangkote, K. Sunat, S. Chiewchanwattana and S. Kaiwinit, “An advanced onlooker-rakaing-based adaptive differential algorithm to extract parameters of solar cell models,” Renewable Energy, pp. 1129-1147, 20119. 19. D. Yousri, T. S. Babu, D. Allam, V. K. Ramachandramurthy and M. B. Eteiba, “Fractional Chaotic Ensemble Particle Swarm Optimizer for identifying single, double and three diode photovoltaic model’s parameter,” Energy, 2020. 20. N. Rawat, P. Thakur and U. Jadli, “Solar PV parameter estimation using multi-objective optimization,” Bulletin of Electrical Engineering and Informatics, vol. 8, pp. 1198-1205, 2019. 21. P. P. Biswas, P. N. Suganthan, G. Wu, G. A. J. Amaratunga, “Parameter estimation of solar cells using datasheet information with the application of an adaptive differential evolution algorithm,” Renewable Energy, vol. 132, pp. 425-438, 2019. 22. S. Mirjalili, S. M. Mirjalili and A. Lewis, “Grey Wolf Optimizer,” Advances in Engineering Software, vol. 69, pp. 46-61, 2014. 23. S. Mirjalili, S. Saremi, S. M. Mirjalili, L. d. S. Coelho, “Multi-objective grey wolf optimizer: A novel algorithm for multi-criterion optimization,” Expert Systems with Applications, vol. 7, pp. 106-119, 2016. 24. S. Saremi, S. Mirjalili and A. Lewis, “Grasshopper Optimization Algorithm: Theory and application,” Advances in Engineering Software, vol. 105, pp. 30-47, 2017. 25. S. Z. Mirjalili, S. Mirjalili, S. Saremi, H. Faris and I. Aljarah, “Grasshopper optimization algorithm for multi-objective optimization problems,” Applied Intelligence, vol. 48, pp. 805-820, 2018. 26. S. Mirjalili, A. H. Gandomi, S. Z. Mirjalili, S. Saremi, H Faris and S. M. Mirjalili, “Salp Swarm Algorithm: A bio-inspired optimizer for engineering design problems,” Advances in Engineering Software, vol. 114, pp. 163-191, 2017. 27. S. Mirjalili, “Dragonfly algorithm: a new meta-heuristic optimization technique for solving single-objective, discrete, and multi-objective problems,” Neural Computation and Application, vol. 27, pp. 1053-1073, 2016. 28. S. Mirjalili, “The Ant Lion Optimizer,” Advances in Engineering Software, vol. 83, pp. 80-98, 2015. 29. S. Mirjalili, P. Jangir and S. Saremi, “Multi-objective ant lion optimizer: a multi-objective optimization algorithm for solving engineering problems,” Applied Intelligence, vol. 46, pp. 79-95, 2017.

12 An IoT-Based Smart Monitoring Scheme for Solar PV Applications Senthil Kumar Ramu1*, Gerald Christopher Raj Irudayaraj2 and Rajarajan Elango3 Department of Electrical and Electronics Engineering, Sri Krishna College of Technology, Coimbatore, India 2 Department of Electrical and Electronics Engineering, PSNA College of Engineering and Technology, Dindigul, India 3 Research & Development - Robotics, IIoT, Bluetronics, Banglore, India 1

Abstract

Internet of Things (IoT) technologies with smart sensors play a vital role in monitoring and control applications in many areas. The IoT enables an object to be sensed or remotely operated over established networks, create opportunities for integration of the real-time environment into computer-based arrangements, evolve to increase efficiency, accuracy, commercial profit and minimize human intervention. Control and monitoring of solar photovoltaic systems with smart sensors can greatly enhance the performance of the plant. This chapter explores how to monitor the solar Photovoltaic (PV) system using IoT, and various remote monitoring methods are addressed. Also, it elaborates on the real-time implementation of smart sensors used in the renewable energy system and its challenges with some solutions. Keywords:  IoT, solar photovoltaic system, smart sensors, remote monitoring, applications

12.1 Introduction The world’s population is gradually growing from 3.2 billion in 1962 to 7.7 billion in 2019, and is expected to rise to 10 billion in 2050 [1]. As a result, living standards, the increasing requirements of energy, water and food create concurrent stresses on the environment. Then utilization of oil, gas, and coal is expected to be in decline shortly. Among the above issues, the generation of power is one of the predominant factors in a lot of developing countries. Nowadays, due to the development of commercial and industrial sectors, the demand for energy is increasing to the maximum. At the same time, anticipation of climate deviation is establishing pressure on the power sector to transition from carbon flaming to sustainable energy of the environment [2]. Presently, India is producing almost 1,037,185 GWh energy by large utilization of fossil fuels, which is very hazardous to the *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (211–234) © 2021 Scrivener Publishing LLC

211

212  Electrical and Electronic Devices, Circuits, and Materials environment. Table 12.1 shows the annual amount of energy production using fossil fuels in India [3]. Sources of sustainable energy have now steadily enhanced a predominant sector of energy generation since the conservation of fossil fuels is becoming closer to fatigue [4]. So, renewable energy resources are the best key to satisfy energy demand and to make green energy for society. It helps the environment to degrade the radiation of greenhouse gases and to deplete the ozone layer in order to achieve sustainable development in power generation. Compared to all renewable energy sources, solar-based energy production is developing faster due to less cost, availability, maintenance and less effort needed for installation. The annual production of electrical energy with the help of renewable energy in India is presented in Table 12.2 [3]. As a result, the availability, reliability and quality of power supply from renewable energy systems are important factors to overcome the above problems for today’s customers [5]. In the PV system, solar cells are preferred to consume sunlight and transfer energy to electricity. The PV cell is built by silicon or alternative semiconductor elements that transform solar energy to DC power over the PV effect. Whenever the radiation communicates with the solar cell, it occupies solar energy and subsequently produces electricity [6]. The electricity will be generated when the sunlight is combined with the semiconductor. The electrical potential generated from the solar system might be different from place to place, technology to technology due to change in parameter deviation. So, an adequate measure is needed on every installation of a PV system, so that they can achieve greater potential energy. However, great care is needed before or at the time of PV panel installation to avoid the chance of deficiency and maintenance issues over the working of the PV Table 12.1  Annual power production (GWh) using fossil fuels in India. Sl. No

Year

Gas (GWh)

Oil (GWh)

Coal (GWh)

1

2018-2019

49,886

129

10,21,997

2

2017-2018

50,208

386

9,86,591

3

2016-2017

49,100

262

9,44,850

4

2015-2016

47,122

406

8,96,260

5

2014-2015

41,075

1407

8,35,838

Table 12.2  Annual production (GWh) of electrical energy by sustainable energy sources in India. Sl. No

Year

Solar

Hydro

Biomass

Wind

Others

1

2018-2019

39,268

135,040

16,325

62,036

425

2

2017-2018

25,871

126,134

15,252

52,666

358

3

2016-2017

12,086

122,313

14,159

46,011

213

4

2015-2016

7,450

121,377

16,681

28,604

269

5

2014-2015

4,600

129,244

14,944

28,214

414

IoT-Based Smart Monitoring Scheme for PV  213 systems. These types of issues are severely involved in the case of PV systems placed in faraway areas or remote locations. In order to overcome the above issues a remote monitoring approach is to be selected in the PV system [7]. Smart sensors in Internet of Things (IoT) have been acknowledged for previous certain years. Generally, IoT acts as an information-sharing environment where everyday life is connected to wired and wireless networks. The idea is to tie all sensors and equipment to a terminal server. A huge amount of attention has been directed in recent years to modernized usage in every sector [8]. The IoT is needed, due to extensive usage of various devices like sensors, actuators, Radio Frequency Identification (RFID) and, internet-connected mobile phones, tablets. The IoT allows consequential objects to think, hear, see, and “talk” as well as share information. IoT is an emerging technology that creates things simpler, faster, and easier to operate with the help of communication topology and cloud environments. It is the revolution in the world of electronics today. When IoT was imported, RFID is preferred in all systems. Presently, various technologies are developed in IoT like Machine to Machine (M2M), Vehicle to Vehicle (V2V), and Near Field communications (NFC) which is identical to RFID [9]. Implementation of IoT with solar PV system is an important field for all industrial and residential customers. That would adversely produce a vast business for IoT service providers and customers. Based on a change in voltage, temperature, current and irradiance parameters the effectiveness of solar panel is affected. In order to avoid this problem, it is necessary to monitor the solar PV system with the help of smart sensors and IoT to avoid major failures [10]. So, in this chapter monitoring and controlling of Solar PV system with IoT using various smart sensors are presented.

12.2 Solar PV Systems The sun is the renewable source from which we can extract power and it is in the form of renewable energy. This solar energy is easily obtainable and it is not used up completely and can be reusable. Solar power can be used in many ways for different purposes. For example, to burn a paper using the energy, we just need a magnifying glass to be focused on the paper. When the concentration of solar power in the form of sun rays is increased on the paper, the paper starts burning. A solar cell or photovoltaic cell is designed to observe solar energy and produce electric power. The panels are made up of different absorbing materials and each panel differs due to various manufacturing methods and quality. The majority of the panels available in the market are mostly made of silicon; one of these types is thin-film solar cells. Each solar panel has a different price and efficiency depending upon its design [11]. The suitable solar panel has to be used depending upon the application. Solar energy can be directly used or it can be converted into other energies using different techniques. The typically available technologies are shown in Figure 12.1.

12.2.1 Solar Photovoltaic (PV) Systems Solar panels are mainly used for converting the solar energy (sunlight) directly into electric power (electricity). This can even be used for commercial and industrial purposes by

214  Electrical and Electronic Devices, Circuits, and Materials

Solar PV Systems

Concentrated Solar Power (CSP)

Solar hotwater

Passive solar Design

Figure 12.1  Solar power technologies.

matching the level of power required. Solar panels can further be classified into two categories: stand-alone systems and grid-connected systems [12].

12.2.1.1 Stand-Alone PV Modules Stand-alone systems are also called off-grid systems and can be used for smaller applications [13]. Some of the stand-alone systems are solar pumping system, solar home automation, solar street lighting system, rural micro-grid, which are usually lesser in size. The examples of stand-alone PV systems are shown in Figure 12.2.

12.2.1.2 Grid-Connected PV Systems These systems are distributed for a small area by connecting the panels above the buildings or rooftops or even ground and then they are connected to 230 volts or 440 volts grid systems. A grid substation with a voltage rating of 11KV or more than that may be supplied with many large-scale solar panel projects. Examples of grid-connected PV systems are shown in Figure 12.3.

12.2.2 Concentrates Solar Power (CSP) CSP is another way of using solar energy in the form of sunlight which produces steam that drives a turbine in the process of electricity production. CSP is also similar to the thermal station in the production of steam. This system uses huge mirrors to concentrate the energy

Solar water pumping

Solar street lighting

Figure 12.2  Examples of stand-alone PV system.

Rural micro grid

Solar home system

IoT-Based Smart Monitoring Scheme for PV  215

Rooftop distributed systems

MW scale project

Figure 12.3  Examples of grid connected PV system.

on a centralized part to boil water. This system can either be used for cooking, heating or to drive the turbine. To make the system work more efficiently and effectively all day, the solar tracking technique is merged with the concentrators. Examples of Concentrates solar power are shown in Figure 12.4.

12.2.3 Solar Water Heater Systems The use of the solar water heater is an application that has become popular in today’s human life style. This is mainly to reduce the use of other energies and make use of easily available energy sources. This system is used to heat the water using solar collectors which are of flat plate or evacuated tube. These collectors are completely different from a solar panel that produces electricity. The typical structure of the solar water heater is included in Figure 12.5.

Parabolic Dish

Parabolic Trough

Figure 12.4  Examples of concentrates solar power.

Figure 12.5  Solar water heater.

Power Tower

216  Electrical and Electronic Devices, Circuits, and Materials

12.2.4 Passive Solar Design Another application is a passive solar design that is designed intelligently to utilize solar energy in the design of a building. The main concept of this design is to allow solar energy inside the building during the winter season and recapture the energy during the summer season before entering the building. The layout of the passive solar design is shown in Figure 12.6.

12.2.5 Solar Microgrid System The microgrid network in a small solar grid that works on its own to supply electricity to a certain number of loads in small-scale areas [14]. In addition to fundamental components, it also requires distribution cables for power supply and some devices that safeguard the system. A schematic of solar microgrid system is shown in Figure 12.7. The size of the system varies according to the needs and demands of the consumer. For basic lighting and household loads power of less than 100 kilowatts is required. Summer sun

Roof overheating

Insulation

Thermal mass Window sun Large windows

Thermal radiation

Figure 12.6  Passive solar design.

Controller

Microgrid

Generation Transmission

Other Microgrids

Energy Markets Weather forecast

Figure 12.7  A conceptual schematic of solar microgrid system.

IoT-Based Smart Monitoring Scheme for PV  217 The IEC technical specifications of voltage levels are: • 208-415 V three phase/120-240V single phase at the frequency level of either the domestic frequency level 50 Hz or 60 Hz is the low voltage (LV) level of AC systems. • In the case of DC system, the voltage level is less than 120V which is referred to as extra low voltage (ELV). The various Components present in solar PV Systems are shown in Figure 12.8.

12.2.5.1 PV Module Today, there is a wide range of modules available that vary in the form of silicon utilized, the production process and the nature of the component. The majority of commercially usable PV modules are built of silicon and divided into three major categories of solar cells. Namely, thin film solar cells, monocrystalline and polycrystalline. The various size and rating of PV panels is shown in Table 12.3. The different forms of PV module vary according to efficiency, cost and size.

12.2.6 Battery In a stand-alone PV device, storage of the battery is required when electrical loads are needed to function at night time or during prolonged periods of cloudy or humid conditions.

PV Modules

Charge controller

Battery

Solar array mounting

Figure 12.8  Components of solar PV systems.

Inverter

Connectors and Cables

218  Electrical and Electronic Devices, Circuits, and Materials Table 12.3  Rating and sizing of PV panels. Capacity (Wp)

Isc (A)

Imp (A)

Voc (V)

Vmp (V)

Length (mm)

Width (mm)

Weight (Kg)

50

3.04

2.80

21.77

17.89

608

666

4.6

100

6.11

5.57

21.84

17.89

1152

666

8.0

200

8.10

7.48

32.65

26.74

1486

982

15.5

250

8.71

8.18

37.55

30.58

1639

982

17.45

300

8.74

8.05

45.10

37.28

1956

982

27

In addition, the PV array cannot provide adequate power on its own. The main features of a battery in a PV system are: • Energy storage capability and reliability • Supply surge currents • Voltage and current stability The amount of days in which the storage power can be used directly to run the electric loads without the utilization of electrical energy from the PV array is termed as “autonomy” in stand-alone PV systems [15]. Electric batteries are usually commonly categorized as main batteries and secondary batteries. In PV systems, primary batteries were not preferred since they cannot be refilled. Secondary batteries could be stored and provide electric power. It could be charged through bypassing the current to the discharge current in a reverse direction. The batteries available for use in solar systems are commercially viable. A few types of batteries have been shown in Figure 12.9.

12.2.6.1 Flooded Lead Acid Battery These batteries are commonly used lead acid batteries which contain vents that enable the electrical escape of hydrogen gas. Adequate ventilation must be taken over and around the flooded batteries.

Flooded Electrolyte

Valve Regulated Lead Acid (VRLA)

Figure 12.9  Types of batteries involved in PV system.

Lithium Ion

IoT-Based Smart Monitoring Scheme for PV  219

12.2.6.2 VRLA Battery These batteries are sometimes referred to as a captive electrolyte and as the name implies, the electrolyte is somehow immobilized and the battery is screwed under normal working conditions. The usually sealed devices open up under gas pressure through a pressure control mechanism under excessive overcharge.

12.2.6.3 Lithium-Ion Battery These batteries are a new technology with many benefits compared to all other batteries. These are usually smaller and lighter for the same quantity, are quick charging and less vulnerable to deterioration due to storing and discharging. However, they have very high upstream costs and are susceptible to intense voltages and temperatures.

12.2.7 MPPT MPPT is a set of algorithms that are typically preferred with PV modules to extract the highest power under certain conditions. In general, the voltage at which a PV system can generate its highest power is known as peak power voltage or maximum power point (MPP). MPPT investigates the output of PV voltage, which relates to voltage of the battery. Then, set the best power which that PV module may be generated to energize the battery and transform it to the best voltage to provide the highest current into the battery. Maximum power differs with ambient temperature, solar irradiation, and temperature of solar cell. The main objective of MPPT is to draw the highest power under all environmental conditions to examine the output voltage from PV and apply to various loads like resistive or inductive. MPPT device is used to integrate the converters of electric power which implements voltage or current transformation, regulation of different loads, including batteries, motors and the electric grid. A great number of MPPT techniques are available, namely, Constant voltage method, incremental conductance, current sweep technique, Fractional open circuit voltage, Perturb and Observe (P&O), Fractional short circuit current, Neural network control methods, etc [16].

12.2.8 Inverters & Other Electronic Equipment The battery and PV array might be generating the DC voltage. The inverter is intended to transform the DC into an AC electricity and excess powers export to the AC grid. The standard low voltage (LV) in the household or light commercial building supply is either single phase 230 V or three phase 415V. Larger commercial buildings may be supplied with higher voltage, which are then converted into 230V or 415V. Usually stand-alone inverters are voltage sensitive; i.e., stand-alone inverters or off-grid inverters are designed to operate at a given average battery voltage, e.g., 12V, 24V or 48V DC. Stand-alone inverters are not identical to grid-based inverters since the PV array is typically not based to the inverter in stand-alone systems, but rather is connected to batteries by a system controller. The inverter is a stand-alone power system that supplies the AC-circuit(s) with its power from the batteries. The voltage controller (voltage controller)

220  Electrical and Electronic Devices, Circuits, and Materials can be MPPT itself. Battery charging is controlled by the MPPT controllers. This role has no effect on the supply of power to any AC circuit. The PV arrays are linked directly to the grid-tied inverter in a grid-tied PV network. The grid-tied inverter transfers the solar power to the AC grid. The PV array is designed so that it functions for the grid-connected inverter requirements in a limited range of DC voltages. This inverter transforms solar power into AC sine wave which matches the system frequency and voltage. This inverter will not operate when the AC grid is not presented.

12.2.9 Charge Controller The critical function of PV systems is the regulation and control of the energy produced through PV array. The main features of battery charge controllers are: • • • • • •

Provide Load Control Functions Prevent Battery Overcharge/discharge Divert from PV Energy to an alternate Load Provide Status Information to System Users/Operators Energy Sources Backup of Interface and Control

12.2.10 Additional Systems Equipment Apart from PV modules, inverters, and charge controllers there are a few components that are also essential in a solar PV microgrid system. They are: • Solar Array deploying system: This system was used to securely connect the PV modules to the deployment layer or floor. • Cabling: parts must all be associated with DC and AC cabling. • Array Junction Box: It is used for the combination of the various array strings. • Protection and disconnection switches: these components guarantee system security. • System monitoring: It is used to detect the failure and present status of the system.

• Metering: It is used to measure the electricity produced by solar energy or electricity absorbed by residential customers.

12.3 IoT The IoT is a structure of physical instruments, buildings, vehicles, and other apparatus encapsulated in electronics, sensors, software, actuators that enable the collection and sharing of data between these objects [17, 18]. It offers an integrated network that communicates continuously and stores large amounts of device data, invokes easy and complex analysis, and supports real-time intuition for end users. Three major factors of IoT have been considered in recent years. Namely, Artificial Intelligence (AI) and Machine Learning, Big Data and Cloud Computing, and Smart Sensors.

IoT-Based Smart Monitoring Scheme for PV  221

12.3.1 Artificial Intelligence (AI) and Machine Learning It aids information detection and generates acumen by providing the analytical solutions in the face of energy anticipating, prognostic maintenance and intelligence control, etc. That is the way to enhance the profit along with efficiency. The IoT chain consists of a network made of accessories and technologies. The four main elements in a common IoT setup are hardware, middleware, cloud and application.

12.3.1.1 Hardware Hardware refers to the actuators and sensors, that are the place of connection linking from device to IoT platform. It continuously senses information about attributes such as temperature, current, moisture, voltage, light, etc., of entity equipment. Then they are transferred to middleware.

12.3.1.2 Middleware Middleware is a part of the IoT platform that enables cloud and sensor connections. This includes the components of both hardware and software that relate logically or electrically to sensors. They change the raw sensor info to an accessible way so that it can be used in a further process. The updated info will be transmitted to the cloud through wireless or wired communication media.

12.3.1.3 Cloud The cloud is a basic and essential part in the IoT solution. The information obtained from middleware is combined and stored in the cloud. The importance of the cloud is that it is capable of escalating and addressing signals from a large number of linked equipment. This is used for creating the present and historical data.

12.3.2 Big Data and Cloud Computing Big Data framework offers a fast, real-time simple and complicated occasion processing hunting to perform leading analytics on sensor knowledge. It is equipped with cloud computing technology that allows unlimited amount of data to be stored in disseminated area; it provides effortless data accessibility, reduces storage costs, and gives data security.

12.3.3 Smart Sensors They are hardware linked to the devices that spot the environmental circumstances and change it into signal form. A few sensors are shown in Figure 12.10. They have a fixed micro-controller, wireless communication features and support automated collection of data [19].

12.3.3.1 Temperature Sensor Temperature sensors is a device normally resistance temperature detector or thermocouple. Its work is to collect the data regarding temperature from a particular device and convert

222  Electrical and Electronic Devices, Circuits, and Materials

Temperature sensor

Humidity Sensor

Tilt Sensor

CO2 Sensor

Voltage Sensor

Current Sensor

Light Sensor

MEMS Sensor

Ultrasonic Sensor

IR sensor (Ostacle type)

IR sensor (reflective type)

Proximity Sensor

Figure 12.10  Types of sensors used IoT.

them into an understandable form for a device or observer. It is designed for surface temperature measurement in the rear side of PV modules. 

12.3.3.2 Humidity Sensor A humidity sensor detects the moisture and air temperature. The relative humidity is expressed as the ratio of moisture content in the air to the maximum amount of moisture content at the current temperature. When there is a fluctuation in temperature, the relative humidity changes; as the air becomes hotter, it holds more moisture. A relative humidity sensor contains a humidity sensor that has a thermistor to measure the temperature. This sensor is used to measure moisture content in PV modules. 

IoT-Based Smart Monitoring Scheme for PV  223

12.3.3.3 Tilt Sensor A tilt sensor is an instrument which is used to measure the orientation and inclination in multiple axes of reference plane. Tilt sensor is highly accurate and resolution, because of its position reference to the object in relation to gravity. It consists of a ball mechanism to detect the motion of the object at any axes. The degree of inclination with one or more axes is proportional to the electrical signal. This device produces electrical signals that vary with an angular movement. The tilt sensors used for single axis tracking are generally single axis tilt sensors. 

12.3.3.4 CO2 Sensor The carbon dioxide sensor detects the amount of carbon dioxide level present in the environment; it is detected by the quantity of infrared radiation absorbed by the carbon dioxide molecules. These sensors are used for precise process of gas measurement. Carbon dioxide measures signals with is different is proportional then the sample amount of absorbing gas. CO2 gas is infused or diffused into the light tubes. The electronic characteristics have been absorbed by IR and this is the amount of co2 level present.

12.3.3.5 Voltage and Current Sensor Voltage and current sensors are used for voltage and current monitoring, logging or proof-of-operation application. The Voltage sensor can determine both the AC and DC voltage levels. The current sensor generates an output proportional to the current in the wire which may be of analog or digital value.

12.3.3.6 Light Sensor An example of light senor is a solar cell which produces electrical energy from light energy. A light sensor is basically a photoelectric device which is used for converting light energy (photons) into electrical energy (electrons). Photoresistors, Photodiodes and Phototransistors are the types of available light sensors.

12.3.3.7 MEMS (Micro Electro Mechanical Systems) Sensor MEMS is a process to create small integrated devices with the combination of electrical and mechanical components. These have been used to sense, control and actuate the devices in the microlevel. It is also used to generate effects in macroscale and can be incorporated with integrated circuit technology. They have been fabricated in small structures where electromechanical system with numerous moving elements can be incorporated in microlevel.

12.3.3.8 Ultrasonic Sensor An ultrasonic sensor is used to find the distance to the objects by ultrasonic sound waves. It is made up of piezoelectric crystal where it uses very high frequency. These sensors are also referred to as traducer where it transmits, receives and transceives the ultrasonic pulses

224  Electrical and Electronic Devices, Circuits, and Materials into electrical signals or vice versa. It is used to find an object underwater by emitting sound at high frequency. It is made up of piezoelectric crystal where it uses very high frequency.

12.3.3.9 IR Sensor An infrared sensor is used to sense certain aspects in its range. Infrared radiation can detect an object by thermal dependent phenomena which is highly sensitive for photonic detectors. This infrared spectrum is capable of detecting the motion by the heat emitted from the object. It is an electronic sensor which senses the distance by light radiation from the objects. It is spectral sensitivity of optoelectronic components of different infrared wavelengths.

12.3.3.10 Proximity Sensor  A proximity sensor senses the object by emitting an electromagnetic field or beam of infrared radiation to detect the element without any physical contact. It detects the presence of objects nearby. The distance is calculated and they are used in switches in electrical circuits to open and close at a certain distance measured, and are also used in measuring the distance of the shaft and support bearings. It detects metallic components, coils, plastic, etc.

12.3.4 Additional Devices for Control and Communication After receiving input from the sensor, controllers transform to various logic representations by using controllers. This is primarily used to modify or control the specified outputs of various processes throughout the optimal range using controllers. The most commonly used controllers in IoT-based applications are Arduino and Raspberry pi. Both are the most popular, user friendly and low cost. A few controllers used in IoT-based solar PV system are shown in Figure 12.11.

12.3.4.1 Arduino Arduino is an open source advanced microcontroller which is consistent to use within a system. It can be used for low electric power applications between 3.3 to 5.5 V. It is used to power the solar panels. Arduino is linked to laptop/computer with the help of universal serial bus (USB), Mostly, C, and C++ language concepts are used in Arduino. By using Arduino, the user can apply various changes in IoT using distinct pregaming languages.

12.3.4.2 Raspberry Pi Raspberry Pi is a single board which is designed to plug into any device to program or implement according to the need for people to explore computing. Users can insert an SD card which acts as a hard drive for the device. It has best features like fastest clock speed, RAM, and features that would enhance the learning of how to implement the programs using Scratch and Python. It can open opportunities to create own home automation, which is famous among people in the open source community because it brings people control, rather than using the appropriate closed system.

IoT-Based Smart Monitoring Scheme for PV  225

Arduino

Raspberry Pi

GSM Module (Communication)

Figure 12.11  Controllers and communication devices used in IoT.

12.3.4.3 GSM Module GSM module is a communication device which is used in mobiles or computing machines. It sends information through channels which digitize and reduce the data and are sent in two ways, by time division multiple access system and client data stream. It transmits mobile voice and data at very high frequency 850MHz-1900MHz. A GSM module or a GPRS (General Packet Radio Service) module is a chip or circuit that will be predominately preferred to organize the communication medium between a mobile device and a GSM or GPRS system. It is also more preferable in both Arduino and Raspberry Pi. GSM is a mobile connection modem and stands for a global system for data transmission. In the solar PV system, the GPS sends the present status of the panel to the user by messages [20, 21].

12.3.5 Renewable Energy and IoT in Energy Sector Renewable energy sources have been evolving over years and the demand for other technology shrinks automatically. Using the electrical energy obtained by the renewable source may attract people and also can save money by implanting renewable energy, but these technologies are typically more expensive than the other technologies which are already available. Renewable energy can be achieved reliably, when we operate the electricity grid at a much higher level of energy. This booming side of renewable energy is very profitable for the building sector but the initial capital investment is high. This renewable energy produces less emission which enhances the atmospheric level. Utilization of this renewable

226  Electrical and Electronic Devices, Circuits, and Materials energy has been growing [22]. The renewable source meets the peak demand and lowers the electrical bills. The capital investment after implantation of the resources are too high in generation transmission. The large scale of wind and solar farms enhance the production of renewable electrical energy, and the vision over the conventional grids for higher quality load becomes more attractive. The distribution is not continuous and not broadly distributed in the production of energy through solar and wind. The main aim is for the production of renewable resources in remote areas. These renewable energies like solar and wind system can be implemented in buildings to surplus the capacity to near buildings [23, 24]. The grid plays a major role where impartment of the surplus energy and the bidirectional flow at the large amount is not built up. The grid may run under issues like voltages issues when bidirectional flow of electrical meet up their demands. There are a number of renewable energy sources and extensive production available but they are not under the operational control due to voltage raises above the grid voltage.

12.3.6 Application of IoT This application layer is a juncture in form of the web operation, stand-alone operating system or mobile app. The ultimate aim of the application is to collect data from the cloud and furnish information to users in specific layouts, either reports or graphs. It acts as a perfect platform for constant remote monitoring. This would optimize the performance of resources and boost the profitability. IoT applications are strangely complex and need end to end infrastructure to consistently transmit and process data and integrate it into the systems. But once integrated it will be very helpful. Though challenges exist, they do not need to be debilitating [25].

12.3.6.1 Application to Renewable Energy Systems An IoT intelligence technology is employed in power generation and utilizations in residential areas too. The use of IoT in renewable energy resources will help to seek energy demands efficiently. This may become completely a replacement of conventional energy sources with its modern monitoring and control systems. These applications of IoT in renewable energy resources involve sensors that are associated with generation, transmission and distribution pieces of equipment. This lowers our dependence on already limited fossil fuels. Primarily, the use of renewable energy provides various benefits and when combined with IoT will help in massive clean energy sources to a greater extent. The benefits of combining IoT in renewable energy resources are smart automation, cost efficiency, improvement in grid management, high residential demand solutions [26]. An IoT device helps in the detection of favourable conditions for energy production. The setup can be adjusted to generate maximum output. The major benefit of IoT devices is that they generate data in real time that in turn minimize wastage, if any. The power plant can work more efficiently with automated controls. For the solar energy companies, integrating with IoT will be a good, helpful resource to meet customers’ demands and improve overall efficiency. This arrangement is used to measure output current and voltage, environment temperature in comparison with previous data information.

IoT-Based Smart Monitoring Scheme for PV  227 In a Solar PV system, when the device is connected to a cloud network, the identification of the origin of the problem can be fixed before it disrupts the whole system. For example, customers might see the system is operating perfectly yet there may some problem with the devices; without IoT it would be difficult to analyze where the problem occurred, either in hardware or software. The IoT provides the acumen to identify errors in real time and issues can be resolved quickly as the source of the error would be known through IoT. The device will be less affected by outages and issues when using IoT. By furnishing all in one edge to cloud IoT solutions to associate with all solar assets, it would be easier to manage the largest solar grids. In addition, IoT in solar energy can lead to a rise in power quality and performance. This results in energy production being efficient in both cost and systemization [27]. The numerous benefits also include real-time monitoring, correction and predictive analytics. An IoT sensor on an individual panel can monitor the specific barometers like energy output, temperature, cardinal direction, and tilt angle. This gives the assurance that problems related to specific panels will be rapidly corrected. The ways in which customers can analyze the status of the PV system are as follows: • Tracking and analysis of data from the sensor will be helpful to monitor the physical health of the solar plant. This helps in coming through the knowledge of PV modules, inverters, etc. • Inquiry of previous data through IoT will give knowledge of the device performance. Addressing the performance errors in a regular interval will provide increase in equipment efficiency and reliability. • Data analysis helps in detection of failures in devices such as PV modules and inverters. This might reduce the generation loss as a result of equipment errors. • Preventive maintenance will lower the device failures and reduce costs with the help of data analytics. This will help in great profitability and productivity.

12.3.6.2 Application to Grid Management The point of improvement in grid management is that it enables the integration of more distributed resources into the grid, and improves grid management as well. Having sensors at substations and along distribution lines provides real-time power consumption data that can be used to make decisions about voltage control, switching the load and a lot more. Power utilization data serves as the source for load forecasting. This can be obtained through IoT devices and this serves a lot more. Based on accurate forecasts, sensors ensure precise generation of energy, thus optimizing production and control. This also helps in a detailed report on energy usage, thus helping customers to save on their energy bills. Harnessing the facility of digital transformation with IoT can resolve the common challenges encountered with complex energy grids and make it much easier to manage panels and energy output. IoT software applications such as machine learning, artificial intelligence, etc., have the potential to reliably predict present and future power generation based on historical evidence. This helps in the management and calculation of equal demand for both power buyers and traders.

228  Electrical and Electronic Devices, Circuits, and Materials

12.4 Remote Monitoring Methods of Solar PV System The output sources of solar energy are needed to be conditioned which improves the PV panel’s power quality, efficiency and productivity. Hence in the solar PV system a remote monitoring and efficient load forecasting is needed. There are various techniques in remote techniques which existed previously but forecast and efficient load schedule need to be generated [28]. Figure 12.12 below gives the remote monitoring scheme. In general, remote monitoring methods are categorized into five types, wireless, Physical/wired, SCADA, Cloud and IoT-based monitoring.

12.4.1 Wireless Monitoring Without the use of wires, the data can be analyzed and recorded from the remote sender to the receiving location using automatic transmission and measurement approaches.

12.4.2 Physical/Wired Monitoring The data can be analyzed and recorded from the remote sender to the receiving location with the help of automatic transmission and measurement methods by using wires.

12.4.3 SCADA Monitoring It is an integral component of both hardware and software elements. It is used in industrial groups in order to monitor the industrial process at a remote location or a localized one.

12.4.4 Monitoring Using Cloud Computing In general, the term “cloud computing” denotes that the data centers are available to several users throughout the Internet. It also has the existent information of computer systems which demand resources particularly data and computing storages without the use of direct active user management. Today the big clouds have functions that are distributed from central servers over various locations. Cloud computing is used to track and manage the internet in real time.

12.4.5 Monitoring Using IOT IoT is the continuation of the connection between a physical equipment and Internet. Embedded with electronic devices, Internet access, and other hardware components, these

Data Acquisition Module

Pre processing of acquired data

Cloud server

Monitoring

Figure 12.12  Block diagram of remotely monitoring system.

IoT-Based Smart Monitoring Scheme for PV  229 equipments are capable of interacting and communicating with each other via the Internet, and are often monitored as well as remotely managed.

12.4.5.1 IoT-Based Remote Monitoring PV system is a connection of solar panel with a battery for storing power, a charge controller with an inverter if the AC power is required. A set of PV modules is combined to arrange PV arrays. Those PV arrays are organized in parallel and serial combinations to form PV modules. Based on the PV modules, DC electricity can be generated. By using DC-DC converters like boost, buck boost, SEPIC, Luo converters, the adjustable DC voltage can be developed. Then, converts AC using three-phase voltage source inverters. Depending on the requirements, the consumption of energy occurs by precise loads or delivered energy to the power grid with the help of the transmission network. Although electricity is generated and distributed, the viability of battery storage is important in certain circumstances. Figure 12.13 illustrates the IoT-based PV monitoring system. The remotely monitoring PV system using IoT consists of three layers [29]. The layers are the establishment of PV system, the communication entry point for connections and finally, remotely monitoring

Arduino

Router

AC Load

Chopper

DC DC

Remote Monitoring

Battery Smart Meter

Internet

Figure 12.13  Monitoring of PV system using IoT.

DC Inverter

Internet Firewall

Data Storage

AC

Electric Grid

230  Electrical and Electronic Devices, Circuits, and Materials and control layer. The first layer includes the PV system design environment, where all the components were associated as per the required configurations to fullfill the user requirements. The second layer is named as communication linkages that provide an interlink among various hardware components involved in the PV system to remote server over internet firewall and router. Arduino server is the major component that helps in integrating the web server through ethernet or wireless router module. Here the Arduino server has the microcontroller that monitors, control, and manages the functions of PV system hardware components. The information obtained from the second layer is transferred to the final layer, which controls and monitors PV system where the regular reports are inspected by users. Also, users may access this data in reports or visual charts using the android app with cloud service using the Wi-Fi network.

12.5 Challenges and Issues of Implementation of IoT on Renewable Energy Resources Because traditional energy sources such as fossil fuels, which are rapidly being exhausted, renewable energy sources—for example, solar and wind—are frequently recharged and therefore reasonable. The application of IoT technique is exceptionally popular in the renewable energy arena. The benefits of using IoT includes cost saving, smartness in precarious data assortments, smart judgments, and providing enhanced facilities to the user or customers. Conversely, the process of assimilating IoT devices in the entire renewable energy system is not a stress-free job for every energy firm and they face numerous challenges in their way.

12.5.1 Challenges 1. C  omplexity It is very difficult to incorporate the IoT-based system solutions over the conventional energy system. The conventional energy sector is very complicated in nature, so the integration of IoT devices and energy system components will be very difficult. 2. Security Data security will be the biggest problem in the implementation of a complete IoT-based system. There will be lot of vital and intricate customer data and anything wrong or missing will be ruinous for the clients and destroy the reputation of the company. So, the data security policies will become problematic. 3. Data Collection The natural sources like solar rays and wind data are not reliable and consistently available; they vary rapidly and are not available for accurate predictions. 4. Data Handling Most of the renewable energy gathering plants are sited in distant, countryside areas but the majority of power requirements are in city load centres. So, the data handling between these two points will be a challenging task.

IoT-Based Smart Monitoring Scheme for PV  231

12.5.2 Solutions 1. Enhanced energy storage system Need to develop enhanced energy storage system which can engross and store the renewable energy when it is available in surplus. Assimilating these improved storage elucidations into the power grid will be a vital step, though there is difficulty to overcome in order to effectively resolve the unpredictability of renewable energy. The improvement in generation and storage is a solution as well as the transfer of energy between locations. 2. Rapid energy transmission system Need to develop an ultra-highway electrical transmission system which can transfer power resource efficiently and quickly that will ensure consistent distribution within a given timeframe. 3. Supply oriented power consumption By setting up smart grid arrangement with IoT-based system arrangements will make possibilities of supply-oriented power consumption for power sector. This will ensure better predictions on energy consumption.

12.6 Conclusion In this chapter, smart sensors with IoT and remote monitoring methods in solar PV systems have been examined. The extreme benefits of using solar energy are its availability, free energy and less environmental impact. IoT is one of the developing trends in the PV system. Monitoring PV system using IoT enables automated monitoring of solar power from anyplace with internet connections. It performs a significant role in accessing control over the PV system placed at remote locations or long distances from control area. Besides, customers can easily distinguish different types of faults and record the overall accomplishment of the system. The main focus of this chapter is on the importance of solar energy and the prerequisite for IoT implementation in PV systems using remote monitoring through sensors and controllers. Improving the accomplishment of solar PV systems can be further investigated through considerable research on remote monitoring. This would help to increase the level and scope for the execution of remote monitoring for real-time estimation and efficient load scheduling.

References 1. World population. https://en.wikipedia.org/wiki/World_population. 2. Ha, Q., & Phung, M. D. (2019). IoT-enabled dependable control for solar energy harvesting in smart buildings. IET Smart Cities, 1(2), 61-70. 3. Energy policy of India. https://en.wikipedia.org/wiki/Energy_policy_of_India 4. Deveci, O., & Kasnakoglu, C. (2015). Control of a Photovoltaic System Operating at Maximum Power Point and Constant Output Voltage under Different Atmospheric Conditions. International Journal of Computer and Electrical Engineering, 7(4), 240. 5. Yao, L., Lai, C. C., & Lim, W. H. (2015). Home energy management system based on photovoltaic system. In 2015 IEEE international conference on data science and data intensive systems (pp. 644-650). IEEE.

232  Electrical and Electronic Devices, Circuits, and Materials 6. Devabhaktuni, V., Alam, M., Depuru, S. S. S. R., Green II, R. C., Nims, D., & Near, C. (2013). Solar energy: Trends and enabling technologies. Renewable and Sustainable Energy Reviews, 19, 555-564. 7. Adhya, S., Saha, D., Das, A., Jana, J., & Saha, H. (2016). An IoT based smart solar photovoltaic remote monitoring and control unit. In 2016 2nd international conference on control, instrumentation, energy & communication (CIEC) (pp. 432-436). IEEE. 8. Atzori, L., Iera, A., & Morabito, G. (2010). The internet of things: A survey. Computer networks, 54(15), 2787-2805. 9. Caţă, M. (2015, September). Smart university, a new concept in the Internet of Things. In 2015 14th RoEduNet International Conference-Networking in Education and Research (RoEduNet NER) (pp. 195-197). IEEE. 10. Mondal, S., & Paily, R. (2017). Efficient solar power management system for self-powered IoT node. IEEE Transactions on Circuits and Systems I: Regular Papers, 64(9), 2359-2369. 11. Tan, D., & Seng, A. K. (2011). Handbook for solar photovoltaic (pv) systems. Energy Market Authority, Building and Construction Authority, 4-7. 12. Saravanan, S., Kumar, R. S., Prakash, A., Chinnadurai, T., Tiwari, R., Prabaharan, N., & Babu, B. C. (2019). Photovoltaic array reconfiguration to extract maximum power under partially shaded conditions. In Distributed Energy Resources in Microgrids (pp. 215-241). Academic Press. 13. Papageorgas, P., Piromalis, D., Antonakoglou, K., Vokas, G., Tseles, D., & Arvanitis, K. G. (2013). Smart solar panels: In-situ monitoring of photovoltaic panels based on wired and wireless sensor networks. Energy Procedia, 36, 535-545. 14. Leo, R., Milton, R. S., & Sibi, S. (2014, September). Reinforcement learning for optimal energy management of a solar microgrid. In 2014 IEEE Global Humanitarian Technology ConferenceSouth Asia Satellite (GHTC-SAS) (pp. 183-188). IEEE. 15. Muhammad, S., Rafique, M. U., Li, S., Shao, Z., Wang, Q., & Liu, X. (2019). Reconfigurable Battery Systems: A Survey on Hardware Architecture and Research Challenges. ACM Transactions on Design Automation of Electronic Systems (TODAES), 24(2), 1-27. 16. Sudhakar Babu, T., Sangeetha, K., & Rajasekar, N. (2016). Voltage band based improved particle swarm optimization technique for maximum power point tracking in solar photovoltaic system. Journal of Renewable and Sustainable Energy, 8(1), 013106. 17. Oukennou, A., Berrar, A., Belbhar, I., & El Hamri, N. (2019). Low Cost IoT System for Solar Panel Power Monitoring. 18. Aheleroff, S., Xu, X., Lu, Y., Aristizabal, M., Velásquez, J. P., Joa, B., & Valencia, Y. (2020). IoTenabled smart appliances under industry 4.0: A case study. Advanced Engineering Informatics, 43, 101043. 19. Ghayvat, H., Mukhopadhyay, S. C., & Gui, X. (2015). Sensing technologies for intelligent environments: A review. In Intelligent Environmental Sensing (pp. 1-31). Springer, Cham. 20. Adhya, S., Saha, D., Das, A., Jana, J., & Saha, H. (2016, January). An IoT based smart solar photovoltaic remote monitoring and control unit. In 2016 2nd international conference on control, instrumentation, energy & communication (CIEC) (pp. 432-436). IEEE. 21. López-Vargas, A., Fuentes, M., & Vivar, M. (2018). IoT application for real-time monitoring of solar home systems based on Arduino™ with 3G connectivity. IEEE Sensors Journal, 19(2), 679-691. 22. Stojkoska, B. L. R., & Trivodaliev, K. V. (2017). A review of Internet of Things for smart home: Challenges and solutions. Journal of Cleaner Production, 140, 1454-1464. 23. Manghani, H., Ram, J. P., & Rajasekar, N. (2018). An internet of things to maximum power point tracking approach of solar PV array. In Advances in Smart Grid and Renewable Energy (pp. 401-409). Springer, Singapore.

IoT-Based Smart Monitoring Scheme for PV  233 24. Bhattacharjee, S., & Nandi, C. (2019). Implementation of Industrial Internet of Things in the Renewable Energy Sector. In The Internet of Things in the Industrial Sector (pp. 223-259). Springer, Cham. 25. Chakraborty, S., Das, P., & Pal, S. (2020). IoT Foundations and Its Application. In IoT and Analytics for Agriculture (pp. 51-68). Springer, Singapore. 26. Sharma, V., & Tiwari, R. (2016). A review paper on “IOT” & It’s Smart Applications. International Journal of Science, Engineering and Technology Research (IJSETR), 5(2), 472-476. 27. Patil, S. M., Vijayalashmi, M., & Tapaskar, R. (2017, August). IoT based solar energy monitoring system. In 2017 International Conference on Energy, Communication, Data Analytics and Soft Computing (ICECDS) (pp. 1574-1579). IEEE. 28. Singh, G., & Manocha, A. K. (2020). A Study of Remote Monitoring Methods for Solar Energy System. In Recent Trends in Image and Signal Processing in Computer Vision (pp. 55-65). Springer, Singapore. 29. Kumar, N. M., Atluri, K., & Palaparthi, S. (2018, March). Internet of Things (IoT) in photovoltaic systems. In 2018 National Power Engineering Conference (NPEC) (pp. 1-4). IEEE.

13 Design of Low-Power Energy Harvesting System for Biomedical Devices Dr. R. Seyezhai* and S. Maheswari Department of Electrical & Electronics Engineering, Srisivasubramaniya Nadar College of Engineering, Kalavakkam, Tamilnadu

Abstract

This chapter is dedicated to design and analyze a reduced power energy garnering circuit with a mixture of inputs, namely, PV and vibration for biomedical devices. By using vibration sources, human movement can be converted into electrical energy. Because it is an Alternating Source (AC) source, it utilizes a rectifier for AC-DC conversion. The output of the PV is DC. The intermixture of vibration input and PV source is applied to the corresponding DC chopper. The projected chopper circuit in this work performs the boosting action resulting in anticipated load voltage. Hence, the configuration for stepping up the extremely low voltages is explored and is applied for energy harvesting systems. The topologies analyzed in this work are the PWM-based Synchronous Boost Converter, Single-Inductor, Dual Input Single Output (SI-DISO) configuration and Multi-source Boost Converter. In this work, inputs chosen are 2V (for vibration) and 2V (for PV). It has been stepped up to 5-6V. Basic studies and replication of the power circuit is accomplished by MATLAB. From the results, it is concluded that the multi-input step-up chopper results in desired conversion gain, reduced stress across switches, lower device count, better efficiency and lowered output voltage ripple in contrast to the other two topologies. A proof of concept model of 1W, 25 kHz of the recommended chopper is assembled, constructed, implemented and validated. Keywords:  PV, vibration, energy harvester, multi-input boost converter, efficiency and ripple

13.1 Introduction Low-power energy harvesting systems are in the forefront of technology in today’s world owing to the enormous development concerning low-power devices that do not require any replacement. A variety of sources like sunlight, thermal gradient and vibration are possible sources of potential energy harvest [1]. The power requirement of the load and the end user application plays an important in deciding the type of energy source. This necessitates the need for an additional energy management circuit. For biomedical applications which are low-power systems, batteries can effectively be replaced by micro-energy harvesters [2]. Studies undertaken in this domain suggest combining several sources to ensure continuous transfer of power to the load without interruption. *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (235–250) © 2021 Scrivener Publishing LLC

235

236  Electrical and Electronic Devices, Circuits, and Materials From literature review [3, 4], a low-power converter with hybrid sources is required for biomedical applications to achieve high efficiency. Most of the studies [5, 6] reveal that a thermal source is more compatible with other sources to solve the issues involved in having a single source. But this work proposes the combination of PV and vibration as hybrid sources, thereby overcoming the limitation of single source, and choice of an efficient low-power converter topology for PV and biomedical applications [7, 8]. Boost DC-DC converter topology is most suitable to achieve an optimum output value. But it has shortcomings such as increased voltage stress across the switches, limited duty cycle with low conversion gain [9, 10]. Therefore, this chapter focuses on three main topologies, namely PWM-based Synchronous Boost Converter, Single-Inductor, Dual Input Single Output (SI-DISO) Converter and Multi-Input Boost Converter–based system architecture for energy harvesting system. These circuits are studied, designed and simulated in MATLAB. The parameters that largely impact the performance of the converter are studied and examined. From the results, multi-input boost converter outperforms the other topologies in terms of stress, efficiency and output voltage ripple. A laboratory model of the converter circuit is built to validate the simulation results.

13.2 Investigation on Topologies of DC-DC Converter The architecture for boosting extremely low voltages is presented in this section which is suitable for energy harvesting application. The PWM-based Synchronous Boost Converter, SI-DISO Converter, Multi-Input Boost Converter is chosen for energy harvesting and these topologies are designed, simulated and compared. Modern sophisticated electronic systems require DC-DC power supplies of higher efficiency. Generally, DC chopper circuit is employed for this which has certain limitations [11]. To mitigate this problem and to improve the efficiency of power supplies, the freewheeling diode in the classical step-up converter is replaced with MOSFET, resulting in synchronous boost DC-DC converter. Two MOSFETs in the converter circuit are switched at the same frequency but they do not operate in parallel [12].

13.2.1 Hybrid Source Architecture Based on Synchronous Boost Converter Circuit diagram of the hybrid source system using synchronous boost power converter is depicted in Figure 13.1. Duty ratio influences the efficiency of the chopper in this configuration, whereas in classical boost chopper, efficiency is not much affected by duty cycle. For the duty ratio above 40%, synchronous boost circuit provides a higher efficiency compared to the classical step-up converter [13]. Synchronous boost converter operates majorly in twofold states. In state-1, MOSFET Q1 (low-side) is powered up and inductor (L) gets charged and inductor voltage is equal to the supply voltage. Then during mode-2, the switch Q1 is turned off and inductor current path is via the body diode of MOSFET Q2 (high-side) and the stored energy is fed to the load. Then the power switch Q2 turns on with Q1 in off state, thereby, inductor discharges through Q2 and the inductor current decays. The main advantage of synchronous topology is the power dissipation is inversely proportional with duty ratio, thereby at higher value of duty ratio, the efficiency of the converter is improved. The design steps of non-synchronous

Design of Low-Power Energy Harvesting System for Biomedical Devices  237 Rin + Vdc −

Q2

L

+ −

Q1 M1

C

R

M3

Vac

Cin M4

M2

Figure 13.1  Circuit diagram of hybrid source architecture based on synchronous boost converter.

boost holds good for synchronous boost converter [13]. The simulation parameters for synchronous boost converter is indicated in Table 13.1. With respect to the specifications highlighted in Table 13.1, the replication of the converter is implemented out in MATLAB. Desired load voltage and current of synchronous chopper circuit is outlined in Figure 13.2. From Figure 13.2, it is observed for a hybrid input voltage each of 2V, the output obtained is about 6.5V and output current of 0.15A.

13.2.2 Hybrid Source Architecture Using Single-Inductor Dual-Input Single-Output Converter Inferences from literature review of energy harvesting systems affirm that every individual source of energy is linked with only one output culminating in a single-input single-output power circuitry. A spike in the part count, configuration size and expenditure is observed for the increased number of energy sources. An effective way to resolve this issue is to focus on the single-inductor multiple-input single-output (SI-MISO) chopper [14]. This Table 13.1  Design parameters of power converter topologies. Factors

Attributes

Input Voltage

2V-Vibration& 2V–DC/PV

Output Voltage

5V–6V

Output Current Rating

200mA–500mA

Switching Frequency

25kHz

Power Rating

1–3W

Inductor L

530uH

CapacitorC

130uF

238  Electrical and Electronic Devices, Circuits, and Materials 6

Output Voltage (V) Output Current (A)

Amplitude

5 4 3 2 1 0 0

0.05

0.1

0.15

0.2

0.25 Time (sec)

0.35

0.3

0.4

0.45

0.5

Figure 13.2  Desired load voltage and current waveform of synchronous step-up converter.

configuration is equipped with the ability to integrate different energy sources while maintaining a low component count greatly supported by their topologies which effectively reduces the circuit dimension and expenditure. This section puts forth a hybridized system of PV/vibration focusing on a single-inductor dual-input single-output (SI-DISO) step-up chopper. Configuration of the hybrid source architecture employing SI-DISO step up chopper is displayed in Figure 13.3. SI-DISO step-up choppers can integrate two different sources and can effectively control the power sharing between the sources [15, 16]. This topology has a simple structure and the cost is less compared to multiple inductors but they suffer from a cross regulation problem. In SI-DSO architecture indicated in Figure 13.3, at the source, PV is linked to the SI-DISO step-up chopper by the capacitor C1 and the power device S1.Vdc and idc represents the photovoltaic (PV) voltage and current, respectively. Likewise, vibration input is linked to SI-DISO step-up chopper by the capacitor C2 and power device S2.Vac and iac denotes the vibration voltage and current. In the SI-DSO-based architecture, the power switches S1 and S2 isolate the two input source and prevent any contact and furthermore can also disconnect from the converter.

S1 Vdc + −

L

C1

S2 M1

D1 D

S D2

M3 C2

Vac M4

M2

Figure 13.3  Circuit diagram of hybrid source architecture based on SI-DISO step-up chopper.

C

R

Design of Low-Power Energy Harvesting System for Biomedical Devices  239 During every solitary phase, any one power source charges the inductor, whereas the other one charges the respective input capacitors (C1 and C2) without any loss in the developed energy. Hence, L and D are shared for multiple energy suppliers. The two energy input sources are linked to one of the terminal of the supply side inductor using bidirectional switches S1 and S2. These switches can be realized as two MOSFETs or other switch combination if the circuit has to be intended designed for large power application. The circuit functions in boost mode only with one input voltage at a time. Circuit operation is described in two modes. During mode-1, if the Vdc/PV source supplies the load, then the power device S1 and diode D1 is in conduction state and the circuit will perform the boosting action, thereby stepping the input voltage. In mode-2, if the vibration input Vac supplies the load, the power switches S2 and diode D2 is conducting and stepping up the input of 2V to 5.5V in Figure 13.3. The input voltage for this architecture is given by equation (13.1) [15, 16]

Vin = q1 Vdc + q2 Vac

(13.1)

Where q1 & q2 represents the control signal of S1 & S2, Vdc is the PV voltage and Vac is the magnitude of vibration source. As per the specifications highlighted in Table 13.1, the chopper circuit is investigated using MATLAB/SIMULINK. The voltage output and current of SI-DISO step-up chopper is depicted in Figure 13.4. From Figure 13.4, it is observed for a hybrid input voltage each of 2V, the output obtained is about 4.7V and output current of 0.09A.

13.2.3 Hybrid Source Architecture Employing a Multi-Input DC Chopper

Amplitude

A multiple input boosting chopper is recommended for alternate energy application where multiport structure enables simplicity and compactness. Less conversion stages and low component count prove to be the advantage of these multi-port structures in contrast to the traditional power processing solutions [17]. The operation of the multiport converter is largely dependent upon the Dickson charge pump [18]. Diode-capacitor voltage multiplication levels are intertwined through step-up stage at the input. These multiplication levels aid

4.5 4 3.5 3 2.5 2 1.5 1 0.5 0

Output Current (A) Output Voltage (V)

0

0.05

0.1

0.15

0.2

0.25 TIme (sec)

0.3

0.35

Figure 13.4  Voltage output and current waveform of SI-DSO step up chopper.

0.4

0.45

0.5

240  Electrical and Electronic Devices, Circuits, and Materials the boost stage to obtain a higher conversion gain. The conversion gain relies on the number of multiplication levels and mark space ratio of the source side stage [19]. A surge in the gain of the converter which relies on the actual count of voltage multiplication levels, result in an increase in the component count and circuit complexity. Hence, this chapter focuses on multi-input boost converter topology by eliminating the voltage multiplier (VM) stages for low voltage applications. This topology is investigated with two input sources and the applied source voltage is boosted offering a continuous input current and reduced voltage stress across the power semiconductor a device resulting in desired magnitude of the load voltage [20]. The circuit diagram of the architecture for energy harvesting based on multi-input step-up chopper is indicated in Figure 13.5. Circuit is discussed in three operating states. During state-1, both the main switches S1 and S2are conducting and both L1 and L2 get charged. The output diode Do is reverse-biased and the corresponding diagram for this mode is displayed in Figure 13.6. The output capacitor Co alone supplies the load. In state-2, S1 conducts and S2 is in blocking state. Now, output diode Do is conducting, the inductor current passes through Do and Co and the respective circuit for state-2 is pictured in Figure 13.7. For state-3 operation, the main switch S2 starts conducting with the output diode Do in on state and thereby the path of inductor current is through the diode Do and Co. For ‘N’ number of input sources or N-stage conversion, the even-digit numbered diodes will be powered up and the inductor current passes via the voltage multiplication capacitors charging the even-digit numbered capacitors and discharging the odd-digit numbered capacitors. The corresponding circuit for mode-3 is shown in Figure 13.8. In reference to the specification highlighted in Table 1, the circuit configuration is examined with the help of MATLAB. Figure 13.9 shows the gating pattern generated for the power semiconductor devices in architecture of hybrid source based on multi-input boost converter.

L1

Dout

+ Vdc −

S1

Cout

L2 M1

M3

S2 C1

Vac M4

M2

Figure 13.5  Circuit diagram of hybrid source architecture with multi-input step-up chopper.

R

Design of Low-Power Energy Harvesting System for Biomedical Devices  241 Dout

L1 + Vdc −

S1

Cout

R

L2 M1

M3

S2 C1

Vac M2

M4

Figure 13.6  Circuit of multi-input step-up chopper in state-1.

L1

Dout

+ Vdc −

S1

Cout

R

L2

M1

M3

S2 C1

Vac M4

M2

Figure 13.7  State-2 circuit of multi-input step-up chopper.

From Figure 13.10, it is observed for a hybrid input voltage each of 2V, the output obtained is about 6.2V and output current of 0.15A. For the above-discussed topology, the Vdc source is replaced by PV [21]. In the current scenario, photovoltaic (PV) based power generation systems are extensively used around the world and in remote areas where there is no access to grids. It is a boon to the countries where there is a fast depletion of non-renewable energy sources and it is expected to capture huge markets in many countries [22]. The PV power system comprises photovoltaic array and power electronic converters. The output power of the solar/photovoltaic module

242  Electrical and Electronic Devices, Circuits, and Materials Dout

L1 Vdc

S1

Cout

R

L2 M1

M3

S2 C1

Vac M2

M4

Amplitude, V

1

Amplitude, V

Figure 13.8  State-3 circuit of multi-input step-up chopper.

1

0.5 0 0

Sa 0.05

0.1

0.15

0.2

0.25 Time (seconds)

0.3

0.35

0.4

0.45

Amplitude, V

Amplitude, V

0.5 0 0

0.5

Sb 0.05

0.1

0.15

0.2

0.25 Time (seconds)

0.3

0.35

0.4

0.45

0.5

1 0.5 0 0

S1 0.05

0.1

0.15

0.2

0.25 Time (seconds)

0.3

0.35

0.4

0.45

0.5

1

0.5 0 0

S2 0.05

0.1

0.15

0.2

0.25 Time (seconds)

0.3

0.35

0.4

0.45

0.5

Figure 13.9  Generated PWM signals for power switch in multi-input boost converter architecture.

is impacted by parameters such as insolation, operating temperature and finally the configuration of array. Single-diode model of solar cell is considered and the PV array is modeled using MATLAB/Simulink [23–25]. One diode model is very straightforward and precise and its corresponding circuit is indicated in Figure 13.11. From Figure 13.11, Iph is the photocurrent, D is the parallel diode, Ish is the shunt current, Id is the diode current, Rs is the series resistance, Rsh is the shunt resistance, I is the output current and V denotes output voltage. The voltage and current relation of solar cell is given as



 q(V + IRs )  V + IRs I = I ph − I o e AKT − 1 − Rsh

(13.2)

Design of Low-Power Energy Harvesting System for Biomedical Devices  243 where A is the curve fitting factor, q is the electron charge (1.602 × 10^(-19)C), K is the Boltzmann constant (1.38 × 10^(-23) J/K ) and Io represents reverse saturation current. With reference to the data sheet values of PV enumerated in Table 13.2, the PV source is modeled. Simulink sketch of it is indicated in Figure 13.12. The characteristic of PV panel is presented in Figure 13.13 with PV output power of 1W. For getting maximum power from the photovoltaic panel, several tracking methods [26] are employed as PV depends on the irradiation from the sun. For this work, the perturb and observe method [27–29] is explored for obtaining highest power from the PV. The 7 6 Amplitude

5

Output Current (A) Output Voltage (V)

4 3 2 1 0 0

0.05

0.1

0.15

0.2

0.25 0.3 Time (sec)

0.35

0.4

Figure 13.10  Load voltage and current waveforms of multi-input step-up chopper. I Id Iph

Ish

Rs

+ V

Rsh D



Figure 13.11  One-diode model of solar cell.

Table 13.2  PV panel data sheet values. Simulation parameters

Values

Open circuit voltage (VOC)

2.4V

Short circuit current (ISC)

0.55A

Diode ideality factor

1.3

Temperature

25 °C

Irradiance

1000W/m2

Temperature coefficient

0.00035A/°C

Power

1W

0.45

0.5

244  Electrical and Electronic Devices, Circuits, and Materials Continuous powergui +

V +

+ 1 G

Irradiance(p.u) W/m2) 25+273.15

+v −

G s -

G

Scope Top

Temperature_op 0.18 Rs

Rs

380.002

Rp

1.936

Rp 1.36

n

n PV panel

Figure 13.12  PV equivalent circuit in MATLAB/SIMULINK. V-I curve of solar cell

1 0.9 current

0.8 0.7 0.6 0.5 0.4 0.3 0.2

0

0.5

1

voltage

1.5

2

2.5

Figure 13.13  V-I Characteristics of PV.

mark space ratio of the chopper circuit is adjusted and the output of the maximum power tracking regulator produces the modulating signal for generating switching sequence to the chopper circuit. This is shown in Figure 13.14. Output voltage and current waveform of hybrid source architecture with PV interfaced with multi-input step up chopper is exhibited in Figure 13.15. From Figure 13.15, it is observed for a hybrid input voltage each of 2V, the output obtained is about 6.5V and output current of 0.18A. The comparison of PWM-based synchronous boost converter, SI-DISO converter and Multi-input boost converter is calculated based on efficiency, control, conversion, cost, output voltage and current ripple. The comparison of these topologies is highlighted in Table 13.3. From Table 13.3, the performance parameters for all the three architecture for energy harvesting system is computed, and from the results, it is inferred that the multi-input

Design of Low-Power Energy Harvesting System for Biomedical Devices  245

Amplitude (Conv-Switch1)

1 0.8 0.6 0.4 0.2 0 4

4.5

5

5.5

6

6.5 Time (sec)

7

7.5

8

8.5 ×10−5

4

4.5

5

5.5

6

6.5

7

7.5

8

8.5 ×10−5

Amplitude (Conv-Switch2)

1 0.8 0.6 0.4 0.2 0

0.2 0.15 0.1 0.05 0 0

Output Votage (V)

Output Current (A)

Figure 13.14  Generated gating pulses for power switch in chopper circuit with PV.

8 6 4 2 0 0

0.05

0.1

0.15

0.2

0.25 Time (sec)

0.3

0.35

0.4

0.45

0.5

0.05

0.1

0.15

0.2

0.25 Time (sec)

0.3

0.35

0.4

0.45

0.5

Figure 13.15  Output voltage and current waveform of PV interfaced multi-input step-up chopper.

Table 13.3  Comparative study on performance parameters. Topology

Efficiency

Conversion gain

Cost

∆V

∆IO

Multi Input Boost Converter

90.75%

0.5

Moderate

0.5%

0.35%

SI-DISO Converter

42.1%

0.67

High

1.1.%

0.75%

PWM Based Synchronous Boost Converter

85.307%

0.67

Moderate

0.25%

0.45%

246  Electrical and Electronic Devices, Circuits, and Materials boost converter results in improved efficiency, lesser output voltage and output current ripple, better conversion gain and cost effective in contrast to the other two DC-DC converter topologies. Hence, multi-input boost converter suits well for energy harvesting applications.

13.3 Hardware Results A hardware prototype of hybrid source architecture with multi-input step-up chopper is built to validate the simulation results. For this, a vibration input which is an AC signal is applied to the single-phase bridge rectifier and the inputs chosen are 2V (for vibration). A 230V AC signal is stepped down to 2V using transformer; this 2V has been rectified and converted into DC output using the MOSFET-based bridge rectifier. Then, it has been boosted to 5-6V using multi-input boost converter. A hardware prototype of hybrid source architecture based on multi-input boost converter is built using power MOSFETS as the semiconductor device for boost converter and IGBT for the input side rectifier. Gating pulse for the front end rectifier is generated using Arduino processor and it is displayed in Figure 13.16. Tek

Stop

M Pos: 688.0 µs

SAVE/REC Action Save image

1+

2+

File Format JPEG About Saving Images Select Folder Save Tek0000.JPG

Figure 13.16  Switching pattern for the front-end rectifier.

Figure 13.17  Output voltage waveform of front-end rectifier.

Design of Low-Power Energy Harvesting System for Biomedical Devices  247

Figure 13.18  Hardware setup of hybrid source architecture with multi-input step-up chopper.

Figure 13.16 shows the switching signals generated for the PWM rectifier at a frequency of 25 kHz and Figure 13.17 depicts the output of rectifier. Figure 13.18 illustrates the hardware setup of the multi-input step-up converter architecture and output voltage of 6.08V is obtained and the simulation results are verified experimentally.

13.4 Conclusion A multi-input boost converter has been investigated in this chapter for energy harvesting system with PV and vibration as hybrid sources. The proposed topology achieved a low voltage gain of 0.5, where an input of 2V is boosted to 6V. Compared to the classical DC-DC converters, the projected DC-DC converter provided high efficiency, reduced ripple and moderate cost. Since the topology discussed in this work is a multi-port converter, various input sources of energy can be coupled and division of power can be accomplished appropriately. MPPT algorithms can be realized for DC source port. The DC-DC converter finds its application in the integration of solar panel and vibration input onto the biomedical devices. Hardware prototype of 1W, 25 kHz of the power converter circuit was implemented and the results are validated. Therefore, the architecture discussed in this work based on multi-input step-up chopper is a suitable topology for energy garnering system employed for biomedical applications.

References 1. K. S. Krishna and K. S. Kumar; A review on hybrid renewable energy systems. Renewable and Sustainable Energy Reviews, 2015, vol. 52, no. 31, pp. 907-16. 2. Bai, Y., Jantunen, H., and Juuti, J.; Energy harvesting research: the road from single source to multisource. Adv. Mater. 30:1707271. doi: 10.1002/adma.201707271.

248  Electrical and Electronic Devices, Circuits, and Materials 3. D. Mitcheson; T.C. Green; E.M. Yeatman; A.S. Holmes; Architectures for vibration-driven micro power generators. Journal of Microelectromechanical Systems, 2004, vol. 13, no. 3, pp. 429-440. 4. H. Glosch; et al. A thermoelectric converter for energy supply, Sensors and Actuators, 1999, vol. 74, pp. 246-250. 5. Bandyopadhyay, S.; Chandrakasan, A.P.; Platform Architecture for Solar, Thermal, and Vibration Energy Combining With MPPT and Single Inductor. IEEE J. Solid-State Circuits 2012, 47, 2199–2215. 6. Mohamad, Tengku; Mohamad, Tengku; Sampe Jahariah; Islam Md; Berhanuddin Dilla.; Architecture of Micro Energy Harvesting Using Hybrid Input of RF, Thermal and Vibration for Semi-Active RFID Tag. Engineering Journal, 2017, 21. pp. 183-197. 7. A.Rachelli; S. Comensoli; and Z. M. Kovacs-Vajna; A dc/dc boosting Technique and power management for ultra - low voltage energy harvesting applications. IEEE Trans. Ind. Electron., 2012, vol. 59, no. 6, pp: 2701-2708. 8. A. Richelli; L. Colalongo; S. Tonoli and Z. M. KovÁcs-Vajna.; A 0.2-1.2 V DC/DC Boost Converter for Power Harvesting Applications. IEEE Trans. Power Electronics, vol. 24, no. 6, pp. 1541-1546, June 2009. 9. M. Tanaka; P. Hemthavy; and K. Takahashi.; Optimization of control parameters of a boost converter for energy harvesting. Journal of Physics: Conference Series, vol. 379, p. 012022, Aug. 2012. 10. R. Dayal; S. Dwari; and L. Parsa.; Design and implementation of a direct ac/dc boost converter for low-voltage energy harvesting. IEEE Trans. Ind. Electron., vol. 58, no. 6, pp. 2387-2396, Jun. 2011. 11. A. Chini and F. Soci.;Boost-converter-based solar harvester for low power applications. Electronics Letters, vol. 46, no. 4, pp. 296-298, 2010. 12. A. Sferlazza; C. Albea-Sanchez; L. Martínez-Salamero; G. García and C. Alonso.; Min-Type Control Strategy of a DC–DC Synchronous Boost Converter. IEEE Trans. Industrial Electronics, vol. 67, no. 4, pp. 3167-3179, April 2020. 13. Steve Mappus, “Predictive Gate Drive Boosts Synchronous DC/DC Power Converter Efficiency”, Power Supply Control Systems, June 2014. 14. B.Wang; V. R. K. Kanamarlapudi; L. Xian; X. Peng; K. T. Tan; and P. L. So; Model predictive voltage control for single - inductor multiple output DC–DC converter with reduced cross regulation. IEEE Trans. Industrial Electronics, 2016, vol. 63, no. 7, pp. 4187-4197. 15. B.Wang; L. Xian; V. R. K. Kanamarlapudi; K. J. Tseng; A. Ukil; and H. B. Gooi; A digital method of power sharing and cross regulation suppression for single-inductor multiple-input ­multiple-output DC-DC converter. IEEE Trans. Ind. Electron., 2017. 16. D. Kwon and G. A. Rincon-Mora.;Single-Inductor–Multiple-Output Switching DC–DC Converters. IEEE Trans. Circuits and Systems II: Express Briefs, vol. 56, no. 8, pp. 614-618, Aug. 2009. 17. H. Matsuo; L. Wenzhong; F. Kurokawa; T. Shigemizu; and N. Watanabe.; Characteristics of the multiple-input dc–dc converter. IEEE Trans. Ind. Electron., vol. 51, no. 3, pp. 625-631, Jun. 2004. 18. B.Shi, B.; Miller,K.; Mayaram and T. Fiez;, A multiple-input boost converter for low - power energy harvesting; IEEE Trans. Circuits and Systems II: Express Briefs, 2011, vol. 58, no. 12, pp. 827- 831. 19. Khaligh;, J. Cao; and Y. J. Lee.; A multiple-input DC–DC converter topology. IEEE Trans. Power Electron, 2009, vol. 24, no. 3, pp. 862-868. 20. B. G. Dobbs and P. L. Chapman.;A multiple-input dc–dc converter. IEEE Power Electron Lett., vol. 1, no. 1, pp. 6-9, Mar. 2003.

Design of Low-Power Energy Harvesting System for Biomedical Devices  249 21. Ding, K; Bian X ;Liu H; and Peng T.; A MATLAB-simulink-based PV module model and its application under conditions of nonuniform irradiance. IEEE Transactions on Energy Conversion, 2012, vol. 27, issue 4, pp. 864-872. 22. Salmi T; Bouzguenda, M; Gastli A; Masmoudi A ;Matlab/simulink based modeling of photovoltaic cell. International Journal of Renewable Energy Research, 2012, vol. 2, no. 2, pp. 213-218. 23. Shongwe S; and Hanif M.; Comparative analysis of different single-diode PV modeling methods. IEEE Journal of Photovoltaics, 2015, vol. 5, issue 3, pp. 938-946. 24. Tsai Huan-Liang.;Insolation-oriented model of photovoltaic module using Matlab/simulink. Sol Energy 2010;84(7), pp. 1318-26. 25. Saloux Etienne; Teyssedou Alberto; Sorin Mikhaïl.; Explicit model of photovoltaic panels to determine voltages and currents at the maximum power point. Sol Energy 2011;85(5), pp. 713-22. 26. B. Subudhi and R. Pradhan.; A comparative study on maximum power point tracking techniques for photovoltaic power systems. IEEE Trans. Sustain. Energy, 2013, vol. 4, no. 1, pp. 89-98. 27. W. Leedy and K. E. Garcia.; Approximation of P-V characteristic curves for use in maximum power point tracking algorithms. 45th Southeastern Symposium System Theory (SSST) (2013), pp. 88–93. 28. H. P. Desai and H. K. Patel.; Maximum power point algorithm in PV generation: An overview. 7th International Conference on Power Electronics and Drive Systems PEDS (2007), pp. 624–630. 29. M. Bodur and M. Ermis.; Maximum power point tracking for low power photovoltaic solar panels. 7th Mediterranean Conference on Electrotechnical (1994), Vol. 2, pp. 758–761.

14 Performance Analysis of Some New Hybrid Metaheuristic Algorithms for HighDimensional Optimization Problems Souvik Ganguli1*, Gagandeep Kaur1 and Prasanta Sarkar2 Department of Electrical & Instrumentation Engineering, Thapar Institute of Engineering & Technology, Patiala, Punjab, India 2 Department of Electrical Engineering, National Institute of Technical Teachers’ Training and Research, Kolkata, West Bengal, India 1

Abstract

The chapter tests the performance of five global metaheuristic algorithms based on firefly optimization technique to obtain the solution of some high-dimensional benchmark problems without constraints. Firefly algorithm (FA) has been profitably compounded with several famous metaheuristic algorithms to present these excellent performing computation algorithms. Quite a sufficient number of benchmark test functions are considered to justify the effectiveness of the suggested techniques. The results collected are set side by side with the parent metaheuristic techniques. Furthermore, some of the standard and most recent heuristics reported in the literature are also compared. The outcomes of the experiments show a strong promise with regard to the consistency of the solutions and the level of convergence relative to the existing algorithms. A common non-parametric test, namely Wilcoxon’s test with the inclusion of Holm-Bonferroni corrections, further confirms the validity of the results obtained. The chapter also discusses the limitations of the proposed topologies and provides some directions of research ahead with the help of hybrid firefly techniques. Keywords:  High-dimensional optimization problems, metaheuristic algorithms, hybrid firefly algorithms

14.1 Introduction Issues of optimization are of paramount importance for industrial and scientific communities in a variety of disciplines. There are numerous problems in optimization with factors, like high nonlinearity and multimodality, whose solutions are generally complicated. Besides, many complex optimization problems either pose noise or discontinuities that render conventional deterministic methods ineffective for achieving comprehensive solutions, whereas metaheuristics-based global optimization approaches bestow a feasible *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (251–284) © 2021 Scrivener Publishing LLC

251

252  Electrical and Electronic Devices, Circuits, and Materials substitute to solve such complicated optimization issues and do not need any prior knowledge of the fitness function [1]. Metaheuristic algorithms are now emerging as widely attractive among researchers owing to their success in finding the optimum and faithfulness to solve real-time interesting problems. They are commonly used in science and engineering, medicine, economics, and even in management to solve various complex problems. Metaheuristic algorithms have four essential attributes as described below: • They are driven by natural or physical phenomena. • They constitute a stochastic approach where there is no guarantee of an optimum solution and it is searched randomly in a feasible field. • They do not need to find the derivative to obtain the solution. • They are always correlated at the initial stage with specific user-defined values to operate correctly [2]. Quite a large number of nature-inspired techniques like Genetic Algorithm (GA), Particle Swarm Optimization (PSO), Differential Evolution (DE), Artificial Bee Colony (ABC) optimisation, Bacterial Foraging Algorithm (BFA), Harmony Search (HS), etc., are in vogue to find suitable search space regions without getting stuck to local optima. Such algorithms, however, also show undesirably sluggish convergence rates when applied individually owing to random pursuit, notably near-global optimum. Thus, a mix of two heterogeneous techniques that can not only profit from the advantages of the parent algorithms but also can minimise their faults are currently being pursued [3]. Firefly algorithm (FA) is one of the standard metaheuristic methods encouraged by flickering actions of some tropical insects like fireflies [4]. The algorithm has undergone several improvements and has been implemented in various disciplines by several researchers. Hybridization with other algorithms is one of the standard modifications suggested in the literature of any metaheuristic algorithm. In this aspect, FA is no exception. ACO [1], GA [5], PSO [6], DE [7] and other algorithms have successfully combined FA. FA’s strength in hybridising with different algorithms is found suitable in both global and local search. While the hybrid variations of the firefly technique exist in the current literature, there is still room for the proposition of some novel hybrid algorithms integrated with FA. A nature-inspired methodology termed as the Bacterial Foraging Algorithm (BFA) [8] depending upon the nutrient hunt pattern of E.Coli bacteria, deteriorate in quality due of untimely convergence. Thus, a fusion of BFA and FA may provide a good remedy. Flower pollination algorithm (FPA) [4] with dynamism in switch probability varying with current and total number of iterations can thus be combined with the firefly technique to develop a new hybrid configuration. Also, the pattern search (PS) technique [9] considered to be a suitable nominee to provide excellent exploitation features [10, 11] can be used as a hybrid combination with FA. In the literature, hybridization of the grey wolf optimizer (GWO) [12] with FA has been lacking and can be checked out. It is also an established fact that chaos is an essential element in improving any metaheuristic algorithm’s performance [13]. Thus, it is also possible to hybridize chaotic firefly algorithms (CFA) with GWO to provide improved convergence characteristics and better accuracy measures when compared to each algorithm considered individually. Making use of fewer fixed parameters in the algorithm is the prime motto of these proposed hybrid techniques. Another speciality of this

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  253 chapter will be to test these algorithms on some higher dimensional optimization problems as well. Also, these algorithms are evaluated with a competitive function evaluations number and contrasted with some metaheuristic algorithms reported recently [14–24]. The rest of the chapter is divided into the following subsections. In Section 2 various hybrid topologies with firefly algorithms are explained. Section 3 contains the experiments and their results. Some future scope of work is deliberated in Section 4. Eventually, in Section 5, the key findings are discussed.

14.2 An Overview of Proposed Hybrid Methodologies The main goal of hybridizing two or more distinct algorithms is to build upgraded performance models that incorporate the strengths of the individual algorithms. In [25], a terminology was proposed by Talbi for integrated metaheuristic methods in which two high-level or low-level algorithms can be hybridized as homogeneous or heterogeneous with a relay or co-evolutionary method [25]. The hybrid techniques mentioned in this book chapter are relay type low-level having heterogeneity. In the proposed approaches, one parent algorithm hands over the baton to the other. Thus, the hybrid algorithms present a relay-type topology. Both the individual algorithms maintain their own identities within the hybrid system. Hence, the proposed topologies are designated as low-level hybrids. Two different methods are connected in all the hybrid propositions to return the desired outcomes. The hybrid methods are thus heterogeneous in nature. Figure 14.1 generalizes the flowchart of the hybrid architecture discussed in this chapter. In Figure 14.1, Algorithm-1 performs diversification of the entire search space while Algorithm-2 carries out intensification to obtain the best local solution. Thus, the benefit of each algorithm is being made use of in the hybrid topology. However, the results of these algorithms are mostly dependent on parameter setting and selection of termination criteria. The main reason behind this amalgamation is to resolve the drawbacks of the single optimization algorithm and to achieve an enhanced rendition. In addition, it is also required to obtain the vigour of the suggested method to get the best result within the time provided and, ultimately, to effectively harmonize both diversification and intensification. Those two mechanisms are utilized to investigate the new likely outcomes and strengthen the existing method to make it more praiseworthy. A fusion of BFA and FA was developed by Ganguli et al. [26] to identify the parameters of Hammerstein and Wiener models using the unified delta operator approach. The literature has shown that the well-known firefly technique can automatically subdivide the whole community of search agents into manifold subgroups of varying light intensity in terms of the attraction mechanism. In addition, FA can also escape local minima due to long-distance mobility through the Lévy flight. This advantage apparently illustrates that the algorithm has acceptable exploration features. Thus, hybridizing of firefly and bacterial foraging algorithms was accomplished in two parts. At the initial phase, FA carried out the speculative quest to dig into the complete inspection area, whereas BFA was used to adjust the consistency of the solution to the problem of optimization. The technique suggested was called as the hybrid firefly algorithm, denoted by HFA. A brand-new hybrid topology called the FAFPA algorithm was devised by combining FA with FPA to solve very few unconstrained lower-dimensional optimization problems [27].

254  Electrical and Electronic Devices, Circuits, and Materials Initialize population

Algorithm - 1

Algorithm - 2

No

Stopping Criteria

Yes Optimal solution

Figure 14.1  Flow diagram of proposed hybrid methods.

By employing FA for exploration and FPA for exploitation, the balance between diversification and intensification was achieved in this approach. This algorithm used the advantages of both firefly and flower pollination approaches successfully avoiding their drawbacks. In the hybrid proposition coined as FAFPA, a collection of random operators initialized the search process with the firefly method. For nearly 50% of the iterations, the calculation proceeded with the FA to detect the overall optimum location in the entire search domain. As the initial starting point for FPA, the best solution obtained via FA was taken, and then the search process was shifted to FPA to step up the confluence towards the final optimal solution. In the hybrid algorithm, the switch mechanism of the flower pollination algorithm was made adaptive using the formula [28]:



 l  pnew = p2 − ( p2 − p1 ) ×   Maxiters 

(14.1)

where p2 and p1 represent respectively the maximum and minimum values of the switch operator. The assumed values of 0.9 and 0.4 are considered for p1 and p2 respectively during the present investigation. Further, ‘Maxiters’ stands for the total number of iterations while ‘l’ denotes the present iteration number. Another hybrid technique called the FAPS algorithm has been developed by the authors that combines firefly technique with pattern search to reduce higher-order continuous-time system [29]. The parity between diversification and intensification was attained in this

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  255 technique by employing FA globally to carry out diversification whereas pattern search performed local search to execute intensification functionality. The hybrid approach not only used the advantages of FA and PS approaches correctly but also minimized their limitations. In FAPS, a bunch of random agents initialized the search with FA. For half the number of iterations, the calculation proceeded with FA to look for the best global location in the search domain. The solution key obtained by FA was considered the commencing point for the pattern search algorithm. Then the search process was moved towards the pattern search algorithm in order to quicken the convergence globally. Thus, the fusion technique found an optimum faster and with greater reliability. The blend of GWO and FA for model reduction of linear time-invariant systems in the unified delta domain [30] also presented a new hybrid algorithm, called FAGWO. The balance between exploration and exploitation was established by applying FA playing the role as a global optimizer whereas grey wolf algorithm conducted local search to reveal exploitation functionality. The quest commenced with the firefly method with the help of initialization by a class of agents selected randomly. The computing continued for 50% of the total of number of iterations to attain the best position globally in the specified domain of search volume. The outcome of FA was thereupon considered as the initial count of GWO. The mechanism of search was then switched to GWO to hasten the convergence towards the optimum globally. In this way, the integrated method was able to obtain an optimum correctly. Another novel hybrid algorithm was also introduced to identify two widely accepted identification models, viz. Hammerstein and Wiener in the delta operator framework incorporating GWO with CFA [31]. The hybridization took place in two stages. First of all, GWO was employed to achieve the diversification property of the algorithm. In this stage, GWO discovered the optimal solution in the total search arena. Moreover, using the swarm behaviour of the firefly method powered by the iterative chaotic map, this algorithm’s dominance in searching for the optimal solution had been improved. FA had a demerit to be stuck in a number of local optimums. However, since the parameters used are set and do not change with iterations, FA could not get out of local search phase. Therefore, an effort was made to use iterative chaotic map to modify the algorithm parameters. On another front, GWO additionally suffered from the drawbacks of untimely convergence and sometimes got stuck at the local minimum. A hybrid algorithm known as GWOCFA would thus solve these demerits of the grey wolf and firefly algorithms. The harmony amidst exploration and exploitation was enacted by employing GWO globally in the total search space, while chaotic firefly supported regional search to dish out the exploitation characteristics. A collection of random agents was initialized with the aid of GWO, thereby beginning the search event. The computation continued for half the total iterations to examine the best location globally in the complete search space. The solving done by GWO was thus well chosen as the origin mark for CFA. The search technique then shifted to chaotic firefly technique to expedite the confluence to the universal optimum. Hence, the amalgam between CFA and GWO found an optimum meticulously. The algorithm parameters of FA viz. are β and γ had been made flexible using a one-dimensional chaos, namely iterative map [13] defined by



 π .a  x k+1 = sin   x k 

where a ∈ (0, 1) is a suitable parameter.

(14.2)

256  Electrical and Electronic Devices, Circuits, and Materials

14.3 Experimental Results and Discussion Gauging the output of any nature-inspired or some physical phenomenon-based metaheuristic algorithms using a group of objectives in terms of mathematical terms with acknowledged global optima is a common practice. In this research, nearly 20 benchmark test functions categorized as unimodal, multi-modal and fixed dimensional multi-modal with multiple optimum peaks are taken up for the purpose of evaluation. Though these test functions do not unquestionably provide a meticulous gesture of the achievement of the computing algorithms to handle real-time problems, they may be employed to analyse some facets of the algorithms under deliberation. The test problems considered are classified into three broad categories: unimodal, multi-modal and fixed dimensional multi-modal. Unimodal test functions designated as F1-F5 in this chapter are useful for investigating the exploitation capability of an algorithm because they are characterised by only a single global optimum but having no local optima. As opposed to the unimodal test functions, multimodal functions marked by F6-F10 have a significant count of local optima. Thus, these test functions are suitable to check the exploration property and local optima evasion of the algorithms. The fixed dimensional multi-modal functions, referred as F11-F20 also serve the same purpose as multi-modal functions. The details of the test functions are compiled in Table 14.1. The mathematical description of these test problems and their properties can also be explored from [32]. All the functions considered in this work are minimization problems. Table 14.1 indicates that a hundred decision variables are considered to assess each unimodal (F1-F5) and multi-modal (F6-F10) test functions in order to increase the level of optimization based on the difficulty level. Fixed-dimensional multi-modal test problems (F11-F20), as the name indicates are having fixed dimension. The number of search agents in each algorithm is set as 30 in all the experiments, whereas the total number of iterations is considered to be 500. Therefore, the number of function evaluations commonly denoted by NFEs taking place is (30 × 500 = 15000) for each of the test functions. This is given due consideration to make the comparison even more competitive. The regular parameter values of all the algorithms viz. the parent, proposed, and the heuristics used for comparison have been considered for this study. Since metaheuristic algorithms are stochastic systems, to produce useful statistical results, they must be run at least ten times. Therefore, 20 test runs are given to each of these algorithms for the test functions F1-F10 while 50 test runs are considered for the test problems denoted by F11-F20. Further, the results obtained in each test run are normalized using the formula



Normalized value =

( Present value − Minimum value ) ( Maximum value − Minimum value )

(14.3)

This generates all the results between 0 and 1, 0 being the least value while 1 being the worst value. The normalized statistical measurements (mean and standard deviation) of the benchmark functions to be optimized for each and every algorithm are shown in Table 14.2. In addition to this, the minimum and maximum values (normalized) for all the algorithms are also shown in this table. The results of the proposed methods are then contrasted with

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  257 Table 14.1  Unimodal and multimodal benchmark problems and their descriptions. Test functions

Name of functions

Dim

Search domain

fmin

F1

Sphere

100

[-100, 100]

0

F2

Schwefel 2.22

100

[-10,10]

0

F3

Schwefel 2.21

100

[-100,100]

0

F4

Rosenbrock

100

[-30,30]

0

F5

Step

100

[-100,100]

0

F6

Rastrigin

100

[-5.12,5.12]

0

F7

Ackley

100

[-32,32]

0

F8

Griewank

100

[-600,600]

0

F9

Penalized-1

100

[-50,50]

0

F10

Penalized-2

100

[-50,50]

0

F11

Foxholes

2

[-65.536, 65.536]

1

F12

Kowalik

4

[-5, 5]

0

F13

Six Hump Camel

2

[-5,5]

-1.0316

F14

Branin

2

[-5, 15]

0.3979

F15

GoldStein-Price

2

[-2, 2]

3

F16

Hartman 3

3

[0, 1]

-3.8626

F17

Hartman 6

6

[0, 1]

-3.3220

F18

Shekel 5

4

[0, 10]

-10.1532

F19

Shekel 7

4

[0, 10]

-10.4029

F20

Shekel 10

4

[0, 10]

-10.5364

the original and a host of standard and latest heuristics as per literature records. The best outcomes of each column are highlighted by bold letters. The techniques HFA, FAFPA, FAPS, FAGWO and GWOCFA discussed in section 3 are renamed as Hybrid-1 to Hybrid-5 in this Table. A comparison with 18 different techniques has been carried out to prove the efficacy of the suggested methods. It is evident from Table 14.2 that the suggested hybrid firefly methods frequently surpass several illustrious algorithms. Further, they also perform better than the algorithms that they are constituted of. The graph between fmin and the Maxiters is plotted in Figure 14.2 to check the convergence speed as well as the accuracy of the hybrid methods over a handful of methods. A few selected convergence plots of the test functions F1-F10 are shown in the Figure. As the test functions F11-F20 reach nearly close to the optimum values with almost the algorithms, hence their convergence plots are not shown. Since the normalised results

258  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. Test functions

Algorithms

Minimum

Maximum

Mean

Std. deviation

F1

Hybrid-1

1.3415E-20

1.3166E-20

1.3249E-20

2.3645E-20

Hybrid-2

2.0946E-12

1.6545E-12

2.0144E-12

2.8370E-12

Hybrid-3

4.5504E-19

8.4125E-19

7.3961E-19

3.3396E-18

Hybrid-4

3.7339E-27

2.4480E-26

1.3282E-26

1.0500E-25

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

5.9410E-02

6.2746E-02

6.9453E-02

1.3660E-01

[4]

1.2883E-11

1.5674E-11

1.4897E-11

3.0761E-11

[8]

1.1249E-04

7.8394E-04

3.1425E-04

2.6308E-03

[33]

1.0000

1.0000

1.0000

1.0000

[12]

5.8694E-19

3.7575E-18

2.1775E-18

1.5036E-17

[34]

6.3670E-05

6.0859E-04

2.7441E-04

2.2200E-03

[35]

3.2652E-03

2.9666E-03

3.2948E-03

4.2530E-03

[36]

5.1149E-02

4.1907E-02

5.1942E-02

4.4561E-02

[14]

2.6923E-02

6.8933E-02

5.4578E-02

2.5741E-01

[17]

2.2605E-03

9.0157E-03

7.2925E-03

4.0665E-02

[15]

8.8881E-02

1.1738E-01

1.1217E-01

3.2438E-01

[16]

4.8416E-03

1.3524E-02

8.3928E-03

5.3977E-02

[18]

1.7937E-03

6.5636E-02

4.0292E-02

2.2127E-01

[19]

2.0631E-04

2.6135E-04

2.8539E-04

5.3031E-04

[20]

4.6750E-03

3.0293E-02

1.4741E-02

1.1642E-01

[22]

1.8487E-02

2.4155E-02

2.2415E-02

4.6236E-02

[23]

1.9190E-03

2.7501E-03

2.6215E-03

6.3820E-03

[21]

5.2710E-03

1.4152E-02

9.9269E-03

4.8651E-02

Hybrid-1

1.4593E-89

1.4571E-91

1.3600E-90

2.4484E-91

Hybrid-2

1.0961E-88

8.8073E-91

9.4294E-90

7.1603E-91

Hybrid-3

5.8696E-89

9.5049E-91

7.8299E-90

4.7382E-90

Hybrid-4

9.5064E-94

2.4559E-95

1.6456E-94

1.1686E-94

Hybrid-5

0.0000

0.0000

0.0000

0.0000

F2

(Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  259 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F3

Algorithms

Minimum

Maximum

Mean

Std. deviation

[24]

5.0070E-80

1.7029E-69

9.5650E-70

9.5649E-69

[4]

3.7837E-85

3.4220E-87

3.4783E-86

4.1664E-87

[8]

1.5912E-81

2.9982E-83

2.4755E-82

1.3784E-82

[33]

1.0000

1.0000

1.0000

1.0000

[12]

4.9494E-90

1.3406E-91

8.1061E-91

5.9502E-91

[34]

2.0375E-81

3.4956E-83

2.6088E-82

1.4179E-82

[35]

5.2174E-81

6.2824E-83

5.8716E-82

1.5557E-82

[36]

1.4409E-80

1.4578E-82

1.4889E-81

2.3895E-82

[14]

2.9279E-88

9.1839E-90

6.6673E-89

6.4947E-89

[17]

3.2183E-88

1.2607E-89

6.0582E-89

1.0668E-88

[15]

3.7356E-80

5.1076E-82

4.5165E-81

1.5323E-81

[16]

1.5336E-80

2.4215E-76

1.3884E-76

1.3590E-75

[18]

9.3145E-81

3.6783E-82

1.5727E-81

1.5699E-81

[19]

7.4277E-80

9.7085E-63

8.6889E-63

6.1054E-62

[20]

1.2606E-82

3.1472E-83

1.3642E-82

2.2901E-82

[22]

1.8386E-80

3.1398E-82

1.9677E-81

1.1751E-81

[23]

8.2857E-81

8.6814E-83

8.1457E-82

1.6722E-82

[21]

2.1313E-87

1.5307E-88

1.1259E-88

8.5767E-89

Hybrid-1

6.8066E-06

8.0453E-06

8.1618E-06

4.8555E-06

Hybrid-2

1.1165E-04

1.1396E-04

1.2134E-04

3.9988E-05

Hybrid-3

1.8461E-04

5.7767E-04

4.4073E-04

1.3050E-03

Hybrid-4

4.5826E-08

8.8565E-07

3.0214E-07

1.6430E-06

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

8.4835E-01

9.2035E-01

9.4843E-01

5.8423E-01

[4]

6.9698E-01

9.2065E-01

8.9442E-01

6.9007E-01

[8]

2.0700E-01

2.8384E-01

2.6412E-01

2.2771E-01

[33]

7.5192E-01

1.0000

9.1831E-01

1.0000

[12]

2.7371E-03

2.0262E-02

9.1581E-03

4.1606E-02 (Continued)

260  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F4

Algorithms

Minimum

Maximum

Mean

Std. deviation

[34]

2.3139E-01

2.8326E-01

2.6012E-01

2.1612E-01

[35]

9.4244E-01

8.8182E-01

9.5518E-01

1.8587E-01

[36]

7.1568E-01

6.5239E-01

7.1189E-01

1.0935E-01

[14]

4.6906E-01

4.9309E-01

5.1636E-01

2.3879E-01

[17]

1.1275E-04

8.5608E-04

4.0560E-04

2.0929E-03

[15]

1.0000

9.1680E-01

1.0000

1.3652E-01

[16]

2.8876E-01

4.4693E-01

3.8029E-01

5.1704E-01

[18]

4.9974E-01

6.5671E-01

6.0172E-01

5.1321E-01

[19]

5.5295E-01

6.8363E-01

6.2758E-01

4.2757E-01

[20]

9.5866E-01

9.0078E-01

9.6117E-01

1.4891E-01

[22]

2.6426E-01

3.3745E-01

3.0534E-01

2.5930E-01

[23]

2.4274E-01

3.0709E-01

2.8741E-01

2.4346E-01

[21]

7.6311E-01

9.2420E-01

9.2050E-01

6.9834E-01

Hybrid-1

1.0969E-06

8.9089E-07

4.6226E-08

9.2925E-08

Hybrid-2

0.0000

0.0000

0.0000

3.6301E-09

Hybrid-3

1.7279E-06

8.5865E-07

6.2013E-08

7.4282E-08

Hybrid-4

1.1671E-06

2.1755E-07

2.4923E-08

1.1873E-09

Hybrid-5

1.1691E-06

2.1776E-07

2.4869E-08

1.1397E-09

[24]

6.4454E-02

5.2389E-01

3.3205E-02

1.0781E-01

[4]

2.4343E-06

2.1287E-06

1.0328E-07

1.8725E-07

[8]

3.9175E-05

1.6348E-04

4.5555E-06

2.1034E-05

[33]

1.0000

1.0000

1.0000

1.0000

[12]

1.1708E-06

2.1808E-07

2.5149E-08

1.1042E-09

[34]

4.6351E-05

3.8838E-04

6.4420E-06

4.0349E-05

[35]

2.5595E-02

1.1845E-02

7.9301E-04

9.3815E-04

[36]

5.8264E-01

2.1029E-01

1.8169E-02

1.1994E-02

[14]

3.3338E-01

1.7586E-01

1.1802E-02

1.6728E-02

[17]

1.2163E-06

2.1956E-07

2.5558E-08

0.0000 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  261 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F5

Algorithms

Minimum

Maximum

Mean

Std. deviation

[15]

1.0000

1.0000

5.9552E-02

1.0342E-01

[16]

3.6262E-03

6.8965E-03

3.0234E-04

8.7613E-04

[18]

4.0693E-02

1.9347E-01

8.1067E-03

2.1155E-02

[19]

3.8325E-05

5.6119E-05

2.9636E-06

6.7399E-06

[20]

5.2918E-01

7.0354E-01

4.7315E-02

8.1035E-02

[22]

2.6079E-02

2.5408E-02

1.4412E-03

2.7878E-03

[23]

1.5356E-03

1.3898E-03

7.0237E-05

1.4001E-04

[21]

1.1918E-06

2.1799E-07

2.5283E-08

3.8732E-10

Hybrid-1

5.7033E-15

7.0385E-15

5.5353E-15

7.3763E-15

Hybrid-2

3.5990E-05

2.5722E-05

2.7846E-05

1.5025E-05

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

1.0440E-05

9.3366E-06

8.3655E-06

7.2719E-06

Hybrid-5

1.8653E-11

1.7494E-11

1.5746E-11

1.5088E-11

[24]

6.1173E-02

1.1996E-01

7.5665E-02

1.5932E-01

[4]

1.3981E-11

1.7705E-11

1.4691E-11

1.6631E-11

[8]

1.5338E-04

9.5966E-04

3.8058E-04

1.5890E-03

[33]

1.0000

1.0000

1.0000

1.0000

[12]

2.3813E-05

1.7792E-05

1.8786E-05

9.6642E-06

[34]

1.1808E-04

5.8077E-04

3.1806E-04

1.2098E-03

[35]

3.6625E-03

3.4497E-03

3.4157E-03

2.9999E-03

[36]

6.9802E-02

5.4983E-02

5.6528E-02

3.8621E-02

[14]

5.6939E-05

1.8376E-04

1.1207E-04

4.6676E-04

[17]

6.6163E-05

3.8368E-05

4.5554E-05

4.8268E-06

[15]

1.1545E-01

1.2512E-01

1.1604E-01

1.3042E-01

[16]

5.2782E-03

1.3955E-02

8.2591E-03

2.3685E-02

[18]

1.7313E-02

7.3716E-02

3.9407E-02

1.3565E-01

[19]

3.7317E-04

3.4298E-04

3.1087E-04

2.5159E-04

[20]

3.3308E-03

3.5495E-02

1.7778E-02

8.5685E-02 (Continued)

262  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F6

F7

Algorithms

Minimum

Maximum

Mean

Std. deviation

[22]

2.4320E-02

2.3246E-02

2.2648E-02

1.9511E-02

[23]

2.3827E-03

3.1080E-03

2.5654E-03

3.5835E-03

[21]

8.8099E-06

9.7629E-06

8.1415E-06

9.4968E-06

Hybrid-1

8.9336E-12

1.0356E-11

8.7601E-12

1.2850E-11

Hybrid-2

5.7187E-15

2.8192E-14

1.4576E-14

8.4881E-14

Hybrid-3

1.0043E-08

2.1627E-08

1.3544E-08

5.3815E-08

Hybrid-4

7.8880E-17

1.9578E-16

1.6899E-16

4.4161E-16

Hybrid-5

1.5775E-16

2.9366E-16

1.8065E-16

6.0383E-16

[24]

3.0497E-01

3.0266E-01

2.8373E-01

3.6356E-01

[4]

1.6153E-01

2.3387E-01

2.0632E-01

3.6983E-01

[8]

1.0763E-01

1.2571E-01

1.0635E-01

1.5670E-01

[33]

1.0000

1.0000

1.0000

1.0000

[12]

2.8866E-03

7.1167E-03

3.1246E-03

2.1772E-02

[34]

9.7544E-02

1.3368E-01

1.0604E-01

1.7912E-01

[35]

4.9001E-01

3.6299E-01

4.0687E-01

1.3631E-01

[36]

2.1310E-01

1.7226E-01

1.8490E-01

1.1042E-01

[14]

7.7839E-09

3.3649E-08

1.7608E-08

9.8391E-08

[17]

0.0000

0.0000

0.0000

0.0000

[15]

4.5842E-01

4.3194E-01

4.3329E-01

3.5970E-01

[16]

1.8181E-01

2.2339E-01

2.0007E-01

3.2156E-01

[18]

3.8009E-01

4.5635E-01

4.3051E-01

6.6393E-01

[19]

4.0671E-01

3.9810E-01

3.7194E-01

3.5486E-01

[20]

6.6550E-02

1.7410E-01

1.2345E-01

3.6974E-01

[22]

1.6205E-01

1.8675E-01

1.8234E-01

2.3498E-01

[23]

1.2800E-01

1.4282E-01

1.2553E-01

1.7681E-01

[21]

0.0000

0.0000

0.0000

0.0000

Hybrid-1

6.7376E-10

8.9191E-10

7.7232E-10

3.1205E-10

Hybrid-2

7.0869E-11

1.0311E-10

8.3090E-11

4.8836E-11 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  263 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F8

Algorithms

Minimum

Maximum

Mean

Std. deviation

Hybrid-3

6.8778E-06

2.7209E-05

1.3202E-05

2.7478E-05

Hybrid-4

1.9565E-13

6.1207E-13

3.2723E-13

5.5317E-13

Hybrid-5

4.2108E-14

8.1890E-14

6.0973E-14

5.4103E-14

[24]

9.0228E-01

9.2918E-01

9.1182E-01

7.7729E-02

[4]

1.9487E-05

1.3505E-01

9.2775E-02

1.2499E-01

[8]

1.9104E-01

3.0887E-01

2.4967E-01

1.6430E-01

[33]

1.0000

1.0000

1.0000

4.8283E-02

[12]

2.9611E-09

1.0287E-08

5.1057E-09

8.4224E-09

[34]

1.7451E-01

3.3882E-01

2.4576E-01

2.0368E-01

[35]

3.0267E-01

3.9558E-01

3.5333E-01

9.6310E-02

[36]

6.8979E-01

7.3008E-01

7.1242E-01

7.8752E-02

[14]

6.9496E-02

6.3563E-01

4.1964E-01

9.6868E-01

[17]

0.0000

0.0000

0.0000

0.0000

[15]

9.4291E-01

9.2985E-01

9.4130E-01

1.7834E-02

[16]

5.5796E-01

7.4256E-01

6.5163E-01

2.5403E-01

[18]

4.8192E-01

7.7171E-01

6.3916E-01

3.9172E-01

[19]

1.9756E-01

9.2158E-01

3.0293E-01

9.5990E-01

[20]

3.6244E-01

9.6242E-01

8.5424E-01

1.0000

[22]

5.7972E-01

6.9065E-01

6.1839E-01

1.3104E-01

[23]

3.9089E-01

5.8586E-01

4.5788E-01

2.3589E-01

[21]

0.0000

3.3760E-17

2.9272E-17

3.2323E-17

Hybrid-1

1.8533E-17

1.6877E-17

1.5748E-17

1.7887E-17

Hybrid-2

2.7490E-09

1.6821E-09

1.8537E-09

1.2592E-09

Hybrid-3

4.5986E-17

1.2185E-16

8.9516E-17

2.3180E-16

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

1.0120E-01

1.5562E-01

1.3067E-01

2.0185E-01

[4]

2.0224E-09

4.4945E-06

1.1143E-06

9.7965E-06 (Continued)

264  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F9

Algorithms

Minimum

Maximum

Mean

Std. deviation

[8]

5.6305E-04

1.1003E-03

7.1926E-04

1.8047E-03

[33]

1.0000

1.0000

1.0000

1.0000

[12]

7.1511E-07

5.7552E-06

8.8691E-07

1.2341E-05

[34]

5.5223E-04

1.2774E-03

6.9929E-04

1.6337E-03

[35]

5.2245E-03

3.7443E-03

3.9290E-03

2.1331E-03

[36]

9.2230E-02

6.5027E-02

6.3181E-02

4.2278E-02

[14]

3.2041E-03

1.6324E-02

8.9932E-03

3.8817E-02

[17]

0.0000

0.0000

0.0000

0.0000

[15]

1.5292E-01

1.6046E-01

1.3478E-01

1.7334E-01

[16]

7.6774E-03

1.6187E-02

1.0508E-02

2.4871E-02

[18]

7.3813E-03

6.9083E-02

4.7511E-02

1.2443E-01

[19]

8.3614E-04

4.8344E-04

5.5077E-04

2.1272E-04

[20]

5.9161E-03

4.6674E-02

2.6397E-02

8.7563E-02

[22]

2.5305E-02

2.7322E-02

2.3262E-02

2.8373E-02

[23]

3.0782E-03

4.4370E-03

3.3706E-03

5.5666E-03

[21]

1.4449E-05

1.7595E-05

1.1780E-05

1.4758E-05

Hybrid-1

1.5914E-10

8.1659E-10

5.8932E-10

1.3828E-09

Hybrid-2

2.1953E-12

4.3671E-12

3.2852E-12

7.7266E-12

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

9.7248E-12

1.2666E-11

1.0972E-11

1.2609E-11

Hybrid-5

2.4495E-11

2.2460E-11

2.6217E-11

2.1615E-11

[24]

1.1123E-03

6.1070E-02

3.5467E-02

1.3041E-01

[4]

1.6278E-10

5.2180E-10

4.2235E-10

7.3786E-10

[8]

6.7461E-10

1.0475E-09

9.8842E-10

1.1576E-09

[33]

1.0000

1.0000

1.0000

1.0000

[12]

3.7975E-11

3.6590E-11

3.8447E-11

3.5078E-11

[34]

8.7410E-10

8.2781E-10

9.4798E-10

7.8996E-10

[35]

5.7361E-05

3.3983E-04

1.7446E-04

4.9588E-04 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  265 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F10

Algorithms

Minimum

Maximum

Mean

Std. deviation

[36]

5.7652E-03

5.2415E-03

6.3432E-03

5.2873E-03

[14]

2.3540E-04

6.1972E-04

5.1799E-04

1.1517E-03

[17]

1.8566E-10

1.0268E-10

1.4448E-10

4.8398E-11

[15]

1.8185E-02

5.2709E-02

3.8093E-02

7.1947E-02

[16]

8.0445E-09

8.0051E-09

8.8959E-09

9.0807E-09

[18]

1.3123E-04

4.6126E-03

1.5392E-03

6.4311E-03

[19]

1.9707E-09

2.1682E-09

2.5770E-09

2.3835E-09

[20]

5.3723E-03

6.5233E-02

3.9991E-02

9.8900E-02

[22]

7.0651E-09

2.5796E-05

7.8945E-06

4.7335E-05

[23]

3.0164E-09

5.2660E-09

4.6600E-09

7.3758E-09

[21]

3.8899E-12

6.2025E-12

5.4924E-12

8.5798E-12

Hybrid-1

1.4906E-12

7.8626E-10

3.6680E-10

9.5201E-10

Hybrid-2

4.2272E-11

3.6654E-11

4.3437E-11

4.4161E-11

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

3.7386E-10

1.8865E-10

2.6113E-10

8.0661E-11

Hybrid-5

1.1090E-12

4.0990E-11

3.8436E-11

6.6626E-11

[24]

1.9932E-03

8.7346E-02

2.5952E-02

1.0628E-01

[4]

1.2095E-09

2.4582E-09

9.6862E-10

2.7836E-09

[8]

8.0468E-09

6.9989E-09

7.1399E-09

6.1204E-09

[33]

1.0000

1.0000

1.0000

1.0000

[12]

1.7028E-11

2.4582E-09

8.1102E-10

3.3245E-09

[34]

7.1752E-09

6.1156E-09

6.6985E-09

5.9551E-09

[35]

2.7902E-04

5.4393E-04

3.9177E-04

5.1342E-04

[36]

1.2119E-02

9.2870E-03

1.0314E-02

6.3165E-03

[14]

4.6386E-03

3.7048E-03

4.2980E-03

4.1309E-03

[17]

1.1917E-09

4.2586E-10

6.8020E-10

4.9041E-13

[15]

1.9388E-02

6.1945E-02

4.2994E-02

7.9781E-02

[16]

7.1074E-08

9.3743E-05

1.4760E-05

1.1267E-04 (Continued)

266  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F11

Algorithms

Minimum

Maximum

Mean

Std. deviation

[18]

1.7802E-03

5.5394E-03

3.4512E-03

7.5893E-03

[19]

1.4283E-08

9.2538E-09

1.1703E-08

4.9973E-09

[20]

5.3054E-03

4.6931E-02

3.5732E-02

6.7756E-02

[22]

2.6059E-05

2.5530E-04

1.4653E-04

3.4331E-04

[23]

1.7707E-08

3.2792E-06

6.3104E-07

4.1073E-06

[21]

1.2102E-10

1.9203E-10

1.8781E-10

2.0679E-10

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

1.0000

0.8133

1.0000

[4]

0.0000

0.0000

0.0000

0.0000E+00

[8]

0.0000

0.0041

0.1542

2.3742E-02

[33]

0.0000

0.0035

0.0012

3.7605E-03

[12]

0.0000

0.5561

0.4501

6.6246E-01

[34]

0.0000

0.5107

0.7301

6.8282E-01

[35]

0.0000

0.0000

0.0000

0.0000

[36]

0.0000

0.0000

0.0000

0.0000

[14]

0.0000

0.0000

0.0000

0.0000

[17]

0.0000

0.5561

1.0000

7.9034E-01

[15]

0.0000

0.4652

0.2204

3.7343E-01

[16]

0.0000

0.2813

0.1535

2.7036E-01

[18]

0.0000

0.1415

0.0308

1.0271E-01

[19]

0.0000

0.0000

0.0000

0.0000

[20]

0.0000

0.0945

0.1056

1.6160E-01

[22]

0.0000

0.0000

0.0000

0.0000

[23]

0.0000

0.0945

0.0462

1.0425E-01 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  267 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions F12

F13

Algorithms

Minimum

Maximum

Mean

Std. deviation

[21]

0.0000

0.4652

0.3031

4.9662E-01

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

2.3611E-01

8.9205E-01

9.2030E-01

7.5949E-01

[4]

3.1121E-05

1.7797E-03

1.5453E-03

4.3016E-03

[8]

3.1121E-05

7.2291E-03

2.5893E-02

1.6749E-02

[33]

1.5778E-01

7.2782E-03

2.5681E-02

1.5556E-02

[12]

0.0000

3.1897E-01

7.2548E-01

6.7089E-01

[34]

0.0000

3.1897E-01

4.5982E-01

2.6582E-01

[35]

3.8689E-01

1.4169E-02

3.8841E-02

2.0668E-02

[36]

7.5853E-01

3.1897E-01

6.7235E-01

6.5823E-01

[14]

7.8113E-03

2.0518E-02

4.2197E-02

4.7954E-02

[17]

7.0491E-01

3.5389E-01

5.4837E-01

6.8354E-01

[15]

1.0000E+00

3.1897E-01

3.0928E-01

2.2785E-01

[16]

8.6266E-01

3.2849E-01

3.8898E-01

2.7848E-01

[18]

6.0573E-01

3.5389E-01

5.8379E-01

6.2025E-01

[19]

5.2855E-01

3.1897E-01

6.4578E-01

5.6962E-01

[20]

8.7729E-02

2.0518E-02

6.1325E-02

4.7499E-02

[22]

1.5934E-02

1.0000

1.0000

1.0000

[23]

2.1473E-03

1.0000

8.4060E-01

4.1772E-01

[21]

1.5996E-02

1.1894E-01

7.0180E-02

1.0979E-01

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000 (Continued)

268  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F14

Algorithms

Minimum

Maximum

Mean

Std. deviation

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

0.0000

0.0000

0.0000

[4]

0.0000

0.0000

0.0000

0.0000

[8]

0.0000

0.0000

0.0000

0.0000

[33]

0.0000

0.0000

0.0000

0.0000

[12]

0.0000

0.0000

0.0000

0.0000

[34]

0.0000

0.0000

0.0000

0.0000

[35]

0.0000

0.0000

0.0000

0.0000

[36]

0.0000

0.0000

0.0000

0.0000

[14]

0.0000

0.0000

0.0000

0.0000

[17]

0.0000

0.0000

0.0000

0.0000

[15]

0.0000

0.0000

0.0000

0.0000

[16]

0.0000

0.0000

0.0000

0.0000

[18]

0.0000

0.0000

0.0000

0.0000

[19]

0.0000

0.0000

0.0000

0.0000

[20]

0.0000

0.0000

0.0000

0.0000

[22]

0.0000

0.0000

0.0000

0.0000

[23]

0.0000

0.0000

0.0000

0.0000

[21]

0.0000

0.0000

0.0000

0.0000

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

0.0000

0.0000

0.0000

[4]

0.0000

0.0000

0.0000

0.0000

[8]

0.0000

0.0000

0.0000

0.0000

[33]

0.0000

0.0000

0.0000

0.0000 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  269 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F15

Algorithms

Minimum

Maximum

Mean

Std. deviation

[12]

0.0000

0.0000

0.0000

0.0000

[34]

0.0000

0.0000

0.0000

0.0000

[35]

0.0000

0.0000

0.0000

0.0000

[36]

0.0000

0.0000

0.0000

0.0000

[14]

0.0000

0.0000

0.0000

0.0000

[17]

0.0000

0.0000

0.0000

0.0000

[15]

0.0000

0.0000

0.0000

0.0000

[16]

0.0000

0.0000

0.0000

0.0000

[18]

0.0000

0.0000

0.0000

0.0000

[19]

0.0000

0.0000

0.0000

0.0000

[20]

0.0000

0.0000

0.0000

0.0000

[22]

0.0000

0.0000

0.0000

0.0000

[23]

0.0000

0.0000

0.0000

0.0000

[21]

0.0000

0.0000

0.0000

0.0000

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

0.0000

0.0000

0.0000

[4]

0.0000

0.0000

0.0000

0.0000

[8]

0.0000

0.0000

0.0000

0.0000

[33]

0.0000

0.0000

0.0000

0.0000

[12]

0.0000

2.8571E-01

0.0000

3.6884E-01

[34]

0.0000

0.0000

0.0000

0.0000

[35]

0.0000

0.0000

0.0000

0.0000

[36]

0.0000

0.0000

0.0000

0.0000

[14]

0.0000

0.0000

0.0000

0.0000 (Continued)

270  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F16

Algorithms

Minimum

Maximum

Mean

Std. deviation

[17]

0.0000

0.0000

0.0000

0.0000

[15]

0.0000

0.0000

0.0000

0.0000

[16]

0.0000

0.0000

0.0000

0.0000

[18]

0.0000

1.4286E-01

0.0000E+00

8.8082E-02

[19]

0.0000

0.0000

0.0000

0.0000

[20]

0.0000

1.0000

1.0000

1.0000

[22]

0.0000

0.0000

0.0000

0.0000

[23]

0.0000

0.0000

0.0000

0.0000

[21]

0.0000

1.0000

1.0000

8.0345E-01

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

0.0000

0.0000

0.0000

[4]

0.0000

0.0000

0.0000

0.0000

[8]

0.0000

0.0000

0.0000

0.0000

[33]

0.0000

0.0000

0.0000

0.0000

[12]

0.0000

0.0000

0.0000

0.0000

[34]

0.0000

0.0000

0.0000

0.0000

[35]

0.0000

0.0000

0.0000

0.0000

[36]

0.0000

0.0000

0.0000

0.0000

[14]

0.0000

0.0000

0.0000

0.0000

[17]

0.0000

0.0000

0.0000

0.0000

[15]

0.0000

0.0000

0.0000

0.0000

[16]

0.0000

0.0000

0.0000

0.0000

[18]

0.0000

0.0000

0.0000

0.0000

[19]

0.0000

0.0000

0.0000

0.0000 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  271 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F17

F18

Algorithms

Minimum

Maximum

Mean

Std. deviation

[20]

0.0000

0.0000

0.0000

0.0000

[22]

0.0000

0.0000

0.0000

0.0000

[23]

0.0000

0.0000

0.0000

0.0000

[21]

0.0000

0.0000

0.0000

0.0000

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

9.8863E-02

1.0707E-01

1.6708E-01

[4]

0.0000

6.3747E-02

1.6417E-01

1.6185E-01

[8]

0.0000

1.8658E-02

4.5821E-02

4.3765E-02

[33]

0.0000

3.0024E-02

2.9703E-02

3.9912E-02

[12]

0.0000

1.5226E-01

1.6717E-01

2.5103E-01

[34]

0.0000

6.3747E-02

9.3023E-02

1.5662E-01

[35]

0.0000

4.0049E-02

4.8354E-03

2.9727E-02

[36]

0.0000

6.3747E-02

8.7727E-02

1.5414E-01

[14]

0.0034

6.4765E-02

7.3221E-02

1.0542E-01

[17]

0.1504

2.5214E-01

5.7219E-01

3.9747E-01

[15]

0.0000

1.2615E-01

2.3624E-01

1.7644E-01

[16]

0.0000

6.5248E-02

9.9010E-02

1.5965E-01

[18]

0.0000

2.1547E-01

2.0493E-01

2.9177E-01

[19]

0.0000

6.9001E-02

1.8420E-01

1.5965E-01

[20]

1.0000

1.0000

1.0000

1.0000

[22]

0.0000

7.6346E-02

1.0960E-01

1.6956E-01

[23]

0.0000

8.9267E-02

2.3486E-01

1.5359E-01

[21]

0.0061

1.8073E-01

1.9986E-01

2.7058E-01

Hybrid-1

0.0000

0.0000

0.0000

0.0000 (Continued)

272  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F19

Algorithms

Minimum

Maximum

Mean

Std. deviation

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

7.7901E-01

5.9441E-01

9.6553E-01

[4]

0.0000

7.7359E-01

1.4951E-01

7.4105E-01

[8]

0.0000

9.0093E-04

0.0000

3.9978E-04

[33]

0.0000

3.8315E-04

1.9034E-04

3.4267E-04

[12]

0.0000

7.7903E-01

1.3187E-01

6.9751E-01

[34]

0.0000

7.7901E-01

4.7073E-01

1.0000

[35]

0.0000

5.6848E-01

2.2615E-02

2.4095E-01

[36]

0.0000

7.7901E-01

6.5299E-01

9.4366E-01

[14]

0.0010

7.7406E-01

2.4311E-01

7.7067E-01

[17]

0.0000

7.8697E-01

4.6786E-01

9.9586E-01

[15]

0.0000

7.7901E-01

4.7811E-01

9.1328E-01

[16]

0.0000

7.7901E-01

4.7869E-01

9.1419E-01

[18]

0.0000

7.8009E-01

3.7357E-01

7.9226E-01

[19]

0.0000

7.7902E-01

2.9414E-01

8.6522E-01

[20]

1.0000

1.0000

1.0000

4.3362E-01

[22]

0.0000

7.7901E-01

7.1522E-01

6.5753E-01

[23]

0.0000

7.7901E-01

2.9166E-01

9.6165E-01

[21]

0.0004

7.7971E-01

2.4968E-01

7.9228E-01

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

8.6702E-01

6.9511E-01

9.1829E-01 (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  273 Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

F20

Algorithms

Minimum

Maximum

Mean

Std. deviation

[4]

0.0000

6.7604E-01

9.3307E-02

5.5458E-01

[8]

0.0000

-2.2269E-04

3.8418E-03

8.5494E-03

[33]

0.0002

9.5556E-03

3.8977E-03

8.3301E-03

[12]

0.0002

4.5551E-04

2.0955E-04

2.0163E-04

[34]

0.0000

7.7447E-01

4.0491E-01

9.5879E-01

[35]

0.0000

8.6841E-02

5.3646E-03

3.9212E-02

[36]

0.0000

7.7447E-01

7.0306E-01

9.2114E-01

[14]

0.0003

7.7327E-01

2.8031E-01

7.7503E-01

[17]

0.0001

7.7580E-01

5.4301E-01

8.7121E-01

[15]

0.0000

8.6702E-01

4.9800E-01

1.0000

[16]

0.0000

8.6702E-01

5.8073E-01

8.6096E-01

[18]

0.0000

8.6709E-01

4.2334E-01

8.6387E-01

[19]

0.0000

8.6702E-01

3.0807E-01

8.3759E-01

[20]

1.0000

1.0000

1.0000

4.6734E-01

[22]

0.0000

8.6702E-01

6.2501E-01

9.5813E-01

[23]

0.0000

8.6702E-01

3.0870E-01

8.4521E-01

[21]

0.0000

8.6717E-01

5.5207E-01

8.8710E-01

Hybrid-1

0.0000

0.0000

0.0000

0.0000

Hybrid-2

0.0000

0.0000

0.0000

0.0000

Hybrid-3

0.0000

0.0000

0.0000

0.0000

Hybrid-4

0.0000

0.0000

0.0000

0.0000

Hybrid-5

0.0000

0.0000

0.0000

0.0000

[24]

0.0000

9.0421E-01

6.9157E-01

9.4514E-01

[4]

0.0000

7.9879E-01

1.0885E-01

5.8696E-01

[8]

0.0000

8.6493E-03

4.9705E-04

5.9128E-03

[33]

0.0065

8.2221E-03

4.3883E-03

5.7865E-03

[12]

0.0002

8.4562E-01

6.1691E-02

4.4432E-01

[34]

0.0000

9.2327E-01

5.7472E-01

9.7253E-01 (Continued)

274  Electrical and Electronic Devices, Circuits, and Materials Table 14.2  Normalized statistical measures for benchmark problems. (Continued) Test functions

Algorithms

Minimum

Maximum

Mean

Std. deviation

[35]

0.0000

1.3933E-02

6.8167E-04

5.3822E-03

[36]

0.0000

8.4562E-01

6.3981E-01

9.4638E-01

[14]

0.1284

8.0700E-01

4.6095E-01

8.3975E-01

[17]

0.0026

9.2341E-01

5.4033E-01

1.0000

[15]

0.0000

8.4562E-01

2.8836E-01

8.4136E-01

[16]

0.0000

9.2327E-01

6.0412E-01

9.1346E-01

[18]

0.0000

8.4624E-01

5.5140E-01

7.8969E-01

[19]

0.0000

8.4504E-01

2.9164E-01

7.8267E-01

[20]

1.0000

1.0000

1.0000

4.3591E-01

[22]

0.0000

9.2327E-01

7.9066E-01

9.0330E-01

[23]

0.0000

8.4562E-01

3.3105E-01

8.5069E-01

[21]

0.0012

9.2353E-01

5.1571E-01

7.9121E-01

have been used, the fitness function on the y-axis of each of the graphs range between 0-1, zero being the lowest value and 1 being the highest value. The proposed methods Hybrid-1 to Hybrid-5 have been indicated as HFA, FAFPA, FAPS, FAGWO and GWOCFA in the graphs. A few selected graphs, one each for every test function, along with sufficient comparison with the standard heuristics have also been provided. The convergence characteristics of Figure 14.2 show that the hybrid firefly techniques mostly outperform the other reported methods on numerous occasions. Most of these global optimization techniques yield different functional values every time they are run on a PC. Hence non-parametric tests must also be carried out to certify the acceptability of the outcomes on the basis of each run to justify that the outcomes of the experiments are not produced by fluke. Therefore, the Wilcoxon test [37] can be performed to generate the required p-values. However, Holm-Bonferroni [38] suggested a correction of these p-values in case multiple data set are involved. Therefore, the corrected p-values are reported in Table 14.3 with a confidence interval of 5% meaning p>0.05 will be considered as the insignificant value. These values are indicated by underlines in this table. Only the test results of F12, F17, F18, F19 and F20 in fixed dimensional multi-modal functions are reported in the table. The rest of the data sets are mostly similar in each test run and therefore would have automatically produced insignificant results. Thus, only the selected values are quoted. The values reported in Table 14.3 as 0.0000 are usually of the order of 10-6 or less and are considered to be meaningful. The table also suggests clearly that the outcomes found by the suggested firefly techniques occasions are significant numerically. The insignificant results

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  275 F1

1

0.7 0.6 0.5 0.4 0.3

0.6 0.5 0.4 0.3 0.2

0.1

0.1 50

100

150

200 250 300 No. of iterations

350

400

450

0

500

F5

0

FAPS FA GOA WOA SCA SSA

0.7

0.5 0.4 0.3

350

400

450

F7

1

0.8 0.7

0.3

0.4 0.3

350

400

450

400

500

450

500

FAGWO FA GWO PSO GOA WOA

0.4 0.3

0.1 200 250 300 No. of iterations

350

0.5

0.2

150

200 250 300 No. of iterations F8

0.6

0.1 100

150

0.7

0.2

50

100

0.8

0.5

0

50

0.9

0.6

0

FA FAGWO GWO CSO BSA SSA

1 FA GWOCFA GWO DE BSA HS

0.9

500

F6

0 0

500

450

0.4

0.1 200 250 300 No. of iterations

400

0.5

0.2

150

350

0.6

0.1 100

200 250 300 No. of iterations

0.7

0.2

50

150

0.8

0.6

0

100

0.9

Normalized function value

0.8

0

50

1

0.9

Normalized function value

0.7

0.2

1

Normalized function value

0.8

Normalized function value

Normalized function value

0.8

FA FAFPA FPA ALO DA GOA

0.9 Normalized function value

0.9

0 0

F4

1 HFA FA BFA PSO DE HS

0

0

50

100

150

200 250 300 No. of iterations

350

400

450

500

Figure 14.2  Selected graphical plots of the test functions.

are also underlined for readers to get acquainted with the procedure. It is seen that more than 95% of the results determined are meaningful in the above-mentioned table. The relay-type hybridization scheme proposed in this work, however, suffers from a few significant drawbacks. These hybrid algorithms are too sensitive to parameter tuning. So, the perfect choice of parameters in these hybrid schemes plays a crucial role in minimizing or maximizing an objective function. Moreover, these algorithms mostly rely on the

276  Electrical and Electronic Devices, Circuits, and Materials Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. Test functions

Proposed methods

F1

Hybrid-1

10−4 × [0.0115 0.0109 0.0102 0.0095 0.0088 0.0081 0.0075 0.2447 0.2391 0.0068 0.0061 0.0115 0.0054 0.0048 0.0041 0.0034 0.0027 0.0044]

Hybrid-2

[0.0047 0.0044 0.0041 0.0038 0.0035 0.0032 0.0029 0.0006 0.0042 0.0026 0.0023 0.0047 0.0021 0.0018 0.0015 0.0012 0.0009 0.0006]

Hybrid-3

10−3 × [0.2033 0.1921 0.1801 0.1681 0.5533 0.1561 0.1441 0.0650 0.3633 0.1321 0.1201 0.2033 0.1081 0.0961 0.0841 0.0721 0.0600 0.0362]

Hybrid-4

10−4 × [0.0172 0.0162 0.0152 0.0142 0.0132 0.0121 0.0111 0.2898 0.2896 0.0101 0.0091 0.0172 0.0081 0.0071 0.0061 0.0051 0.0040 0.0062]

Hybrid-5

10−4 × [0.0115 0.0109 0.0102 0.0095 0.0088 0.0082 0.0075 0.2453 0.2397 0.0068 0.0061 0.0115 0.0054 0.0048 0.0041 0.0034 0.0027 0.0044]

Hybrid-1

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0002 0.0002 0.0000 0.0000 0.0000 0.0000 0.2787 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

[0.0006 0.0025 0.0041 0.0006 0.0041 0.0038 0.0035 0.0020 0.0020 0.0032 0.0029 0.0016 0.0026 0.4609 0.0023 0.0021 0.0018 0.0029]

Hybrid-3

[0.0001 0.0001 0.0002 0.0001 0.0002 0.0002 0.0001 0.0002 0.0002 0.0001 0.0001 0.0002 0.0001 0.3751 0.0001 0.0001 0.0001 0.0001]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0002 0.0002 0.0000 0.0000 0.0000 0.0000 0.2786 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0002 0.0002 0.0000 0.0000 0.0000 0.0000 0.2787 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

10−3 × [0.0012 0.0012 0.0011 0.0010 0.0010 0.0009 0.0008 0.1201 0.1053 0.0007 0.0007 0.0006 0.0012 0.0005 0.0005 0.0004 0.0003 0.0003]

Hybrid-2

[0.0053 0.0050 0.0047 0.0044 0.0041 0.0038 0.0035 0.0024 0.0200 0.0032 0.0029 0.0026 0.0053 0.0023 0.0021 0.0018 0.0015 0.0012]

F2

F3

p-value corrections

(Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  277 Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. (Continued) Test functions

F4

F5

Proposed methods

p-value corrections

Hybrid-3

[0.0002 0.0002 0.0002 0.0002 0.0002 0.0002 0.0002 0.0002 0.5148 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001]

Hybrid-4

[0.0012 0.0012 0.0011 0.0010 0.0010 0.0009 0.0008 0.1204 0.1056 0.0007 0.0007 0.0006 0.0012 0.0005 0.0005 0.0004 0.0003 0.0003]

Hybrid-5

10−3 × [0.0012 0.0012 0.0011 0.0010 0.0010 0.0009 0.0008 0.1204 0.1056 0.0007 0.0007 0.0006 0.0012 0.0005 0.0005 0.0004 0.0003 0.0003]

Hybrid-1

[0.0000 0.0000 0.0000 0.0000 0.1917 0.0000 0.0000 0.0005 0.2115 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.1924]

Hybrid-2

[0.0022 0.0022 0.0020 0.0019 0.0018 0.0017 0.0016 0.0006 0.0004 0.0014 0.0013 0.0012 0.0011 0.0010 0.0008 0.0007 0.0006 0.0005]

Hybrid-3

10−4 × [0.2162 0.8952 0.2162 0.2042 0.1921 0.1801 0.1681 0.2057 0.0650 0.1561 0.1441 0.1321 0.1201 0.1081 0.0961 0.0841 0.0721 0.0600]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0207 0.0000 0.0000 0.0004 0.0001 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0006]

Hybrid-5

[0.0000 0.0000 0.0000 0.0000 0.0021 0.0000 0.0000 0.0002 0.0001 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

10−4 × [0.0122 0.0122 0.0116 0.0109 0.0102 0.0095 0.0088 0.5278 0.2402 0.0082 0.0075 0.0068 0.0061 0.0054 0.0048 0.0041 0.0034 0.0027]

Hybrid-2

1.0e-03 × [0.8973 0.8973 0.8445 0.7917 0.7389 0.6862 0.6334 0.1554 0.8227 0.5806 0.5278 0.4750 0.4223 0.3695 0.3167 0.2639 0.2111 0.1583]

Hybrid-3

10−3 × [0.2162 0.2162 0.2042 0.1921 0.1801 0.1681 0.1561 0.0914 0.1827 0.1441 0.1321 0.1201 0.1081 0.0961 0.0841 0.0721 0.0600 0.0480]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0001 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.4570] (Continued)

278  Electrical and Electronic Devices, Circuits, and Materials Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. (Continued) Test functions

F6

F7

F8

Proposed methods

p-value corrections

Hybrid-5

[0.0000 0.2447 0.0000 0.0000 0.0000 0.0000 0.0000 0.0001 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

10−3 × [0.0012 0.0012 0.0011 0.0010 0.2101 0.0010 0.0009 0.0736 0.2101 0.0008 0.0007 0.0007 0.0006 0.0005 0.0005 0.0004 0.0003 0.0005]

Hybrid-2

[0.0009 0.0009 0.0008 0.0008 0.0047 0.0007 0.0007 0.0002 0.0006 0.0006 0.0006 0.0005 0.0005 0.0004 0.0004 0.0003 0.0003 0.0000]

Hybrid-3

[0.0002 0.0002 0.0002 0.0002 0.0044 0.0002 0.0002 0.6607 0.0003 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001]

Hybrid-4

10−3 × [0.0012 0.0012 0.0011 0.0010 0.1605 0.0010 0.0009 0.0502 0.1386 0.0008 0.0007 0.0007 0.0006 0.0005 0.0005 0.0004 0.0003 0.0004]

Hybrid-5

10−3 × [0.0010 0.0010 0.0009 0.0009 0.1527 0.0008 0.0007 0.0548 0.1527 0.0007 0.0006 0.0006 0.0005 0.0005 0.0004 0.0003 0.0003 0.0004]

Hybrid-1

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0022 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

[0.0019 0.0019 0.0018 0.0017 0.0016 0.0014 0.0013 0.0017 0.0061 0.0012 0.0011 0.0010 0.0008 0.0007 0.0006 0.0005 0.0004 0.0006]

Hybrid-3

[0.0002 0.0000 0.0002 0.0002 0.0002 0.0002 0.0002 0.0004 0.0020 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001 0.0001]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0022 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0022 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

[0.0000 0.2785 0.0000 0.0000 0.0003 0.0000 0.0000 0.0001 0.0003 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000] (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  279 Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. (Continued) Test functions

F9

F10

Proposed methods

p-value corrections

Hybrid-2

[0.0131 0.4928 0.0123 0.0116 0.0094 0.0108 0.0100 0.0040 0.0075 0.0093 0.0085 0.0077 0.0069 0.0131 0.0062 0.0054 0.0046 0.0120]

Hybrid-3

[0.0002 0.3746 0.0002 0.0002 0.0015 0.0002 0.0002 0.0001 0.0003 0.0002 0.0001 0.0001 0.0001 0.0002 0.0001 0.0001 0.0001 0.0003]

Hybrid-4

10−5 × [0.1086 0.0750 0.1019 0.0951 0.0352 0.0883 0.0815 0.0315 0.0315 0.0748 0.0680 0.0612 0.0544 0.1086 0.0476 0.0408 0.0340 0.0310]

Hybrid-5

[0.0000 0.0084 0.0000 0.0000 0.2418 0.0000 0.0000 0.0000 0.2418 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 NaN]

Hybrid-1

[0.0000 0.1988 0.0027 0.0000 0.0000 0.0039 0.0000 0.0003 0.0025 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0039]

Hybrid-2

[0.0053 0.0053 0.0050 0.0047 0.0044 0.0041 0.0038 0.0020 0.0020 0.0035 0.0032 0.0029 0.0026 0.0023 0.0021 0.0018 0.0015 0.0479]

Hybrid-3

10−5 × [0.2162 0.2162 0.2042 0.1921 0.1801 0.1681 0.1561 0.0914 0.0914 0.1441 0.1321 0.1201 0.1081 0.0961 0.0841 0.0721 0.0600 0.0480]

Hybrid-4

[0.0000 0.0639 0.0000 0.0000 0.0000 0.0000 0.0000 0.0002 0.0002 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

10−3 × [0.0012 0.0012 0.0012 0.0011 0.1404 0.0010 0.0010 0.1404 0.1056 0.0009 0.0008 0.0007 0.0007 0.0006 0.0005 0.0005 0.0004 0.0003]

Hybrid-1

[0.0000 0.0342 0.0000 0.0000 0.0264 0.0000 0.0000 0.0006 0.0432 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.3793]

Hybrid-2

10−3 × [0.2162 0.2162 0.2042 0.1921 0.1801 0.1681 0.1561 0.0914 0.0914 0.1441 0.1321 0.1201 0.1081 0.0961 0.0841 0.0721 0.0600 0.0480]

Hybrid-3

10−3 × [0.2162 0.2162 0.2042 0.1921 0.1801 0.1681 0.1561 0.2057 0.2057 0.1441 0.1321 0.1201 0.1081 0.0961 0.0841 0.0721 0.0600 0.0480] (Continued)

280  Electrical and Electronic Devices, Circuits, and Materials Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. (Continued) Test functions

F12

F17

Proposed methods

p-value corrections

Hybrid-4

[0.0000 0.0810 0.0000 0.0000 0.0000 0.0000 0.0000 0.0004 0.0004 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0002]

Hybrid-5

10−3 × [0.0012 0.2408 0.0012 0.0012 0.0011 0.0010 0.0010 0.3603 0.3603 0.0009 0.0008 0.0007 0.0007 0.0006 0.0005 0.0005 0.0004 0.0003]

Hybrid-1

10−4 × [ [0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.1061 0.0000 0.1061 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

10−4 × [ [0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.1061 0.0000 0.1061 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-3

10−4 × [ [0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.1061 0.0000 0.1061 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-4

10−4 × [ [0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.1061 0.0000 0.1061 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

10−4 × [ [0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.1061 0.0000 0.1061 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0123 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0123 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-3

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0123 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0123 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0123 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000] (Continued)

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  281 Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. (Continued) Test functions

Proposed methods

F18

Hybrid-1

10−3 × [0.0000 0.0000 0.0000 0.0109 0.0000 0.0000 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

10−3 × [0.0000 0.0000 0.0000 0.0109 0.0000 0.0000 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.0000]

Hybrid-3

10−3 × [0.0000 0.0000 0.0000 0.0109 0.0000 0.0000 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.0000]

Hybrid-4

10−3 × [0.0000 0.0000 0.0000 0.0109 0.0000 0.0000 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

10−3 × [0.0000 0.0000 0.0000 0.0109 0.0000 0.0000 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.5080 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0005 0.0000 0.0000 0.0000 0.0230 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0005 0.0000 0.0000 0.0000 0.0230 0.0000 0.0000 0.0000 0.0000]

Hybrid-3

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0005 0.0000 0.0000 0.0000 0.0230 0.0000 0.0000 0.0000 0.0000]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0005 0.0000 0.0000 0.0000 0.0230 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

[0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0000 0.0005 0.0000 0.0000 0.0000 0.0230 0.0000 0.0000 0.0000 0.0000]

Hybrid-1

[0.0000 0.0000 0.0000 0.0000 0.0002 0.0000 0.0000 0.0000 0.0000 0.0036 0.0000 0.0000 0.0000 0.0955 0.0000 0.0000 0.0000 0.0000]

Hybrid-2

[0.0000 0.0000 0.0000 0.0000 0.0002 0.0000 0.0000 0.0000 0.0000 0.0036 0.0000 0.0000 0.0000 0.0955 0.0000 0.0000 0.0000 0.0000]

F19

F20

p-value corrections

(Continued)

282  Electrical and Electronic Devices, Circuits, and Materials Table 14.3  p-value corrections for Wilcoxon test using Holm-Bonferroni amendments. (Continued) Test functions

Proposed methods

p-value corrections

Hybrid-3

[0.0000 0.0000 0.0000 0.0000 0.0002 0.0000 0.0000 0.0000 0.0000 0.0036 0.0000 0.0000 0.0000 0.0955 0.0000 0.0000 0.0000 0.0000]

Hybrid-4

[0.0000 0.0000 0.0000 0.0000 0.0002 0.0000 0.0000 0.0000 0.0000 0.0036 0.0000 0.0000 0.0000 0.0955 0.0000 0.0000 0.0000 0.0000]

Hybrid-5

[0.0000 0.0000 0.0000 0.0000 0.0002 0.0000 0.0000 0.0000 0.0000 0.0036 0.0000 0.0000 0.0000 0.0955 0.0000 0.0000 0.0000 0.0000]

termination criteria. Hence, an appropriate choice of the NFEs is equally important to get the desired results. Since the low-level hybrid topologies have been developed, the functionality of each algorithm along with its demerit can worsen the results. Despite the limitations of the proposed technique, the methods can further be applied to solve benchmark engineering design problems involving inequality as well as equality constraints. Even multi-objective versions of these algorithms can also be proposed. Parametric study and its analysis can be carried out for these computing algorithms. Still higher dimensional problems can be addressed to pose enhanced challenge to the present techniques. Fractional chaos is also a popular research area these days. Hence GWOCFA may involve fractional chaos operators to involve upon the solution set. New hybrid combinations with firefly technique can also be thought of. Several new algorithms like equilibrium optimizer (EO), political optimizer (PO), marine predator algorithm (MPA), slime mould algorithm (SMA), etc., have been formulated in recent times. Their obvious variant, namely hybridizing with FA, are expected to be developed by researchers in years to come.

14.4 Conclusions The performance of these hybrid firefly algorithms is thus evaluated employing a set of twenty famous benchmark problems. Three types of test functions, namely unimodal, multi-modal and fixed dimensional multi-modal functions were taken up to justify both the exploration and exploitation features of these global optimization techniques. The results determined were compared with about eighteen algorithms from the literature. The hybrid techniques proved superior in comparison to the individual methods. They also outperform quite a handful number of standard metaheuristic algorithms as evident from Table 14.2 and Figure 14.2. The findings of the non-parametric Wilcoxon test with HolmBonferroni corrections also confirm the validity of the outcomes obtained in these hybrid methods. These hybrid techniques can be applied further to solve constrained optimization problems for electronic circuits and systems.

Performance Analysis of Some New Hybrid Metaheuristic Algorithms  283

References 1. Rizk-Allah, R. M., Zaki, E. M., & El-Sawy, A. A. (2013). Hybridizing ant colony optimization with firefly algorithm for unconstrained optimization problems. Applied Mathematics and Computation, 224, 473-483. 2. Nasir, A. N. K., & Tokhi, M. O. (2015). Novel metaheuristic hybrid spiral-dynamic ­bacteriachemotaxis algorithms for global optimisation. Applied Soft Computing, 27, 357-375. 3. Eslami, M., Shareef, H., & Khajehzadeh, M. (2013, July). Firefly algorithm and pattern search hybridized for global optimization. In International Conference on Intelligent Computing (pp. 172-178). Springer, Berlin, Heidelberg. 4. Yang, X. S. (2014). Nature-inspired optimization algorithms. Elsevier Inc. 5. Roeva, O. (2014). Genetic algorithm and firefly algorithm hybrid schemes for cultivation processes modelling. In Transactions on computational collective intelligence XVII (pp. 196-211). Springer, Berlin, Heidelberg. 6. Aydilek, İ. B. (2018). A hybrid firefly and particle swarm optimization algorithm for computationally expensive numerical problems. Applied Soft Computing, 66, 232-249. 7. Lieu, Q. X., Do, D. T., & Lee, J. (2018). An adaptive hybrid evolutionary firefly algorithm for shape and size optimization of truss structures with frequency constraints. Computers & Structures, 195, 99-112. 8. Passino, K. M. (2002). Biomimicry of bacterial foraging for distributed optimization and control. IEEE control systems, 22(3), 52-67. 9. Dolan, E. D., Lewis, R. M., & Torczon, V. (2003). On the local convergence of pattern search. SIAM Journal on Optimization, 14(2), 567-583. 10. Kang, F., Li, J., & Li, H. (2013). Artificial bee colony algorithm and pattern search hybridized for global optimization. Applied Soft Computing, 13(4), 1781-1791. 11. Sahu, R. K., Panda, S., & Padhan, S. (2015). A novel hybrid gravitational search and pattern search algorithm for load frequency control of nonlinear power system.  Applied Soft Computing, 29, 310-327. 12. Mirjalili, S., Mirjalili, S. M., & Lewis, A. (2014). Grey wolf optimizer. Advances in engineering software, 69, 46-61. 13. Gandomi, A. H., Yang, X. S., Talatahari, S., & Alavi, A. H. (2013). Firefly algorithm with chaos. Communications in Nonlinear Science and Numerical Simulation, 18(1), 89-98. 14. Meng, X., Liu, Y., Gao, X., & Zhang, H. (2014). A new bio-inspired algorithm: chicken swarm optimization. In International conference in swarm intelligence (pp. 86-94). Springer, Cham. 15. Mirjalili, S. (2015). Moth-flame optimization algorithm: A novel nature-inspired heuristic paradigm. Knowledge-Based Systems, 89, 228-249. 16. Mirjalili, S. (2015). The ant lion optimizer. Advances in Engineering Software, 83, 80-98. 17. Meng, X. B., Gao, X. Z., Lu, L., Liu, Y., & Zhang, H. (2016). A new bio-inspired optimisation algorithm: Bird Swarm Algorithm.  Journal of Experimental & Theoretical Artificial Intelligence, 28(4), 673-687. 18. Mirjalili, S. (2016). Dragonfly algorithm: a new meta-heuristic optimization technique for solving single-objective, discrete, and multi-objective problems.  Neural Computing and Applications, 27(4), 1053-1073. 19. Mirjalili, S., Mirjalili, S. M., & Hatamlou, A. (2016). Multi-verse optimizer: a nature-inspired algorithm for global optimization. Neural Computing and Applications, 27(2), 495-513. 20. Mirjalili, S. (2016). SCA: a sine cosine algorithm for solving optimization problems. KnowledgeBased Systems, 96, 120-133. 21. Mirjalili, S., & Lewis, A. (2016). The whale optimization algorithm. Advances in Engineering Software, 95, 51-67.

284  Electrical and Electronic Devices, Circuits, and Materials 22. Saremi, S., Mirjalili, S., & Lewis, A. (2017). Grasshopper optimisation algorithm: Theory and application. Advances in Engineering Software, 105, 30-47. 23. Mirjalili, S., Gandomi, A. H., Mirjalili, S. Z., Saremi, S., Faris, H., & Mirjalili, S. M. (2017). Salp Swarm Algorithm: A bio-inspired optimizer for engineering design problems. Advances in Engineering Software, 114, 163-191. 24. Mirjalili, S., & Hashim, S. Z. M. (2010). A new hybrid PSOGSA algorithm for function optimization. In International Conference on Computer and Information Application (ICCIA), (pp. 374-377). 25. Talbi, E. G. (2002). A taxonomy of hybrid metaheuristics. Journal of heuristics, 8(5), 541-564. 26. Ganguli, S., Kaur, G., & Sarkar, P. (2020). A new hybrid algorithm for identification in the unified delta framework. In AIP Conference Proceedings (Vol. 2207, No. 1, p. 040002). AIP Publishing LLC. 27. Ganguli, S., Kaur, G., Sarkar, P & Rajest, Suman S. An algorithmic approach to system identification in the delta domain using FAFPA algorithm. Accepted for publication in Business Intelligence for Enterprise Internet of Things, Springer Book Chapter. 28. Chakraborty, D., Saha, S., & Dutta, O. (2014, December). DE-FPA: a hybrid differential evolution-flower pollination algorithm for function minimization. In  International Conference on High Performance Computing and Applications (ICHPCA), (pp. 1-6). 29. Ganguli, S., Kaur, G., & Sarkar, P. (2016). Model order reduction of continuous time system using hybrid metaheuristic algorithm. In  IEEE 7th India International Conference on  Power Electronics (IICPE), (pp. 1-5). 30. Ganguli, S., Kaur, G., & Sarkar, P. (2019). A novel hybrid metaheuristic algorithm for model order reduction in the delta domain: a unified approach. Neural Computing and Applications, 31(10), 6207-6221. 31. Ganguli, S., Kaur, G., & Sarkar, P. (2020). Identification in the delta domain: a unified approach via GWOCFA. Soft Computing, 24, 4791–4808. 32. Jamil, M., & Yang, X. S. (2013). A literature survey of benchmark functions for global optimization problems. arXiv preprint arXiv:1308.4008. 33. Yang, X. S. (2012, September). Flower pollination algorithm for global optimization. In International conference on unconventional computing and natural computation (pp. 240-249). Springer, Berlin, Heidelberg. 34. Kennedy, J., & Eberhart, R. (1995, November). Particle swarm optimization. In Proceedings of ICNN’95-International Conference on Neural Networks (Vol. 4, pp. 1942-1948). 35. Storn, R., & Price, K. (1997). Differential evolution–a simple and efficient heuristic for global optimization over continuous spaces. Journal of global optimization, 11(4), 341-359. 36. Geem, Z. W., Kim, J. H., & Loganathan, G. V. (2001). A new heuristic optimization algorithm: harmony search. simulation, 76(2), 60-68. 37. Derrac, J., García, S., Molina, D., & Herrera, F. (2011). A practical tutorial on the use of nonparametric statistical tests as a methodology for comparing evolutionary and swarm intelligence algorithms. Swarm and Evolutionary Computation, 1(1), 3-18. 38. Abdi, H. (2010). Holm’s sequential Bonferroni procedure. Encyclopedia of research design, 1(8), 1-8.

15 Investigation of Structural, Optical and Wettability Properties of Cadmium Sulphide Thin Films Synthesized by Environment Friendly SILAR Technique Sampat G. Deshmukh1,2*, Rohan S. Deshmukh3 and Vipul Kheraj2 Department of Engg. Physics, SKN Sinhgad College of Engg., Pandharpur, India Department of Applied Physics, S. V. National Institute of Technology, Surat, India 3 Department of Mechanical Engg., SKN Sinhgad College of Engg., Pandharpur, India 1

2

Abstract

In the present investigation, we have successfully developed the nanostructured cadmium suilphide (CdS) thin films by inexpensive, eco-friendly successive ionic layer adsorption and reaction (SILAR) technique. In this chapter, the influence of film thickness on the structural, optical, morphological and wettability properties of nanostructured CdS thin films has been investigated via X-ray diffraction (XRD), Raman spectroscopy, UV-Vis Spectroscopy, Scanning Electron Microscopy (SEM) and Water Contact Angle (WCA) measurement techniques. The XRD reveals CdS thin films were nanocrystalline in nature with cubic structure, regardless of the Cd source used. The Raman spectra exhibited a strong peak at 301 cm-1 conforming to the LO phonon mode of CdS film. The SEM shows the nanostructured CdS thin film surfaces were composed by nanosized cubic grains. With the rise in thickness of CdS thin film, bandgap energy values were starting to be decreased from 2.38 to 2.03 eV. Further, decrease in water contact angle has been observed as film thickness increased. The WCA for all nanocrystalline CdS thin films have value less than 90°, exhibiting hydrophilic nature. The detailed investigation of various properties of prepared nanostructured CdS films shows the applicability of these films in the field of optoelectronic devices. Keywords:  CdS, SILAR, XRD, Raman, contact angle

15.1 Introduction Now-a-days, cadmium sulfide (CdS) is a significant II-VI group semiconductor compound having a direct bandgap of 2.45 eV at room temperature [1]. It has many fascinating qualities, for example, n-type conductivity, high transmittance and electrical resistivity [1–3]. By exploiting these properties, CdS thin films currently broadly utilize in the creation of thin *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (285–298) © 2021 Scrivener Publishing LLC

285

286  Electrical and Electronic Devices, Circuits, and Materials film solar cell devices based on CdTe [4], Cu(In,Ga)Se2 (CIGS) [5] and Cu2ZnSnS4 (CZTS) [6]. It has likewise been applied in extraordinary applications which include optoelectronic and electronic devices [7]. Besides, as a semiconductor compound, CdS has pulled in exceptional consideration since advancements in the deposition methods made it conceivable to develop high-quality CdS thin films. Presently, for cost-effective solar photovoltaic technology, design of low-cost deposition techniques and utilization of abundance elements in the Earth’s crust become essential requirements. For the technological important CdS element, several methods have been employed, viz., pulsed-laser-deposition (PLD) [1], ultrasound-assisted technique [8], spin coating [9], microwave-assisted method [10], metal organic chemical vapor deposition (MOCVD) [11], vacuum evaporation [12], flux method [13], chemical bath deposition [14], RF sputtering [15], electro-deposition (ED) [16], precipitation method [17], successive ionic layer adsorption and reaction (SILAR) [18], and so forth. Adjacent to these preparation processes, the SILAR deposition method is appropriate to up-scaling the method in terms of large area deposition with cost effectiveness. Additionally, it has been proved its favorable circumstances in creating sulfide [19, 20] as well as oxide [21] thin films. Initially, Nicolau et al. [22] in 1985 validate SILAR technique for the thin film formation of CdS and ZnS. It is a basic synthesis testimony procedure, which does exclude vacuum or some other refined instruments. The SILAR thin film development system contains the accompanying significant advances: i) Adsorption of cations on the substrate surface; ii) Rinsing of abundance adsorbed particles from the layer; iii) Reaction of anions with cations, and iv) rinsing of overabundance and unreacted ions and/or species from the layer. In the existing work, nanostructured CdS films of different thickness had been created via an easy, environmentally friendly SILAR route at room temperature (300K). At this juncture, Cd(NO3)2.4H2O, CdCl2.H2O and Na2S had been utilized as a resources of Cd2+ and S2- ions respectively. The optical, structural, surface morphological, Raman and wettability characteristics of CdS thin films have been studied in detail with the assistance of UV-Vis spectroscopy, X-ray diffraction (XRD), Scanning electron microscopy (SEM), Raman spectroscopy and contact angle measurement techniques, correspondingly. Furthermore, we have deliberated the CdS film thickness influence on these physical properties.

15.2 Experimental Details In the SILAR deposition process of CdS thin films, all the synthetic chemicals of analytical grade (AR) were utilized with no more refinement. Here, cadmium nitrate tetrahydrate, cadmium chloride monohydrate, hexamethylenetetramine (HMT) and sodium sulfide are the essential chemicals. Cleaning of substrate is an essential procedure before the deposition of any material films. Hence, initially with the help of laboratory detergent glass substrates have been cleaned, followed by rinsing with distilled H2O for ~50 s. Then these substrates were heated in chromic acid, rinsed with distilled H2O and finally ultrasonicated (Model:CD-4820) for 5-7 min. Later on, AR grade (CH3)2CO was used to degrease the glass substrates [23]. In the present work to deposit CdS films, cationic precursor of cadmium nitrate tetrahydrate {(Cd(NO3)2.4H2O)}, or/and cadmium chloride

Investigation of Structural, Optical and Wettability Properties  287 monohydrate {(CdCl2)}, with complexing agent HMT and anionic precursor of sodium sulfide {(Na2S)} have been utilized. The concentration of 0.1M was maintained for both precursors. Within the improvement of nanostructured CdS films, the complexing agent HMT performs a crucial role [24]. Four beaker SILAR systems have been employed in the preparation of CdS films. In the present investigation, nanostructured CdS films were synthesized via two SILAR modes. In the first scheme (designated as S1), Cd(NO3)2.4H2O and Na2S were employed as a sources of Cd2+ and S2 ions, similar to earlier report [23]. The overall SILAR scheme consists of four beakers; two for cationic and anionic precursors, and the remaining two for distilled water. A SILAR cycle starts with the immersion of substrate in 0.1M Cd(NO3)2.4H2O with 5 mM HMT solution, initiating adsorption of Cd2+ cations over the substrate. It follows a rinsing in warm distilled water to eliminate excess or loosely bound Cd2+ ions, confirming a uniform spreading of the adsorbed ions. The substrate with adsorbed Cd2+ ions is then dipped in Na2S precursor which results in a chemical reaction between Cd2+ and S2 ions to form CdS compound. Subsequent rinsing again with warm water confirms a formation of uniform and well-­adherent to the substrates. The dipping and rinsing time were kept constant as 10 s. The deposition process was repeated for 20, 30, 40 and 50 cycles and formed films were nominated as RP1, RP2, RP3 and RP4 respectively. All these films were preserved in an air-tight box at room temperature. In the second scheme (designated as S2), an identical SILAR process was carried out by substituting Cd2+ source Cd(NO3)2.4H2O with CdCl2. The nanostructured CdS films prepared in S2 scheme with 20, 30, 40 and 50 cycles have been tagged as RP5, RP6, RP7 and RP8. The optimized synthesis parameters of nanostructured CdS films in scheme S1 and S2 are summarized in Table 15.1. These CdS films had been characterized with the help of various characterisation techniques. Thickness of all CdS films synthesized in S1 and S2 schemes were detected by employing Dektak-150 surface profile analyser. The crystal structure of all the CdS thin films was examined at 2 values from 20-60° by using X-ray diffractometer with CuK radiation. The Raman study of nanostructured CdS films were completed on Raman spectrometer (HR800-Horiba). The surface morphology of all nanostructured CdS films was studied by means of SEM images taken using JEOL JBM-6360A. JASCO UV-VIS-NIR spectrophotometer was utilized to confirm the optical behaviour of films prepared in SILAR scheme S1 and S2. The surface wettability test of CdS films had been investigated by way of water contact angle measurement (Rame Hart Inc. Ltd). Table 15.1  Optimized synthesis parameters of nanostructured CdS thin films in scheme S1 & S2. S1

S2

Parameters ↓

Cd(NO3)2.4H2O

Na2S

CdCl2

Na2S

Temperature (K)

300

300

300

300

Concentration (M)

0.1

0.1

0.1

0.1

Immersion time (s)

10

10

10

10

Rinsing time (s)

10

10

10

10

288  Electrical and Electronic Devices, Circuits, and Materials

15.3 Results and Discussion 15.3.1 Film Formation Mechanism Figure 15.1 shows the pictorial depiction of preparation of CdS thin films by SILAR technique using two cadmium sources. The 0.1M Cd(NO3)2.4H2O or CdCl2 was used as a supply of Cd2+ ions. At the point when substrate was turned into immersed in this solution, the Cd2+ ions get adsorbed at the surface of glass substrate because of attractive forces between ions inside the solution and surface of the substrate. These forces might be cohesive forces or Van der Waals forces or chemical attractive forces [25]. This can be described by the following reactions,



CdCl 2 → 2.Cl(−aq ) + Cd (2aq+ )

(15.1)



Cd(NO3 )2 .4H 2O → 2.NO3−(aq ) + Cd (2aq+ ) + 4.H 2O

(15.2)

Here, the unadsorbed species/ions (NO3− , Cd2+ and Cl ) were detached from the substrate via rinsing it in warm distilled H2O. Further, when this substrate was immersed into the Na2S solution, S2 ions reacts with Cd2+ ions and formation of CdS takes place as follows: H 2O



Na 2S → 2.Na (+aq ) + S(2aq− )

(15.3)

∴ Cd2+ + S2− → Cds

(15.4)

Again, the unreacted ions/species (Na+, S2 ) had been removed by way of dipping the substrate in warm H2O. These formed CdS films have been uniform in addition to well-­ adherent to the substrate.

CdS

Cd(NO3)2 or CdCl2

Warm H 2O

Na2S

Warm H2O

Figure 15.1  SILAR technique for the preparation of nanostructured CdS thin films.

Investigation of Structural, Optical and Wettability Properties  289

15.3.2 Thickness Measurement Film thickness performs a vital role in the investigation of a few properties. To quantify the  thickness of entirely CdS films, surface profile analyzer (Bruker: Dektak-150) was utilized. The variation of film thickness of all CdS thin films prepared in SILAR scheme S1 and S2 with number of dipping cycles was depicted in Figure 15.2. The growth rate of film thickness for all CdS films was not linear, which may be ascribed to the advancement of nucleation and coalescence process. Additional nucleation destinations add to coagulation at some stage in the developing process. For RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 nanostructured CdS films, the thickness was found to be 0.17, 0.65, 1.41, 2.00, 0.20, 0.97, 1.51 and 2.11 m respectively. For all films, rise in thickness with rise in number of immersion cycles were detected. This might be because of suitable reaction period accessible for the creation of oriented development of CdS films. Likewise, it is seen that the growing rate of RP5, RP6, RP7, RP8 was higher than RP1, RP2, RP3, RP4 resulting in the greater film thickness. Our attained results of thickness are similar to the earlier outcomes reported by G. Kitaev [26] and V. Ashith [18]. The thickness of the films couldn’t rise further because of peeling off the material from the surface of the film [27].

15.3.3 Structural Studies

RP6

Thickness (µ m)

RP3 RP7

2

RP RP

RP4 RP8

The structural properties of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 nanostructured CdS films were studied by X-ray diffraction (XRD) profile taken on Rigaku-Ultima-IV with CuK radiation. Figure 15.3 shows the XRD pattern of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 SILAR deposited CdS thin films. For RP4 and RP8, the major diffraction peaks have been observed at 2 values of 26.61°, 43.88°, 51.95° and 26.52°, 44.04°, 52.21° correspondingly. These observed peaks were indexed to (111), (220) and (311) planes, confirming the cubic structure of CdS [JCPDF Card No.750581]. No diffraction peaks of (100), (101) and (103) planes at 2 value 24.83°, 28.21° and 47.89° in Figure 15.3, confirms the absenteeism of hexagonal phase of CdS [JCPDF Card No.772306]. With simple, ecofriendly and inexpensive SILAR technique, optimization of pure cubic CdS films has been achieved. For RP1, presence of small hump from 2 values = 20-30° may be attributed to

RP1 RP5

RP2

1

0

20

40 50 60 30 Number of Immersion cycles

Figure 15.2  Variation of CdS thin film thickness with SILAR cycles.

RP3 RP2

(311)

Intensity (a.u.)

RP4

(220)

(311)

Intensity (a.u.)

(220)

(111)

(111)

290  Electrical and Electronic Devices, Circuits, and Materials

RP8 RP7 RP6

RP1 20

25

30

35 40 45 Angle 2θ

50

55

60

RP5 20

25

30

35 40 45 Angle 2θ

50

55 60

Figure 15.3  XRD pattern of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films.

the amorphous glass substrate [3]. By using Bragg condition [28], the interplanar d-spacing of CdS thin films can be evaluated,



2dsinθ = nλ

(15.5)

where, d = distance between the planes = diffraction angle n = order, (1, 2, …… etc) λ = wavelength of X-rays used For all CdS films, the correlation between the standard relative intensity (%) and dvalues with detected relative intensity (%) and d-values were presented in Table 15.2 and Table 15.3, respectively. For RP3, RP4, RP7 and RP8, the crystallite size was determined by employing Debye-Scherrer equation [29],



D=

kλ β cos θ

(15.6)

where, λ = wavelength of X-rays utilized, k = Scherrer constant (0.94), = Bragg’s angle, and β = full-width at half-maxima (FWHM) intensity of diffracted peak (in radian). For RP3, RP4, RP7, RP8, the crystallite size was found to be 3.07, 3.21, 2.34, 2.36 nm, respectively. These values of crystallite size were similar with the reported values by Yucel et al. [30]. The dislocation density ( ), an imperfection in a crystal, was evaluated using formula [24, 29],



δ=

1 D2

(15.7)

The stresses were the major hurdles in the path of development of promising structural properties. It may arise from geometric discrepancy on interface between substrate and

Investigation of Structural, Optical and Wettability Properties  291 Table 15.2  Correlation of standard versus observed relative intensity (%) of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS films. Standard relative intensity (%)

Observed relative intensity (%) RP1

RP2

RP3

RP4

RP5

RP6

RP7

RP8

(hkl)

100

100

100

100

100

100

100

100

100

111

77

18

22

32

35

22

29

35

39

220

64

11

19

23

26

12

13

28

34

311

Table 15.3  Correlation of standard versus observed d-values of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS films. Standard d-value (Å)

Observed d-value (Å) RP1

RP2

RP3

RP4

RP5

RP6

RP7

RP8

(hkl)

3.3544

3.3565

3.3575

3.3583

3.3594

3.3569

3.3536

3.3460

3.3611

111

2.0541

2.0562

2.0562

2.0559

2.0584

2.0562

2.0562

2.0573

2.0559

220

1.7517

1.7570

1.7570

1.7614

1.7547

1.7570

1.7523

1.7554

1.7519

311

crystalline lattice. These stresses can be a source of microstrain ( ) in the films, calculated by using the equation [24, 29],

ε=



β .cos θ 4

(15.8)

The correlation of crystallite size (D), FWHM (β), micro strain ( ) and dislocation density ( ) of RP3, RP4, RP7 and RP8 CdS films are concise in Table 15.4. For both S1 and S2 SILAR schemes, it is observed that as SILAR immersions increased, intensity of major (111) peak increased. The development in the crystallinity of the films Table 15.4  Comparison of dislocation density, micro-strain, FWHM and crystallite size of RP3, RP4, RP7 and RP8 CdS thin films. Sample

δ (nm)-2 ×10-1

ε x10-2

FWHM

D in nm

RP3

1.06

1.130

2.661

3.07

RP4

0.97

1.080

2.545

3.21

RP7

1.83

1.483

3.495

2.34

RP8

1.80

1.470

3.464

2.36

292  Electrical and Electronic Devices, Circuits, and Materials formed in S1 and S2 schemes was confirmed by means of a rise in intensity of major (111) peak. The major peak (111) has stronger intensity for the films formed in SILAR scheme S2, since the films of SILAR scheme S1 has less thickness [Figure 15.2]. Moreover, the fullwidth at half-maximum (FWHM) of the RP3, RP4 and RP7, RP8 reduced with increase in SILAR cycles. It also confirms the rise in grain size takes place with rise in SILAR cycles. Additionally, with the rise in thickness of films, reduction in the micro-strain and dislocation density was detected. This implies improvement in the crystallite sizes and crystallinity of the films.

15.3.4 Raman Spectroscopy

301 RP4 RP3 RP2

Raman Intensity (a.u.)

Raman Intensity (a.u.)

302

Raman spectra of the prepared CdS films was measured in the range of 250-400 cm-1 and shown in the Figure 15.4. Cubic structure of CdS possesses 4 atom/unit cell, C6v symmetry and space group of Td2 (F 4 3m). At the zone center there were 9 optical phonon modes existing, confirmed by group theory. They may be subdivided as: i) one A1; ii) one doubly degenerate E1: Raman and infrared dynamic; iii) two doubly degenerate E2: only Raman dynamic; and iv) two inactive B1 branches in both infrared absorption and Raman scattering [31, 32]. Figure 15.4 reveals that the intensity of leading Raman peak increased with SILAR cycles for both S1 and S2 scheme. The broad and strong Raman peak at around 302 and 301 cm-1 of RP1, RP2, RP3, RP4 and RP5, RP6, RP7, RP8, respectively, were allotted to fundamental optical phonon (LO) mode [33]. For all CdS this film, the major Raman peak shifts towards lower wavenumber with increase in film thickness. These obtained results were consistent with earlier reports of bulk CdS [31, 34]. The LO Raman peak of all CdS films [Figure 15.4] was found to be asymmetric towards lower frequency and broadened [35], compared with bulk CdS. In the current investigation, increase in the intensity of LO Raman peak for all CdS films with rise in SILAR cycles, indicates improvements in the crystallinity of films. These results are consistent with XRD outcomes explained in segment 15.3.3.

RP8 RP7 RP6

RP1

RP5 250

300 350 Wavenumber (cm−1)

400 250

300 350 Wavenumber (cm−1)

400

Figure 15.4  Raman spectra of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films.

Investigation of Structural, Optical and Wettability Properties  293

15.3.5 Scanning Electron Microscopy The 2-D surface morphological investigation of the nanostructured CdS films have been done from scanning electron micrographs. Figure 15.5 shows the SEM images of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS films. From the RP1 and RP5 micrographs, the establishment of nanosized smooth particles on the substrates was observed. In the chemical synthesis methods, the film formation took place due to the nucleation and coalescence, hence some nano-sized pores are also observed in RP1 and RP5. As SILAR cycles increased in both schemes S1 and S2, porosity of the films decreases and dense, without cracks or holes, uniform and smooth grained CdS films are developed on the glass

RP1

RP2

500 nm RP3

500 nm RP4

500 nm

500 nm RP6

RP5

500 nm

500 nm RP7

RP8

500 nm

500 nm

Figure 15.5  SEM photographs of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films.

294  Electrical and Electronic Devices, Circuits, and Materials substrate. However, careful observations show that nano-sized cubic grains were uniformly developed on substrates [Figure 15.5]. To measure the grain size of all CdS films, cross bar arrangement of given scale in nm was utilized. The typical grain size was found to be 41.66, 49.2, 52.5, 58.2, 46.87, 52, 57.29 and 64 nm for RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 of CdS thin films respectively. For S1 and S2, grain size increased with increment in thickness of CdS films. The obtained results are comparable with the prior reports of Ersin [30] and Juan [36].

15.3.6 Optical Studies The idea of optical transition and energy bandgap of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films were determined using the following formula [37],

α=



A(hν − E g )n hν

(15.9)

where, h = incident photon energy, Eg = gap between conduction band and valence band, and A = constant. The permitted value of n was, n = 2 for indirect transition; and n = ½ for direct transition. For all CdS films of SILAR S1 and S2 scheme, the plots of ( h )2 against h was shown in Figure 15.6. From graph of Figure 15.6, the linear nature of direct transitions was detected. The estimation of energy bandgap values of CdS films was done by extrapolating the linear part of ( h )2 against h to ( h )2 = 0. But, the energy bandgap was established as 2.29, 2.20, 2.10, 2.03, 2.38, 2.29, 2.13, 2.05 eV for RP1, RP2, RP3, RP4, RP5, RP6, RP7, RP8, respectively. These results are consistent with the earlier reports [3, 38, 39]. The SILAR S2 CdS thin films have greater bandgap values than SILAR S1, which might be because of small crystallite size [2]. It is seen that acquired energy bandgap values decreased with the rise in SILAR cycles of S1 and S2 scheme [Table 15.5]. This decrease in energy bandgap value might be attributed to the development in grain size and crystallinity [30] of CdS films. These obtained outcomes are consistent with XRD, as explained in above 15.3.3 segments.

2.03 eV

RP

RP1

2.10 eV 2.20 eV 2.29 eV

1.8 2.0 2.2 2.4 2.6 2.8 3.0 3.2 3.4 Photon Energy (eV)

(αhv)2 (eV.cm−1)2 x1013

RP2

3

(αhv)2 (eV.cm−1)2 x1013

RP4

RP6

RP8 RP7

2.05 eV

RP5

2.13 eV 2.29 eV 2.38 eV

1.8 2.0 2.2 2.4 2.6 2.8 3.0 3.2 3.4 Photon Energy (eV)

Figure 15.6  The variation of ( h )2 against h of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS films.

Investigation of Structural, Optical and Wettability Properties  295 Table 15.5  Optical energy bandgap of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS films. Sample → Band gap ↓

RP1

RP2

RP3

RP4

RP5

RP6

RP7

RP8

Our work (eV)

2.29

2.20

2.10

2.03

2.38

2.29

2.13

2.05

Reported (eV)

2.32

2.24

2.12

2.02

2.38

2.29

2.12

2.02

Ref.

[38]

[38]

[3]

[3]

[39]

[38]

[3]

[3]

15.3.7 Wettability Studies Wettability study is a necessary parameter when CdS films are used for smart window or solar cell applications. Likewise, to differentiate the hydrophilic or hydrophobic behaviour of all nanostructured CdS thin films, investigation of wettability test becomes essential. The contact angle is predictable to depend upon nearby in chemical composition, homogeneity and the surface morphology of the material [39, 40]. Here wettability study of all nanostructured CdS thin films was done by water contact angle (WCA) measurement. Figure 15.7 shows the images of surface water contact angle (WCA) of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films. The WCA were found to be 75.8°, 53.0°, 43.0°, 39.4°, 47.7°, RP2

RP1

75.8° 53°

RP4

RP3

39.4°

43°

RP6

RP5

47.7°

35°

RP7

20.1°

RP8

17.2°

Figure 15.7  Images of water contact angle of RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films.

296  Electrical and Electronic Devices, Circuits, and Materials 35.0°, 20.1° and 17.2° for RP1, RP2, RP3, RP4, RP5, RP6, RP7 and RP8 CdS thin films, respectively, indicating all film surfaces are hydrophilic as WCA is under 90°. It is noticed that contact angle of CdS films formed in S1 scheme was higher than that of scheme S2. The WCA of CdS films were reduced with rise in SILAR immersion. This decline in WCA of film might be: i) increment in grain size as well as improvement in surface morphology, and ii) thick CdS films has moderately less inner and outside strain energies equated to the thin one [37, 41].

15.4 Conclusion Nanostructured CdS films have been developed using economical, eco-friendly successive ionic layer adsorption and reaction (SILAR) scheme onto glass substrate. The impact of film thickness on the optical, structural, morphological and wettability properties of nanostructured CdS films has been studied and discussed. The XRD studies revealed that the synthesized nanostructured CdS thin films have high direction along (111) plane with cubic structure. The progress in the crystallinity of nanostructured CdS films was confirmed by a rise in intensity of leading (111) peak with a rise in film thickness. The LO phonon mode for all CdS films exists at 301 cm-1 in the Raman spectrum. It is likewise seen that intensity of strong peak increased with increment in immersion cycles. The surface morphology of the CdS films suggests the uniform distribution of cubic grains over the glass substrate. The optical direct bandgap energy was found to decrease from 2.38 to 2.03 eV, as the thickness of the films was increased. The decrease in water contact angle has been observed as film thickness increased. For all deposited nanocrystalline CdS thin films has hydrophilic nature, as water contact angles (WCA) were under 90 degrees. The detailed investigation of structural, optical, surface morphological properties of nanostructured CdS films exposed that these CdS films are often utilized for optoelectronic applications.

15.5 Acknowledgement One of the authors (SGD) is grateful to Shrimati Vimal G. Deshmukh for her consistent inspiration and guidance. The authors are thankful to Kavayitri Bahinabai Chaudhari North Maharashtra University, Jalgaon; Punyashlok Ahilyadevi Holkar Solapur University, Solapur and Defence Institute of Advanced Technology, for providing characterization facility.

References 1. Liang Qian, Zeng Guanggen, Li Bing, Wang Wenwu, Jiang Haibo, Zhang Jingquan, Li Wei, Wu Lili, Feng Lianghuan, Mater. Sci. Ed. 30, 307-310, 2015. 2. Swapna Samanta, M. S. Shinde, R. S. Patil, Int. J. Thin Fil. Sci. Tec. 4, 97-101, 2015. 3. D. S. Dhawale, D. P. Dubal, M. R. Phadatare, J. S. Patil, C. D. Lokhande, J. Mater. Sci. 46, 5009– 5015, 2011. 4. N. Romeo, A. Bosio, D. Menossi, A, Romeo, Matteo Aramini, Energy Procedia 57, 65-72, 2014.

Investigation of Structural, Optical and Wettability Properties  297 5. P. Jackson, D. Hariskos, R. Wuerz, O. Kiowski, A. Bauer, T. M. Friedlmeier, et al. Phys. Status Solidi Rapid Res. Lett. 9, 28-31, 2015. 6. Jiahua Tao, Junfeng Liu, Leilei Chen, Huiyi Cao, Xiankuan Meng, Yingbin Zhang, Chuanjun Zhang, Lon Sun, Pingxiong Yang, Junhao Chu, Green Chem. 18, 550-557, 2016. 7. Hani Khallaf, Isaiah O. Oladeji, Guangyu Chai, Lee Chow, Thin Solid Films 516, 7306-7312, 2008. 8. Y. Azizian-Kalandaragh, Optoelectronic. Adv. Mater.-Rapid Comm. 4, 1655, 2010. 9. Jayesh Patel, Frej Mighri, Abdellah Ajji, Devendra Tiwari, Tapas K. Chaudhuri, Appl. Phys. A, 117, 1791–1799, 2014. 10. M. A. Mahdi, Z. Hassan, S. S. Ng, J. J. Hassan, S. K. Mohd Bakhori, Thin Solid Films 520, 3477– 3484, 2012. 11. Elerujaa M. A., Adedejia A. V., Olofinjanaa B., J. Non oxide Glasses, 2, 175, 2010. 12. M. Tomakin, M. Altunbas, E. Bacaksiz, S. Celik, Thin Solid Films 520, 2532, 2012. 13. L. A. Patil, P. A. Wani, Cryst. Res. Technol. 36, 371, 2001. 14. I. Carreon-Moncada, L.A. Gonzalez, J.L. Rodriguez-Galicia, J.C. Rendon-Angeles, Thin Solid Films 599, 166–173, 2016. 15. E. M. Feldmeier. A. Fuchs, J. Schaffner, H. J. Schimper, A. Klein, W. Jaegermann, Thin Solid Films 519, 7596-7599. 2011. 16. C. Lu, L. Zhang, Y. Zhang, S. Liu, G. Liu, Appl. Surf. Sci. 319, 278, 2014. 17. P. Raji, C. Sanjeeviraja, K. Ramachandran, Cryst. Res. Technol. 39, 617, 2004. 18. Ashith V. K. and Gowrish Rao K, Thin Solid Films, 616, 197-203, 2016. 19. S. G. Deshmukh, Akshay Jariwala, Anubha Agarwal, Chetna Patel, A. K. Panchal, Vipul Kheraj, AIP Conference Proceedings 1724, 020033, 2016. 20. Sampat G Deshmukh, Vipul Kheraj, Nanotechnology for Environmental Engineering, 2, 1, 2017. 21. F. N. Jimenez-Garcia B. Segura-Giraldo E. Restrepo-Parra G.A. Lopez-Lopez, Ingeniare Revista chilena de ingenieria, 23, 622-629, 2015. 22. Y. F. Nicolau, Appl. Surf. Sci. 22-23, 1061-1074, 1985. 23. S. G. Deshmukh, Vipul Kheraj, A. K. Panchal, Materials Today: Proced. 5, 21322-21327, 2018. 24. S. G. Deshmukh, S. J. Patel, K. K. Patel, A. K. Panchal, V. Kheraj, Journal of Electronic Materials, 46, 5582-5588, 2017. 25. A. M. More, J. L. Gunjakar, C. D. Lokhande, Oh Shim Joo, Appl. Surf. Sci. 255, 6067-6072, 2009. 26. G. Kitaev, S. Mokrushin, A. Uritskaya, Kolloidn. Z. 27, 51, 1965. 27. U. M. Patil, K. V. Gurav, Oh-Shim Joo, C. D. Lokhande, J. Alloys and Compd. 478, 711-715, 2009. 28. K. K. Patel, D. Shah, Advanced Science Letters, 22, 1071-1075, 2016. 29. S. G. Deshmukh, A. K. Panchal, V. Kheraj, J. Mater. Sci.: Mater. Electron., 28, 11926-11933, 2017. 30. Ersin Yucel, Yasin Yucel, Mustafa Durak, J. Alloys and Compd. 664, 530–537, 2016. 31. B. Tell, T. C. Damen, S. P. S. Porto, Phys. Rev. 144, 771-774,1966. 32. Pragati Kumar, Nupur Saxena, Ramesh Chandra, Vinay Gupta, Avinash Agarwal, Dinakar Kanjilal, Nanoscale research letters 7, 584, 2012. 33. Yundan Liu, Long Ren, Xiang Qi, Yao Wang, Xuejun Liu, Jianxin Zhong, RSC Adv. 4 8772-8778, 2014. 34. P. P. Sahay, R. K. Nath, S. Tewari, Crystal Research and Technology, 42, 275, 2007. 35. Rajeev R Prabhu, M Abdul Khadar, Pramana J. Phys. 65, 801-807, 2005. 36. Juan Chu, Zhengguo Jin, Shu Cai, Jingxia Yang, Zhanglian Hong, Thin Solid Films 520, 1826– 183, 2012. 37. S. G. Deshmukh, V. Kheraj, K. J. Karande, A.K. Panchal, R. S. Deshmukh, Materials Research Express, 6, 084013, 2019.

298  Electrical and Electronic Devices, Circuits, and Materials 38. K. Manikandan, P. Mani, P. Fermi Hilbert Inbaraj, T. Dominic Joseph, V. Thangaraj, C. Surendra Dilip, J. Joseph Prince, Indian J. Pure & Appl. Phys. 52, 354-359, 2014. 39. M. Kundakci, A. Ates, A. Astam, M. Yildirim, Physica E 40, 600–605, 2008. 40. A. M. Kokare, R. S. Sutar, S. G. Deshmukh, R. Xing, S. Liu, S. S. Latthe, AIP Conf. Proceed. 1953, 100068, 2018. 41. A. M. More, J. L. Gunjakar, C. D. Lokhande, Sung-Hwan Han, Micron 38 (2007) 500-504, 2007.

Part II DESIGN, IMPLEMENTATION AND APPLICATIONS

16 Solar Photovoltaic Cells V. Mohanapriya1* and V. Manimegalai2 Department of EEE, Bannari Amman Institute Technology, Coimbatore, Tamilnadu, India 2 Department of EEE, Sri Krishna College of Technology, Coimbatore, Tamilnadu, India

1

Abstract Nowadays Renewable energy sources mainly solar energy which is generated from natural sources such as sun became popular and has gained attention. Solar energy is an extremely large and inexhaustible source of electrical energy from which energy can be extracted again and again as and when required. For generating power solar cells are used which converter light energy into electrical energy. With the evolution of materials used for the construction of solar cells it is broadly classified into many types. This incredible change in the fabrication of solar cells over have improved their efficiency, cost and life span over years with development of advanced technologies. This chapter describes the types of materials used in solar cells from its origin. Finally different types of solar cells connections and PV systems are discussed in detail. Keywords:  Solar cell elements, solar cell materials, emerging solar cells, PV module, array, photovoltaic configurations

16.1 Introduction In today’s world, electrical energy has become more prominent and its usage is higher and also increasing due to current lifestyles. Electrical energy is generated using many resources such as renewable and non-renewable energy resources. Mostly, electrical energy is generated by using non-renewable energy resources. Due to high consumption of electrical energy these resources start to deplete. To overcome this scenario, alternative energy sources are used to generate electrical energy. The main alternative sources of electrical energy are solar energy, wind energy, biogas, etc., all of which are in the category of renewable energy sources. These resources are abundant in nature and are easily available and will not be depleting. Among these renewable energy sources, solar energy has become one of the main resources of electrical energy. Solar power is an incredibly broad and inexhaustible source of electricity. Solar energy is a very desirable source of power in the field due to the rising price of oil as well as the detrimental impact on the atmosphere that conventional energy production brings [1, 16].

*Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (301–314) © 2021 Scrivener Publishing LLC

301

302  Electrical and Electronic Devices, Circuits, and Materials

16.2 Need for Solar Cells • The need for low-maintenance, long-lasting power supply for areas away from the main electricity grid and people; e.g., satellites, remote water storage sites, outback telecommunications stations and lighthouses. • The need for low-cost power supply for people from remote places; e.g., indigenous villages, outback sheep and cattle stations. • The need for non-polluting and noiseless power supply; such as tourist areas, caravans and campers. • The need for a simple source of small quantities of power; such as calculators, clocks, light meters and cameras. • The need for clean and sustainable energy as a way of mitigating global warming.

16.3 Structure of Solar Cell The fundamental elements of a single solar cell are listed below. (1) SUBSTRATE Substrate forms the base of p region. By doping silicon with trivalent impurity such as Boron, Aluminium, Gallium and Indium, P-type semiconductor is formed. Silicon has four electrons in its outermost orbit and dopant which is trivalent has one valence electron less than silicon, one free space referred to as hole if formed during the combination process. The p-layer absorbs the photons from sunlight and gives a higher number of electrons. The p-layer should be such that it prevents the electrons-hole recombination [2]. (2) EMITTER The emitter is made by doping Silicon with pentavalent impurities like phosphorous, Antimony and Arsenic. But phosphorous is widely used as impurity for solar cell applications. Pentavalent impurities have five valance electrons in their outer orbit, so one electron remains during doping and acts as a negative charge carrier [2]. (3) ELECTRICAL CONTACTS The electrical contacts made of Aluminium or Molybdenum are used to connect the semiconductor material with the external load. (1) Front contact: Present metallic finger trip selection grid is structured so that photon energy falls on diffused layers in n-regions. The electrons flow on the surface of the solar cell; when sunlight falls on it, it must be placed on the surface of the cell to capture the full current. (2) Back contact: Made of metallic conductor and positioned on the side away from the incoming light which covers the back completely [2].

Solar Photovoltaic Cells   303 (4) ANTI-REFLECTIVE COATING Anti-reflective coating is a thin film of silicon-dioxide (SiO2) which prevents the reflection on the surface of the cell to improve cell performance. It will decrease the reflection of suitable cell wavelengths, by allowing more sunlight to enter the film layer of the semiconductor, thereby increasing the performance of the solar cells [2].

16.4 Solar Cell Classification Solar cells are classified into three generations based upon the material. Figure 16.1 shows the classification of the solar cell.

16.4.1 First-Generation Solar Cells These are conventional cells made of crystalline silicon material like polysilicon and monocrystalline silicon. These types are mostly used in PV technology. a) Monocrystalline silicon: Monocrystalline silicon cells are more expensive and compelling than most other kinds of cell. Since the wafer fabric is cut from round and hollow ingots, which are more often developed by the Czochralski strategy, the cell edges resemble an octagon. Monocrystalline silicon Solar panels shows small white diamond characteristic [4, 21]. The advantages are (1) High Efficiency (2) Low cost (3) Less space (4) No harm to environment (5) Long life.

Solar cell

Mono crystalline silicone PV

Cadmium Telluride

Copper indium gallium selenide

Polycrystalline silicon Pv Dye sensitized solar cell

Dye sentisized nanocrystalline

Figure 16.1  Classification of solar cell.

Thin film amorphous silicon PV

Organic solar cell

Molecular OSC

Amorphous silicon

Polymer solar cells

304  Electrical and Electronic Devices, Circuits, and Materials b) Polycrystalline silicon: Polycrystalline silicon cells are small crystals made of large blocks of molten silicon. It is the most commonly used in solar cells but compared to Polycrystalline silicon [4, 21], it is less efficient and low cost.

16.4.2 Second-Generation Solar Cells These are thin film cells made of amorphous silicon, CdTe, CGIS. a) Cadmium telluride: The thin film substance called cadmium telluride is extremely toxic and has minimal supplies. Telluride releases contaminants in the atmosphere during a fire incident. A square meter of CdTe contains approximately the same quantity of Cadmium as a single cell ni-cd battery, in a more steady and less dissolvable shape [5]. b) Copper Indium Gallium selenide (CIGS): CIGS is a highly effective, direct band gap material among all thin film materials commercially avaliable. Recently several attempts have been made to reduce the expense of processes with non-vacuum solution [5]. c) Amorphous silicon: Thin film silicon cells made of silane gas and hydrogen gas, which can produce amorphous silicon, depending on the deposition parameters. An amorphous silicon solar pv cell is formed of non-crystalline or microcrystalline silicon. The amorphous silicon has higher bandgap energy of (1.7 ev) than crystalline silicon (1.1 ev), due to which it absorbs the part of the radiation that is visible. The glass substrate is used in thin film amorphous silicon solar cells [5, 14].

16.4.3 Third-Generation Solar Cells It incorporates a number of thin film technologies. Most of them have not yet been commercially used [4, 5, 15, 18]. a) Perovskite solar cells: The non-organic perovskite solar cell acts as the solution for low-cost manufacturing which absorbs the sun’s radiation. Non-amine HX salts and lead halides are used to prepare non-organic perovskite solar cell [5]. b) Dye sanitized solar cells (DSSC): The Dye sanitized solar cell is a low-cost solar cell made of nanoporous titanium oxide (TiO2) as a semiconductor electrode.The structure of DSSC is simple compared to other solar cells [5]. c) Organic/polymer solar cells: Organic semiconductors with polymers like polyphenylene vinylene and small-molecule compounds like copper phthalocyanine and carbon corbon fullerences are used to prepare thin films (typically 100 nm) Organic solar cells [5].

Solar Photovoltaic Cells   305

solar cell

solar module

solar panel

solar array

Figure 16.2  Solar PV system.

d) Gallium arsenide solar cell: This type of solar cell is highly efficient but its lifetime is very short. The mono crystalline solar cells are cost effective with decent performance, which made them highly marketable. Solar power generation is the demanding sources of power [5].

16.5 Solar PV Cells A group of solar cells within array, arranged in one plane, form a photovoltaic solar panel or module as shown in Figure 16.2. In a solar panel, photovoltaic cells are associated in series arrangement or parallel arrangement or series parallel arrangement, which is known as solar array. Solar panels are designed according to required wattage output from the cell. In a solar PV system, solar panels are connected. In order to boost the voltage, the cells are connected in parallel, to boost the current, the output cells are connected in series [6]. Solar cell outputs are based on the irradiance level of light and also the atmospheric temperature. If the temperature is increased beyond standard temperature range, output will be greatly reduced. The performance of cells can be determined by its parameters, viz., short circuit current density (Jsc), open circuit voltage (Voc), fill factor (FF) and efficiency (η) [3, 4]. light Energy +

Electron

Anti reflecting coating Hole

Load

n type P type



Figure 16.3  Incident light on a typical pn solar cell.

306  Electrical and Electronic Devices, Circuits, and Materials

16.6 Solar Cell Working In a solar cell, photons reach the panel and are absorbed by the silicon cells as shown in Figure 16.3. When an electron is excited, it will emit energy as heat and return to its orbit. Potential is cancelled when the current flows through the material, and absorbs this energy. For this phase the chemical bonds of the material are important. and two-layer silicon is added, one with boron and the other with phosphorus [3]. The solar cell is a device which converts light energy into electricity through a process called the photovoltaic effect, which is a physical and chemical phenomenon. Once the sunlight falls on the solar cell by photovoltaic effect, the light falling on it is transformed into direct current. Incident light induces the production of electron–hole pairs in the semiconductor, and the concentration of minority carriers increases a depletion region. The minority carriers migrate into the quasi-neutral regions across the depletion area due to the rise in the minority carriers concentration. Those photo-generated carriers allow photo-generated current to flow [2]. A load is connected across the p-n junction electrodes; some fraction of current generated flows through the load. A voltage decrease over the load would lower the potential among the n-type region and the p-type region. In addition, the electrostatic potential variation over the depletion area gets decreased, increasing the recombination current [3]. Solar cell is also known as photoelectric cell, which is defined by electrical characteristics such as current, voltage and power of the cell. Multiple solar cells are connected to form a module, otherwise solar panel. A single solar cell produces a maximum open circuit voltage of 0.6V and maximum short circuit current of 7.34A [1].

16.7 Mathematical Modelling of Solar Cell Figure 16.4 shows the ideal and practical solar PV. In an ideal PV cell there will be zero series and shunt resistance whereas these resistances will be higher in the practical solar cell [6, 14, 11, 12]. The VI characteristics of an ideal Photovoltaic cell is described in the form of equation as given below.

 qV  I = I pv ,cell − I o ,cell exp  −1  ∝ kT 



Rs

(16.1) I

V Ipv

Id

Rp

Figure 16.4  Single diode diagram of PV cell and practical PV equivalent circuit.

Solar Photovoltaic Cells   307 I PV, cell– Current due to incident of light Io, cell– Diode leakage current q – Charge of an electron k – Boltzmann constant T – Pn junction temperature α – ideality constant of Diode Shockley diode current Id is equal to second part of the equation. The Figure 16.5 show the IV characteristics of Photovoltaic cell. The PV device does not have the same IV characteristics as in an ideal Photovoltaic cell. From this curve the parameter like short circuit current and open circuit voltage can be determined. From two values the maximum power point of solar cell can be found on the I-V characteristic. From these values we can easily calculate parameters like fill factor FF, the maximum power Pmax or the cell efficiency η [8, 9, 13]. The equation of practical PV is given as



  V + RsI   V + RsI I = I pv − I o exp   − 1 − Rp   Vt ∝  

(16.2)

Ipv– Photovoltaic current IO– Saturation current Vt – Array thermal voltage Rs – Array equivalent series resistance Rp – Array equivalent parallel resistance α – Ideality constant of diode The most widely used method of PV cell is single diode model. The Practical PV characteristics are shown in Figure 16.6 and the curve highlights the following: (0, Isc) – Power is zero (Voc, 0) – Open circuit point, power is zero (Vmp, Imp) – Maximum Power Point (MPP)

Isc

Pmax

Current (A)

Voltage (V)

Figure 16.5  IV characteristics of ideal PV cell.

Voc

308  Electrical and Electronic Devices, Circuits, and Materials Pmpp

Isc I mpp MPP

P=0

P=0 Voltage (V)

Vmpp

Figure 16.6  PV characteristics of PV practical cell.

In MPP, the maximum output power is obtained by PV panel or PV array. Below Vmp the current is independent of output voltage, as voltage increases, current starts to decrease. Isc and Voc are same in I-V characteristics of two individual PV modules; more power is produced when the array fill factor is higher [6]. 1. Maximum Power The VI characteristic for the ideal solar cell should have a rectangle shape with sides ISC and VOC. In practice, there are no ideal cells. The maximum power of the solar cell is always smaller than the ideal cell power, which is the ratio of open circuit voltage VOC to short ciruit current ISC. The maximum Pmax of the real solar cell actual is given by the formula:

Pmax = I V max

(16.3)

where: Im, Vm – current and voltage for which the rectangle area is the biggest There are many practical applications like Rooftop solar PV system, Solar vehicles, Solar-powered water purification system etc. [7]. 2. Fill Factor The quantity which describes the quality of a photovoltaic solar cell is called fill factor FF. When the shape of the I-V characteristic is similar to a rectangle the fill factor value is high. It can be expressed in percentages as



FF =

ImVm ⋅100% I SCVOC

(16.3)

3. Efficiency The efficiency of a solar cell is defined as a ratio of the maximum power to the solar irradiation power. Efficiency is given by the formula



η=

ImVm ⋅100% P0

(16.4)

Solar Photovoltaic Cells   309

16.8 Solar Cell Connection Methods Connecting solar panels together is inexpensive and it is easy to boost the maximum solar power. It is better to select a connecting method that will give us the most efficient configuration. There are six different ways to connect solar panels. The configuration type includes series (S), parallel (P), series - parallel (SP), Total cross tied (TCT), Bridge linked (BL), Honey comb (HC) [10]. a) SERIES CONFIGURATION In Series types configuration cells are connected only in series as shown in Figure 16.7. During series connection voltage is maintained constant, only current is increased. When fault occurs in single cell it will affect over system [19, 20]. b) PARALLEL CONFIGURATION In parallel configuration cells are connected only in parallel as shown in Figure 16.8; here the current remains the same and voltage is changed according to the number of cells connected. c) SERIES-PARALLEL CONFIGURATION In series parallel (SP) configuration the cells are connected in series and parallel as shown in Figure 16.9. d) BRIDGE LINK CONFIGURATION In bridge linked (BL) configuration cells form a bridge between each and every solar cell as shown in Figure 16.10.

Figure 16.7  Series configuration.

Figure 16.8  Parallel configuration.

310  Electrical and Electronic Devices, Circuits, and Materials e) HONEYCOMB CONFIGURATION In honeycomb (HC) type configuration, cells are interlinked in the form of honeycomb hexagonal shape, as shown in Figure 16.11.

Figure 16.9  Series parallel configuration.

Figure 16.10  Bridge linked configuration.

Figure 16.11  Honeycomb (HC) type configuration.

Solar Photovoltaic Cells   311

16.9 Types of Solar PV System The three types of solar photovoltaic systems are as follows. (1) ON GRID SYSTEM It is a simple solar system that uses a conventional inverter connected to the grid, which has no battery backup. It is great for clients already connected to the grid and willing to get solar to their home. Such systems may apply for federal and state grants that help to pay for the program. On-grid systems are easy to construct and cost less. The purpose of installing an on-grid system is to reduce power consumption. The typical block diagram of an on-grid system is shown in Figure 16.12.   Persons working on power lines should know that the grid is not supplied by source. Grid-connected inverters have to disconnect automatically when the load is not sensed. That means power cannot be supplied during an emergency and stored.   So if a consumer has a simple grid-tied network, if they wish to add capacity later, they are not out of luck. The alternative is to do an AC-coupled device where the inverter connected to the original grid is coupled with a backup inverter for the generator.   A consumer may benefit from net-metering, when they send surplus power generated from solar back to the grid and buy power when the demand is higher than what the solar is generating from the utility. (2) ON GRID SYSTEM WITH BATTERY BACKUP This on-grid system is a battery-backed on-grid network, also known as grid-hybrid system. This type of system is perfect for clients previously connected to the grid who need battery backup.The block diagram of an on-grid system with battery backup is shown in Figure 16.13. Customers that are susceptible to power outages in their region or who just want to be prepared for outages, are good candidates for this form of device.

Grid

Light Meter

Solar Panel

Inverter

Domestic Load

Figure 16.12  On-grid system.

312  Electrical and Electronic Devices, Circuits, and Materials

Grid

Main Service Panel

Meter

Non Backed Up loads

Generator

PV Array

Charge Controller

Grid tied inverter

Backed up subs panel

Backed up loads

Battery

Figure 16.13  On Grid System with Battery Backup.

  OngGrid battery-based systems offer electricity at the time of outage, and store energy for emergency use. The electricity can be used during high demand hours and energy can be stored for later use in battery bank [17].   The disadvantage of this system is that it costs more than simple on-grid connected systems, and is less effective. There must also be a sub-panel which contains the significant loads. All the domestic load users are backed up with the system. When the grid power is decreased the essential loads that are needed are isolated into a backup sub panel. (3) OFF-GRID SYSTEM Off-grid networks are ideal for consumers who do not need direct grid connection. The block diagram of on-grid system with battery backup is shown in Figure 16.14. This could be due to geographic location or high power supply prices. It is easy for a person to disconnect from the grid fully and works on off-grid [17]. DC Loads DC Load Panel

PV array

Charge Controller

Battery

Battery based inverter

Main Service Panel

Ac Loads

Figure 16.14  Off-grid System.

Solar Photovoltaic Cells   313   The advantage of the system is we can have adequate power and can supply remote areas which are far away from the grid. Because of fixed electricity prices, people won’t get a bill from their energy usage. The important feature of off-grid systems is that they are flexible, and as energy needs expand, efficiency can be increased. We can start a small system which is budget conscious.   Since the device is the only power source, many off-grid systems include various sources for charging such as solar, wind and generator. When designing the network, the weather and year-round conditions need to be remembered. One downside is that certain incentive programs cannot apply for off-grid systems. The device needs to be planned to cover 100 percent of energy costs. Off-grid systems have many devices and are more costly compared to an on-grid system.

16.10 Conclusion In this chapter, problems faced when different types of solar cells are used in solar panel which affect the performance and working have been investigated. An overview of solar cells including materials used for manufacturing of solar cell, construction, working and different types of configuration solar cell has been presented to enhance the performance of the solar panel. Moreover, different configurations of solar cell with their own design and characteristics for improving effectiveness which increase the scope for development of solar panel which extracts maximum power are reviewed briefly.

References 1. Ellabban, O., Abu-Rub, H., & Blaabjerg, F. (2014). Renewable energy resources: Current status, future prospects and their enabling technology. Renewable and Sustainable Energy Reviews, 39, 748-764. 2. Shobh Nath Singh, Non-Conventional Energy Resources. Pearson, pp. 128–147, 2018. 3. Singal, R. K. (2005). Non-Conventional Energy Resources. SK Kataria & Sons, Delhi. 4. Tang, Y., Zhou, C., Wang, W., Zhao, Y., Zhou, S., Fei, J., & Cao, H. (2013). N+ emitters realized using Ammonium Dihydrogen Phosphate for silicon solar cells. Solar energy, 95, 265-270. 5. Mann, S. A., de Wild-Scholten, M. J., Fthenakis, V. M., van Sark, W. G., & Sinke, W. C. (2014). The energy payback time of advanced crystalline silicon PV modules in 2020: a prospective study. Progress in Photovoltaics: Research and Applications, 22(11), 1180-1194. 6. Kamal keshavanai.jigar joshi, visrut trivedi, Mitesh Bavasha (2014), “Modelling and simulation of photovoltaic array using MATLAb/Simulink”, IJEDR, Volume 2, Issue 4, ISSN:2321-9939. 7. Luque, A., & Hegedus, S. (Eds.). (2011). Handbook of photovoltaic science and engineering. John Wiley & Sons. 8. Shukla, A. K., Sudhakar, K., & Baredar, P. (2016). Design, simulation and economic analysis of standalone roof top solar PV system in India. Solar Energy, 136, 437-449. 9. Singh, P., & Ravindra, N. M. (2012). Temperature dependence of solar cell performance—an analysis. Solar energy materials and solar cells, 101, 36-45.

314  Electrical and Electronic Devices, Circuits, and Materials 10. Sharma, M., Pareek, S., & Singh, K. (2019, August). Comparative Study of Different Configuration Techniques to Address the Outcome of Partial Shading Conditions on Solar Photovoltaic System. In IOP Conference Series: Materials Science and Engineering (Vol. 594, No. 1, p. 012031). IOP Publishing. 11. Bhuvaneswari, G., & Annamalai, R. (2011, December). Development of a solar cell model in MATLAB for PV based generation system. In 2011 Annual IEEE India Conference (pp. 1-5). IEEE. 12. Singh, G. K. (2013). Solar power generation by PV (photovoltaic) technology: A review. Energy, 53, 1-13. 13. Florea, M. L., & Băltătanu, A. (2013, May). Modeling photovoltaic arrays with MPPT Perturb & Observe algorithm. In 2013 8TH International Symposium on Advanced Topics in Electrical Engineering (ATEE) (pp. 1-4). IEEE. 14. Matsui, T., Bidiville, A., Maejima, K., Sai, H., Koida, T., Suezaki, T., ... & Kondo, M. (2015). High-efficiency amorphous silicon solar cells: impact of deposition rate on metastability. Applied Physics Letters, 106(5), 053901. 15. Sharma, S., Jain, K. K., & Sharma, A. (2015). Solar cells: in research and applications—a review. Materials Sciences and Applications, 6(12), 1145. 16. Ellabban, O., Abu-Rub, H., & Blaabjerg, F. (2014). Renewable energy resources: Current status, future prospects and their enabling technology. Renewable and Sustainable Energy Reviews, 39, 748-764. 17. Algaddafi, A., Alshahrani, J., Hussain, S., Elnaddab, K., Diryak, E., & Daho, I. (2016). Comparing The Impact of the Off-Grid System and On-Grid System On a Realistic Load. In Proceeding in 32nd European Photovoltaic Solar Energy Conference and Exhibition, Munich, Germany. 18. Chander, A. H., Krishna, M., & Srikanth, Y. (2015). Comparision of different types of Solar cells–a review. IOSR Journal of Electrical Engineering, 10(6), 151-154. 19. Kaur, M., & Singh, H. (2016). A review: comparison of silicon solar cells and thin film solar cells. Int. J. Core Eng. Manag.(IJCEM), 3(2), 15-23. 20. Ramaprabha, R., & Mathur, B. L. (2012). A comprehensive review and analysis of solar photovoltaic array configurations under partial shaded conditions. International Journal of Photoenergy, 2012. 21. Vengatesh, R. P., & Rajan, S. E. (2016). Analysis of PV module connected in different configurations under uniform and non-uniform solar radiations. International Journal of Green Energy, 13(14), 1507-1516.

17 An Intelligent Computing Technique for Parameter Extraction of Different Photovoltaic (PV) Models Shilpy Goyal, Parag Nijhawan and Souvik Ganguli* Department of Electrical & Instrumentation Engineering, Thapar Institute of Engineering & Technology, Patiala, Punjab, India

Abstract

The usage of photovoltaic (PV) is widely recognized as a feasible solution for the rising price of fossil fuel and its risk of depletion in many countries. Therefore, accurate PV modeling is important to install it. Accurate measurement of crucial parameters of the PV models is a strikingly challenging assignment in photovoltaic systems modeling and simulation. The objective function is derived depending upon open circuit, short circuit and maximum power conditions. The system presented is used to design three categories of popular PV modules, namely KC200GT multi-crystal, MSX60 poly-crystalline, and CS6K-280 M mono-crystalline. This paper recommends the Harris Hawks Optimization for solving the problem of parameter evaluation of the PV module using the minimal information available from the manufacturer’s datasheet by implementing single-, double- and three-diode models (denoted by SD, DD and TD). HHO based on cooperative actions and Harris Hawks’ chasing style in nature called surprise pounce. Finally, the efficiency of the proposed solution illustrated by carrying out its comparison with the performance of the other three algorithms, including WOA, SSA, and GWO, in terms of the sum of square errors. Keywords:  Harris Hawks optimization (HHO), single-diode model, double-diode model, three-diode model

17.1 Introduction Renewable energy systems have gained significant popularity uniformly due to many factors, such as the price of fossil fuel and its risk of depletion, and social and environmental issues. General observation shows that energy harvesting from solar energy is rising to meet the demand in developing countries for electricity, carbon dioxide release obligations and a price reduction in PV modules [1]. Solar energy systems are thus widely used in electricity generation in the form of large-scale PV modules. Solar PV systems simulation typically consists of two stages, mathematical model formulation and parameter selection [2].

*Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (315–340) © 2021 Scrivener Publishing LLC

315

316  Electrical and Electronic Devices, Circuits, and Materials Moreover, the actual attainment of PV models is chiefly influenced due to the unspecified parameters, which can be susceptible to error and wobbly when regularly encountering aging, degradation, and unpredictable operating conditions of the system. Precise identification of PV parameters is, therefore, a crucial step in advance to further simulate, and configure PV systems [3]. Several mathematical models, SD, DD and TD, were depicted to clarify PV system behavior under various operating conditions. In order to introduce the more realistic model a series resistance RS is added and a shunt resistance Rp is added to allow for the leakage current of P–N junction into the ideal PV model. It is a frequently employed PV cell model due to its tailor-made accurateness. But its accuracy is not acceptable in low values of irradiation [4]. The DD PV model took into account the SD PV model disadvantages. The DD PV model gives more accurate results as it considers the involvement of recombination phenomena in the depletion layer [5]. The DD PV model does not include the effect of grain boundaries so a new model is implemented with three diodes, resolving the consequence of grain edges and leakage current. A TD PV model is conferred to accomplish an exceptionally clear-cut PV model by taking the effect of all the losses into the PV model [6]. Existing methods are generally divided into three research categories, such as analytical methods, numerical techniques, and metaheuristic approaches. In their datasheet, the supplier usually provides impressive points such as voltage and current at maximum power point (Vmp, Imp), open-circuit voltage (VOC) and short-circuit current (ISC) [7]. The accuracy of parameter estimation by analytical methods is highly vulnerable on the accurate position of these established parameters on the characteristics of solar PV production [3]. In estimating specific electrical parameters of solar PV, Newton-Raphson (NR) method [8], Lambert W function [9], and Gauss-Seidel (GS) methods have often considered among the numerous existing numerical methods. Although these statistical methods have a higher level of accuracy than the analytical methods, these methods also suffer from long calculation time for convergence. They converge to local maxima rather than global in case of incorrect selection of initial values, particularly in NR and GS methods. Also, in the past year, more attention has been paid to meta-heuristic algorithms due to their theoretical and mathematical simplicity, resulting in some complex problems used effectively and flexibly. Another factor is that if an appropriate balance between fundamental modes can be obtained, they can conduct a superior response with a comparably swift and powerful quest [10]. Meta-heuristic techniques of various models, therefore, used to evaluate parameters of the PV system, such as the Artificial bee colony (ABC) was utilized to define the parameters of the solar cell models [11, 12]. Furthermore, for this problem, a modified ABC was introduced, and relevant results achieved. A whale optimization algorithm (WOA) with improved opposition-based learning mechanism was used to estimate the solar cell diode parameters and the comparisons have verified the implementation of the method [13]. Moth-flame optimization (MFO) [14] and Flower Pollination Algorithm (FPA) [15] were also employed as new optimization methods to obtain the parameters of different diode models optimally. Moreover, Differential evolution integrated with mutation operator (DEIM) [16], Cat Swarm Optimization (CSO) [17], Fireworks Algorithm (FWA) [18], a hybrid technique viz. Biogeography-based Heterogeneous Cuckoo Search (BHCS) algorithm [19] were also employed for parameter estimation of various diode models. Chaotic Ensemble Particle Swarm Optimizer (FC-EPSO) was developed to determine the

Parameter Extraction of Different PV Models  317 parameters of several diode models based on experimental data under different environmental conditions [20], Grasshopper Optimization Algorithm (GOA) [21] was introduced to extract the three diode parameters. As these algorithms could produce relatively better results compared to analytical and numerical methods, certain drawbacks such as sluggish realization and immature convergence are also observed. Nevertheless, further advances are still possible for most of those heuristic-based approaches. In this, a new metaheuristic approach, namely the Harris Hawk Optimization (HHO) is introduced for the optimization of SD, DD and TD PV model. This algorithm was triggered by two or more Harris Hawks’ cooperative hunting manner to hunt running prey, like rabbits. Hence, the main strategy of their hunting was the surprise attack where these Hawks cooperate and strike the prey from multiple directions, and then they come together at the same time on a discovered running prey. Accordingly, the HHO algorithm’s exploration and exploitation phases were based on Hawks’ surprise attack and the running resources of the rabbits [22]. The paper is presented in the following way: section 17.2 explains the problem formulation, section 17.3 explains the suggested heuristic technique, section 17.4 describes the results and discussion and section 17.5 gives the conclusion and future scope.

17.2 Problem Formulation There are different mathematical models explaining the physical behavior of photovoltaic devices. The different models SD, DD and TD are explained in the following subsections provided below [5].

17.2.1 Single-Diode Model Figure 17.1 shows the PV single-diode equivalent model. I-V characteristics of the single-­ diode PV cell model is given by Eq. (17.1):



  q(V + IRS )   V + IRS I = I PV − I 01 exp   − 1 − R p   α1 KT  

(17.1)

where IPV is the photocurrent, I01 is the reverse saturation current, Rp and RS are the equivalent parallel and series resistances, α1 is the ideality factor, q represents the charge of an electron, T denotes the temperature in Kelvin, while K is the Boltzmann constant. RS

I D1

Figure 17.1  Single-diode model.

RP

318  Electrical and Electronic Devices, Circuits, and Materials Under the open circuit conditions, I = 0 and V = VOC; then eq. (17.1) becomes;



  qV   V 0 = I PV − I 01 exp  OC  − 1 − OC   α1KT   R p

(17.2)

  qV   V I PV = I 01 exp  OC  − 1 + OC   α1KT   R p

(17.3)

Therefore;



whereas at short circuit V = 0 and I = ISC; then eq. (17.1) becomes;



  qI R   I R I SC = I PV − I 01 exp  SC S  − 1 − SC S   α1 KT   R p

(17.4)

  qI R   I R I PV = I SC + I 01 exp  SC S  − 1 + SC S   α1 KT   R p

(17.5)

Therefore;



At maximum power point V = Vm and I = Im; then eq. (17.1) gives;



  q(Vm + Im RS )   Vm + Im RS Im = I PV − I 01 exp   − 1 − α1KT Rp   

(17.6)

The goal is to estimate accurate parameters of PV cell for each of the above conditions. So, to minimize the errors optimization algorithm is needed at these three points: eq. (17.3) gives error at the open circuit;



  qV   V errOC = I 01 exp  OC  − 1 + OC − I PV   α1KT   R p

(17.7)

eq. (17.5) gives error at short circuit point;



  qI R   I R errSC = I SC + I 01 exp  SC S  − 1 + SC S − I PV   α1 KT   R p

(17.8)

Parameter Extraction of Different PV Models  319 eq. (17.6) gives error at maximum power point;

  q(Vm + Im RS )   Vm + Im RS errm = I PV − I 01 exp  − Im  − 1 − α1KT Rp   



(17.9)

17.2.2 Double-Diode Model The effect of the recombination loss in the depletion region has been considered with the inclusion of the second diode (D2) to the model of the PV cell in Figure 17.1. The edge of this particular model over the single-diode model is that it gives better accuracy at low irradiation levels. Figure 17.2 shows the equivalent circuit representation of a PV double-diode model. The I-V characteristics of the double-diode PV cell is modelled as given by Eq. (17.10):



  q(V + IRS )    q(V + IRS )  V + IRS − 1 – I 02 exp  I = I PV – I 01 exp  (17.10)   − 1 − R p   α1 KT     α 2 KT  

where IPV is the photocurrent, I01 and I02 represent the reverse saturation currents of the two diodes, Rp and RS are the equivalent parallel and series resistances, α1 and α2 denote the ideality factor of the two diodes, q is the value of electronic charge, T is the temperature expressed in Kelvin, whereas K is the Boltzmann constant. Again, at open circuit I = 0 and V = VOC (Open Circuit Voltage); then eq. (17.10) becomes;



  qV     qV   V 0 = I PV − I 01 exp  OC  − 1 − I 02 exp  OC  − 1 − OC   α1KT     α 2 KT   R p

(17.11)

  qV     qV   V I PV = I 01 exp  OC  − 1 + I 02 exp  OC  − 1 + OC   α1KT     α 2 KT   R p

(17.12)

Therefore;



RS

I D1

Figure 17.2  Double-diode model.

D2

RP

320  Electrical and Electronic Devices, Circuits, and Materials At short circuit V = 0 and I = ISC (Short Circuit Current); then eq. (17.10) becomes;



  qI R     qI R   I R I SC = I PV − I 01 exp  SC S  − 1 − I 02 exp  SC S  − 1 − SC S (17.13)   α1 KT     α 2 KT   R p Therefore;



  qI R     qI R   I R I PV = I SC + I 01 exp  SC S  − 1 + I 02 exp  SC S  − 1 + SC S (17.14)   α1 KT     α 2 KT   R p At maximum power point V = Vm and I = Im; then eq. (17.10) gives;



  q(Vm + Im RS )     q(Vm + Im RS )   Vm + Im RS Im = I PV − I 01 exp  − 1 − I 02 exp    − 1 − α1KT α 2 KT Rp       

(17.15)

The goal is to estimate accurate parameters of PV cell for each of the three main conditions, namely open circuit, short circuit, maximum power point. So, to minimize the errors optimization algorithm is needed at these three points: eq. (17.12) gives error at the open circuit;



  qV     qV   V errOC = I 01 exp  OC  − 1 + I 02 exp  OC  − 1 + OC − I PV   α1KT     α 2 KT   R p

(17.16)

eq. (17.14) gives error at short circuit point;



  qI R     qI R   I R errSC = I SC + I 01 exp  SC S  − 1 + I 02 exp  SC S  − 1 + SC S − I PV (17.17)   α1 KT     α 2 KT   R p

eq. (17.15) gives error at maximum power point;   q(Vm + Im RS )     q(Vm + Im RS )   Vm + Im RS errm = I PV − I 01 exp  − 1 − I 02 exp  − Im   − 1 − Rp α1 KT α 2 KT        (17.18)

17.2.3 Three-Diode Model A new model is implemented with three diodes, resolving the effects of grain boundaries and leakage current. A TD PV model is deliberated to attain a highly precise measurement of the model parameters by taking the effect of all the losses into the PV model. It contains a single current source, three parallelly placed diodes in addition to the series and parallel

Parameter Extraction of Different PV Models  321 Rs

I D1

D2

D3

Rp

Figure 17.3  Three-diode model.

resistances, as shown in Figure 17.3. TD model has nine parameters IPV, I01, I02, I03, α1, α2, α3, RS, and Rp. The I-V relations can be mathematically modelled by the Eq. (17.19).



  q(V + IRS )     q(V + IRS )     q(V + IRS )   V + IRS I PV – I 01 exp  − 1 − I 03 exp  − 1 – I 02 exp     − 1 − R   α 2 KT   α1 KT     α 3 KT   p  





(17.19)

where IPV represents the photocurrent, I01, I02 and I03 are the reverse saturation currents of the three diodes respectively, Rp and RS are the equivalent parallel and series resistances, α1, α2 and α3 are the ideality factors of the respective diodes, q denotes the absolute charge value of an electron, T is the temperature given in Kelvin, while K represents the Boltzmann constant. Now, at open circuit I = 0 and V = VOC (Open Circuit Voltage); then eq. (17.19) becomes;



  qV   V   qV     qV   0 = I PV − I 01 exp  OC  − 1 − I 02 exp  OC  − 1 − I 03 exp  OC  − 1 − OC α α K T KT     1 2       α 3 KT   R p

(17.20)

Therefore;



  qV   V   qV     qV   I PV = I 01 exp  OC  − 1 + I02 exp  OC  − 1 + I 03 exp  OC  − 1 + OC   α1KT     α 2 KT     α 3 KT   R p

(17.21)

At short circuit V = 0 and I = ISC (Short Circuit Current); then eq. (19) becomes;



  qI R     qI R     qI R   I R I SC = I PV − I 01 exp  SC S  − 1 − I 02 exp  SC S  − 1 − I 03 exp  SC S  − 1 − SC S α α Rp KT KT           α 3 KT   1 2

(17.22)

Therefore;



  qI R     qI R     qI R   I R I PV = I SC + I 01 exp  SC S  − 1 + I 02 exp  SC S  − 1 + I 03 exp  SC S  − 1 + SC S Rp   α1KT     α 2 KT     α 3 KT  

(17.23)

At maximum power point V = Vm and I = Im; then eq. (17.19) gives;

  q(Vm + Im RS )     q(Vm + Im RS )   Vm + Im RS   q(Vm + Im RS )   Im = I PV − I 01 exp   − 1 − I 02 exp   − 1 −  − 1 − I 03 exp  α1 KT α 2 KT α 3 KT Rp         





(17.24)

322  Electrical and Electronic Devices, Circuits, and Materials The goal is to estimate accurate parameters of PV cell for all the three conditions ie. the open circuit, short circuit, maximum power point, respectively. So, to minimize the errors, optimization algorithm is needed at these three points: eq. (17.21) gives error at the open circuit;



  qV     qV     qV   V errOC = I 01 exp  OC  − 1 + I 02 exp  OC  − 1 + I 03 exp  OC  − 1 + OC − I PV α α KT KT           α 3 KT   R p 1 2

(17.25)

eq. (17.23) gives error at short circuit point;



  qI R     qI R     qI R   I R errSC = I SC + I 01 exp  SC S  − 1 + I 02 exp  SC S  − 1 + I 03 exp  SC S  − 1 + SC S − I PV Rp   α1 KT     α 2 KT     α 3 KT  



(17.26)

eq. (17.24) gives error at maximum power point;



  q(Vm + Im RS )    q(Vm + Im RS )  − 1 − I 02 exp  errm = I PV − I 01 exp   − 1      α 2 KT     α1 KT   q(Vm + Im RS )  Vm + Im RS (17.27) − Im − I 03 exp   − 1 − Rp   α 3 KT  

The optimization objective is known to be the sum of the squared errors, and the algorithm should obtain the lowest error or preferably zero error as well as the objective function for all models is given in eq. (17.28):



2 2 err = errOC + errSC + errm2

(17.28)

17.3 Proposed Optimization Technique The Harris Hawks optimization (HHO) [23] was encouraged by the collective discipline, together with the Harris hawks’ chasing manner. For various scientific applications, this algorithm has been used successfully. Hawks, to surprise their prey, swooped on them cooperatively from different paths. Furthermore, Harris hawks could select the type of chase based on distinct prey flight patterns. HHO had three base stages, including excellent pounce, prey tracking, and other attacking tactics of different kinds. Various phases of optimization of Harris hawks are shown in Figure 17.4. The first stage is called “Exploration” in a glance and is modeled on waiting, searching, and discovering the desired hunt mathematically. This algorithm’s second stage is turning diversification into intensification based on a rabbit’s external source of energy. At last, in the third stage called “Exploitation,” taking into account the prey’s residual heat, hawks usually access a soft and often difficult surrounding to prosecute the rabbit from new angles.

Parameter Extraction of Different PV Models  323 r≥

|E|=1

ft b

n do ase tions b ng ca chi lo Per ndom ra

0 q
−  V  ∆V  ∆I I  ∆V < − V at right hand side of the MPP 

        

(24.10)

The optimum power at MPP is achieved through comparison of instantaneous conductance (I/V) with incremental conductance (ΔI/ΔV) as depicted in the systematic flowchart Figure 24.13. In [15] an improved IC scheme for tracking MPP of an SPV panel is proposed. The effectiveness of the presented scheme is examined by simulation and experimental setup. The improved IC technique is proposed to overcome the shortcoming of IC techdp nique. In this scheme first the calculation for the differential of the operational point, is dv simplified by:



dp P(k ) − P(k − 1) = dv V (k ) − V (k − 1)

(24.11)

Second, the IC scheme is combined with constant voltage scheme for the determination of MPP voltage which can limit the search area for the IC technique. A vivid comparison of the improved IC with traditional IC and traditional P&O for the variable atmospheric condition is performed and shown in Figure 24.14 and Figure 24.15. In [16] SPV fed water pumping scheme utilizing SRM through IC MPPT technique is discussed. The adjustable step size of IC MPPT scheme facilitates the soft starter of the drive. In this technique the upper and lower limits of the preset value of reference voltage of the array is checked. If this voltage is within the range, it is unaltered, otherwise saturation of the reference voltage magnitude is done to the closest limit value. The reference voltage magnitude and sensed SPV voltage magnitude is utilized to generate D of the Cuk converter. The output voltage, current, and power of SPV system is shown in Figure 24.16 for the given insolation level. In [17] an enhanced auto-scaling IC MPPT scheme, realized with the help of microcontroller and SEPIC converter is presented. In this proposed scheme the tracking accuracy is enhanced due to the removal of steady-state oscillations and prevention of tracking direction loss. Equation (24.12) is utilized to form two areas, where Z denotes a small number of valued as 0.001.



∆Ppv ≤Z ∆Vpv

(24.12)

Most Commonly Utilized (MPPT) Schemes for SPV Systems  457 Initiate

Sense the value of V (k) and I (k)

Solve dI = I (k) – I (k-1) dV = V (k) – V (k-1)

No Yes

Yes

dV = 0

dI/dV = –I/V

Yes

dI = 0 No

No Yes dI/dV > –I/V

dI> 0 Yes

No D(k+1) = D (k) + ∆D

No

D(k+1) = D (k) + ∆D

D(k+1) = D (k) – ∆D

D(k+1) = D (k) - ∆D

V (k-1) = V (k) I (k-1) = I (k)

Return

Figure 24.13  Flowchart of IC MPPT scheme.

140

140

120 100

Obtained maximum power, P(W)

160

Obtained maximum power, P (W)

160

Improved InC P&O

80 60 40 20 0

0

1

2

Time, t(s) (a)

3

4

5

120

Improved Inc

100 P&O

80 60 40 20 0

0

1

2

3 Time, t(s) (b)

4

5

Figure 24.14  (a) Power at variable solar insolation and constant temperature (b) Power at variable solar insolation and temperature [15].

458  Electrical and Electronic Devices, Circuits, and Materials 160

140 120

Obtained maximum power, P(W)

Obtained maximum power, P(W)

160

Improved InC

100

InC

80 60 40 20 0

0

1

2

Time, t(s)

3

4

5

140 120

Improved InC

100 80

InC

60 40 20 0

0

1

(a)

2 3 Time, t(s)

4

5

(b)

IPV (A)

VPV (V)

Figure 24.15  (a) Power at variable solar insolation and constant temperature (b) Power at variable solar insolation and temperature [15].

500 250 0 4

MPPT tracked

2 0

P (W)

1000 500 0

0

0.05

0.1 0.15 Time(s)

0.2

0.25

Figure 24.16  SPV voltage, current, and power employing IC MPPT scheme [16].

Operating area A has large step size and operating area B has small. Due to larger step size in area A the MPP is quickly tracked whereas area B takes longer to reach the MPP due to smaller step size. In short, the scheme uses larger step size while operating away from the MPP and utilizes smaller step size when working nearer to the MPP. Figure 24.17 shows the SPV output parameter of proposed MPPT scheme. In [18] an IC MPPT scheme for SPV system, based on fractional order control is presented. An effective small signal model for the entire photovoltaic system is developed to find out the values of order and gain respectively of the fractional order integrator for the proposed scheme. Before starting the optimization process of the gain value, the limit of the controller gain is decided via root locus technique to avoid the instability of the entire system. For deciding the controller parameter the radial movement optimization technique is utilized. The validity and effectiveness of the proposed scheme is observed under slow and instant change in solar insolation level. Figure 24.18 pictures out the power output of SPV panel utilizing the propos ed IC MPPT scheme subjected to variable solar insolation patterns. In [19] an advanced IC MPPT scheme considering time-varying solar insolation has been proposed. In this scheme the algorithm consists of two parts; the first is for the steady state operation, the traditional IC scheme utilizing variable step size, and the second is the tracking of MPP voltage under dynamic state. The validity of the proposed scheme is checked

Most Commonly Utilized (MPPT) Schemes for SPV Systems  459 100 80 Ppv

60 40 20 0

0

1

2

3

4

5

6

7

8

1

2

3

4

5

6

7

8

1

2

3

4 Time (s)

5

6

7

8

Ipv

6 5 4 3 2

Vpv

1 0 25 20 15 10 5 0

0

Figure 24.17  SPV Power, current, and voltage profile of the proposed MPPT scheme [17].

Irradiance (W/m2)

First pattern Watt

800 600 400

0

1

2 3 Second pattern

4

Watt

W/m2

800 600 400 0

1

4

900 800 700 0

1

2 3 Time (second)

4

5

20 1

2

3

4

5

1

2

3

4

5

2 3 Time (second)

4

5

40 20 0 0

5

Watt

W/m2

3 2 Third pattern

40

0 0

5

1000

1000

PV output power

60

1000

40 20 0 0

1

Figure 24.18  Performance of proposed MPPT scheme under various insolation levels [18].

using Matlab/Simulink environment. Figure 24.19 and Figure 24.20 show the performance of the advanced IC technique under step and ramp change of solar insolation as well as the load resistance (R).

24.4.3 Fuzzy Logic (FL) Based The FL-based MPPT scheme is an intelligent, potent and highly admired MPPT technique. This technique surpasses classical P&O and IC technique in terms of high tracking efficacy,

460  Electrical and Electronic Devices, Circuits, and Materials

5

10

15 Advanced

20

2

0

4

6

8

10

12

Advanced

14

Variable

0.4 0

5

10

15

20

150 100

600

0.5

0 200

Power (W)

200

800

0.6

Variable

0

5

10

15

100 0 30

20

30 25 20 0

5

10 Time (s)

15

2

4

6

8

10

12

14

2

4

6

8

10

12

14

2

4

6 8 Time (s)

10

12

14

150

Voltage (V)

Duty

0

0.4 0.2

Power (W)

S (W/m2)

600 0.6

Voltage (V)

1,000

800

Duty

S (W/m2)

1,000

25 20 0

20

(a)

(b)

Figure 24.19  Proposed MPPT scheme under (a) fix R and ramp insolation variation (b) fix R and step insolation variation [19].

16

16

14

14

R (Ω)

R (Ω)

minimum steady state oscillations in the output, with the capacity of overcome the system nonlinearities, working with enigmatic inputs, and non-dependency on an explicit mathematical model. Figure 24.21 represents the flowchart of the FL-based MPPT scheme. In [20] an advanced FL-PID MPPT scheme for the SPV system is proposed. The basis of this

12

12 10

10 0

5

10

15

20

Advanced

0

25

0.5

0.4 20

25 Power (W)

15

180 160

Voltage (V)

10

0

5

15

10

20

25

26 24 22 20 0

5

10 15 Time (s)

(a)

20

25

6

8

10

12

14 Variable

Advanced

0

2

4

6

8

10

12

14

0

2

4

6

8

10

12

14

0

2

4

6 8 Time (s)

10

12

14

200 180 160 30

Voltage (V)

Power (W)

200

5

4

0.5

0.4 0

2

0.6

Variable

Duty

Duty

0.6

25 20

(b)

Figure 24.20  Proposed MPPT scheme under (a) fix insolation at 1000 W/m2 and ramp R variation (b) fix insolation at 1000 W/m2 and step R variation [19].

Most Commonly Utilized (MPPT) Schemes for SPV Systems  461 Start

Initiate duty cycle (D)

Sense the value of V (k) and I (k)

Compute P (k) = V (k)*I (k), dV and dP

Compute Error (E) & Change in error (CE)

Fuzzification process

Rule base

Inference

Fuzzy set

Defuzzification process

Modify D

Figure 24.21  Flowchart of the FL-based MPPT scheme.

technique is generation of error signal (E) and computation of change in error signal (CE) which is given as:



E(n) =

∆P P(n) − P(n − 1) = ∆VPV VPV (n) − VPV (n − 1)

CE(n) = E(n) − E(n − 1)

(24.13) (24.14)

462  Electrical and Electronic Devices, Circuits, and Materials This E and CE works as input source for the fuzzy block, and the output of the FL-PID structure works as the input of the PWM, which at its output brings about gating pulses for the boost power converter. Comparison of the presented scheme with the traditional P&O, and traditional IC technique is carried out on the basis of SPV power, voltage output, and current of the panel under variable weather conditions, which is shown in Figure 24.22. In [21] an adaptive calculation based FL controller is utilized to develop an improved MPPT scheme. In this scheme the adaptive calculation unit generates a reference voltage magnitude signal for each MPP voltages, Vmpp(ref ) . SPV voltage and Vmpp(ref ) are compared to generate error (Vmpp(ref ) − Vspv) and change in error (Δref) are provided to the FL controller as an input signal. The FL controller provides a reference magnitude for the D of the PWM which directly acts as the 200

FL-PID IC P&O

150 Power (W)

200 100

198

202

50

190 1.05

0 0

202 1.07 0.5

1.06

1.09 1.1 190 1.05 1

Time (sec.) (a)

1.1

1.08

1.07

1.09 1.1 2

1.5

2.4

SPV Voltage (V)

30 20 FL-PID IC

10

P&O 0

0

0.5

1

8

1.5

2

Time (sec.) (b)

FL-PID IC P&O

6 SPV Current (A)

2.4

4

8

2 6.8 1.1 0

0

0.5

1.2

1.15 1

Time (sec.) (c)

1.5

2

2.4

Figure 24.22  Performance analysis of proposed MPPT scheme (a) power (b) voltage (c) current [20].

Most Commonly Utilized (MPPT) Schemes for SPV Systems  463 gating pulse of the DC-DC boost power converter. The effectiveness of the proposed scheme is benchmarked utilizing Matlab/Simulink thereby comparing the obtained results with the traditional P&O, IC, and FL controller method under stochastic weather conditions. Comparative representation of several MPPT schemes is pictured in Figure 24.23. In [22] 2

×104

1.5 Power States 4 2 ×10

0.5

Power (W)

Power (W)

1

1.5

0

−0.5

0.5

−1 −1.5

0

P&O FLC Improved MPPT

1 0

0.05

0.1

0.2

0.1 Time (s.)

0.3

0.4

300

Inc.Cond Improved MPPT Fuzzy MPPT P&O Incremental Conductance

0.15 0.5 Time (s.) (a)

0.6

0.7

0.8

0.9

1

250

Voltage (V)

200 150 FLC Inc.Cond Improved MPPT

100

P&O

50 0 FLC Improved MPPT Inc. Cond. P&O

−50 −100 −150

0

0.1

0.2

0.3

0.4

90

0.5 Time (s.) (b)

0.6

0.7

0.8

0.9

1

80 70

Current (A)

60 50 40

FLC

30

Improved MPPT

P&O Inc.Cond. Improved MPPT FLC P&O Inc. Cond.

20 10 0

0

0.1

0.2

0.3

0.4

0.5 Time (s.) (c)

0.6

0.7

0.8

0.9

1

Figure 24.23  Comparative analysis of proposed MPPT scheme in terms of (a) power (b) voltage (c) current [21].

464  Electrical and Electronic Devices, Circuits, and Materials FL-based MPPT is utilized for charging the battery. The FL controller block finds the input in form of E and CE and generates the gating signal for the boost converter under various weather conditions. The utilized rule base for the FL controller in the proposed scheme is 49. The entire system is schematically represented in Figure 24.24. For the overall analysis of the proposed scheme four states of the various solar insolation and temperature are considered and power in all the considered states employing FL-based MPPT is depicted in Figure 24.25. In [23] design of battery charging circuit through SPV utilizing FL-DPID as MPPT is described. Here also the FL controller finds the input in form of E and CE and generates gating pulses for the DC-DC boost power converter. The structure of FL-DPID is represented in Figure 24.27. UPD and UPID is the output performance parameters of the FL controller and FL-DPID MPPT scheme is shown in Figure 24.27. The reference value which is worked as an input PV PANEL

DC-DC BUCK CONVERTER

FUZZY LOGIC MPPT

DUTY

PWM DUTY GEN.

PWM GEN.

CURRENT

BATTERY

VOLTAGE

CURRENT

VOLTAGE

DC-DC BOOST CONVERTER

PI CONTROL

Figure 24.24  Schematic representation of battery charger employing FL-based MPPT [22].

110 100

State 1

State 2

State 3

State 4

90 80 Power (W)

70 60 50 40

PV panel power

30 20 10 0

0

0.1

0.2

0.3

0.4 0.5 Time (Sec)

Figure 24.25  SPV power output employing FL-based MPPT [22].

0.6

0.7

0.8

Most Commonly Utilized (MPPT) Schemes for SPV Systems  465 u

D

e Actual Value

Optimal PID Controller

PWM Generator 2

Boost Converter

PV Array

Ipv



+

Ref. Value

Battery

Vpv Vdcb

Vdc Buck Converter

Ipv Vpv

U

D

FL-DPID MPPT PWM Generator 1

Figure 24.26  Schematic representation of battery charger employing FL-DPID based MPPT [23].

E

Ke +



UPD Kce

Kp +

+

UPID +

Ki

+

F uzzy Logic Z–1

Z–1

Figure 24.27  Structure of FL-DPID MPPT [23].

parameter for the PWM generator-1 is UPID i.e., U, that update the D of the DC-DC power converter as depicted in Figure 24.26. The final governing control law of the FL-DPID MPPT scheme, i.e., UPID is given below:



U PID = K p ⋅U PD + K i ⋅

1 ⋅U PD 1 − Z −1

(24.15)

Approximating the input parameter E and output parameter UPD of the fuzzy and presenting it as follows:

UPD = Ke · E + Kce · (1 − Z−1) · E

(24.16)

From (24.15) and (24.16)



U PID = K p[K e ⋅ E + K ce ⋅ (1 − Z −1 ) ⋅ E] +

Ki [K e ⋅ E + K ce ⋅ (1 − Z −1 ) ⋅ E] (24.17) 1 − Z −1

466  Electrical and Electronic Devices, Circuits, and Materials After the manipulation of (24.17), yields (24.18):

1 × K i) ⋅ ⋅ E + ( K p × K ce ) ⋅ (1 − Z −1 ) ⋅ E U PID = ( K p K e + K ce K i ) ⋅ E + (K e     1− Z −1 Integral Gain

Proportional Gain

(24.18)

Derivative Gain

The final governing control law (24.18) shows the in general equation of equivalent three terms PID controller in the discrete time domain. The test results of the FL-DPID MPP tracking technique are effectively compared with the traditional P&O, and IC MPPT schemes which are represented in Figure 24.28. In [24] an optimal FL controller is utilized to obtain the optimal power out of SPV system. In the said scheme Firefly Algorithm (FA) is utilized to optimize the membership function of the FL controller to generate appropriate D for the boost power converter. This FL-FA scheme is compared with P&O, symmetrical fuzzy, and fuzzy-particle swarm optimization (PSO) MPPT as shown in Figure 24.29. In this scheme digital filter finds the input from SPV array in form of array voltage and current, which in turn generates the reference voltage as well as reference current signal for the FL controller block, and with the help of PI controller the appropriate D is generated for the boost power converter.

24.4.4 Hybrid For the performance enhancement, and overcoming the shortcoming of the preexisting MPPT schemes, hybrid methods are utilized in conjunction with the two or more MPPT schemes. Hybrid methods are considered as an advanced technique to extort the optimum power from the SPV systems. In [25] a hybrid MPPT scheme for grid-linked SPV system for rapidly varying weather conditions has been proposed. The proposed scheme inculcates two loops, first being artificial neural network (ANN) utilized reference setting loop and second being P&O utilized tuning loop. After sensing and processing the solar insolation the reference setting loop of the given algorithm provides the reference MPP voltage, when the average insolation level exceeds beyond the specific value. This stage helps to avoid the sluggish response of the convergence and oscillations around MPP of the P&O scheme. The obtained simulation results of the mentioned proposed scheme are pictorially compared with P&O, IC, and ANN MPPT schemes as shown in Figure 24.30.

200

P&O IC FL-DPID

Power (W)

150 200

100 50 0

198

202 190 1.05

0

1.07

0.5

1.09

190 1.05

1.1

1

1.08

1.06

202

1.07

Time (sec.)

1.1

1.09

1.1

1.5

Figure 24.28  Comparative analysis of FL-DPID, P&O, and IC MPPT schemes [23].

2

2.4

Most Commonly Utilized (MPPT) Schemes for SPV Systems  467 300

200 228

150

PV-Power (W)

PV-Power (W)

250

100 50 0 0 300

PandO Symmetrical Fuzzy Asymmetrical Fuzzy-PSO Asymmetrical Fuzzy-FA

226 224 222 220 218 216

0.01 0.02 0.03 0.04 0.05 0.06 0.07 0.08 0.08 Time (Sec) (a) PandO Symmetrical Fuzzy

250 PV-Power (W)

0.1

Asymmetrical Fuzzy-PSO Asymmetrical Fuzzy-FA

200 150 196

100

194

50 0 0

192 190

0.01 0.02 0.03 0.04 0.05 0.06 0.06 0.08 0.09 Time (Sec) (b)

0.1

Figure 24.29  SPV power of the proposed MPPT scheme at (a) insolation 1000 W/m2 and 15°C (b) insolation 1000 W/m2 and 50°C [24].

P_po

MW 0.0130 0.0120 0.0110 0.0100

P_inc

P_ann

Irradiance level is represented by W\m2 2 800W\m

900W\m2

700W\m2

0.0090 0.0080 0.0070 0.0060 0.0050 0.0040

P_hyb

700W\m2

600W\m2 Power generation capability of the conventional and presented MPPT methods. 3.0

4.0

5.0

6.0

7.0

8.0

9.0

10.0

11.0

Figure 24.30  Comparative SPV power analysis of proposed MPPT scheme [25].

Time(s) 12.0

13.0

468  Electrical and Electronic Devices, Circuits, and Materials In [26] a new architecture of IC-fuzzy based hybrid MPPT scheme has been proposed for the SPV system. After combining the IC the fuzzy controller utilizes only two rules and high accuracy is achieved. This scheme is compared with IC and conventional fuzzy MPPT scheme with 25 rules. At the initial stage the D of the DC-DC boost power converter is assigned to 1 and then to 0 in a manner such that the operating point move on the P-V characteristic curve according to the algorithm provided in the proposed scheme. In [27] a sensorless hybrid MPPT scheme is proposed. This scheme enhances the working of traditional P&O MPPT technique under varying weather conditions by employing the fractional short circuit current (FSCC) technique. With the help of FSCC scheme the starting point of an SPV system is decided, and later the algorithm switches to P&O scheme. The benefit of incorporating two algorithms in one scheme is faster tracking of the response under varying Stage 1: PI adjusting duty cycle

Stage 2: P&O tracking power with small step size

120

Power (W)

100 80

Reduced step size increased the time to track MPP

60 40 20

Stage 1: /sc measurement

0

120

0.01 Stage 1

0.02

Proposed MPPT P&O | step size=0.01 P&O | step size=0.03 P&O | step size=0.005 Hybrid MPPT [30]

Hybrid MPPT [25] needs time-based measurements

0.03

0.04

Stage 2

0.05 Time (s) (a)

0.06

0.07 Stage 1

0.08

0.09

0.1

Stage 2

100

Power (W)

80

Stage 1

Stage 2

60 40 20

Stage 1: /sc measurement 0

Proposed MPPT P&O | step size=0.01 P&O | step size=0.03 P&O | step size=0.005 Hybrid MPPT [24]

Hybrid MPPT [25] needs time-based measurements 0.05

0.1 Proposed MPPT is intelligent (b)

0.15 Time (s)

Figure 24.31  SPV power of various MPPT scheme at (a) constant solar insolation (b) variable solar insolation [27].

Most Commonly Utilized (MPPT) Schemes for SPV Systems  469 weather conditions, reduced power loss due to oscillations around the MPP, thereby leading to large power extraction in comparison to P&O technique. The proposed scheme is benchmarked with simulation in addition to dSPACE DS1104 rooted experimental setup. Figure 24.31 shows the power harvested from SPV system under constant and variable solar insolation level using proposed hybrid MPPT scheme. In [28] a new MPPT scheme rooted on P&O and FL-based control is presented. The basis of this scheme can be outlined as variation in SPV power is proportional to the variation in solar insolation level, given by:

∆P ∆G = P G

and



(24.19)

∆P > 0.01 fast change P

(24.20)

∆P < 0.01 slow change P



(24.21)

ΔP, and P is the variation in SPV power and the last iteration of SPV power, respectively. If the magnitude of P is varied according to solar insolation, the variation in ΔP also follows ∆P it. So, the magnitude of is constant under variable weather conditions. The fuzzy rules P utilize the obtained value in to calculate the drift problem. The proposed scheme is compared with the traditional P&O technique in terms of power, and voltage which is figured out in Figure 24.32.

PV power (kW)

100

100.72435

80

100.7238 100.7231

60

100.7224

40 0.5

290 PV voltage (V)

Proposed method Conventional P&O

100.7217

0.75

1

1.25

2 1.5 1.75 Time (seconds) (a)

2.4

2.25

2.6

2.5

2.8

3

2.75

3

Proposed method Conventional P&O

Drift problem

280 270 260 0.5

0.75

1

1.25

1.75 2 1.5 Time (seconds) (b)

2.25

2.5

2.25

Figure 24.32  SPV parameters of the proposed MPPT scheme (a) power (b) voltage [28].

3

470  Electrical and Electronic Devices, Circuits, and Materials

24.5 Conclusion Solar energy has proved to be one of the most prominent, utilized, and readily available green energy sources among all the available energy sources. The generated power through an SPV system is dependent upon the solar insolation level and temperature. In the literature, researchers are interested to estimate the real maximum efficacy point for the SPV systems. Due to this fact, MPPT schemes become an important issue for the SPV systems. The primary objective of this study is to provide an outlook about the most utilized MPPT schemes of the literature. This chapter provides an overview about the commonly used MPPT schemes like P&O, IC, FL-based, and hybrid to extract maximum obtainable power from the SPV systems under stochastic weather conditions. A comparative analysis of the various MPPT scheme is also provided with the help of the results of the literature, which gives a clear image of the true MPP of an SPV system, for the young researchers working in this field.

References 1. Pathak PK, Yadav AK, Alvi PA. Advanced solar MPPT techniques under uniform and nonuniform irradiance: A comprehensive review. J Sol Energy Engg Trans ASME 2020;142:040801-1-26. 2. Al-Najideen MI, Alrwashdeh SS. Design of a solar photovoltaic system to cover the electricity demand for the faculty of engineering mutah university in Jordan. Resour-Efficient Technol 2017;3:440-5. 3. Marmoush MM, Rezk H, Shehata N, Henry J, Gomaa MR. A novel merging tubular daylight device with solar water heater-experimental study. Renew Energy 2018;125:947-61. 4. Hemeida M, Rezk H, Hamada MM. A comprehensive comparison of STATCOM versus SVCbased fuzzy controller for stability improvement of wind firm connected to multi-machine power system. Electr Energy 2017;1-17. 5. Atems B, Hotaling C. The effect of renewable and non renewable electricity generation on economic growth. Energy Policy 2018;112:111-8. 6. Celik O, Teke A, Tan A. Overview of micro-inverters as a challenging technology in photovoltaic applications. Renew Sustain Energy Rev 2018;82:3191-206. 7. Esram T, Chapman PL. Comparison of photovoltaic array maximum power point tracking techniques. IEEE Trans Energy Convers 2007;22(2):439-49. 8. Ei-Dein MZS, Kazerani M, Salama MMA. Optimal photovoltaic array reconfiguration to reduce partial shading losses. IEEE Trans Sustain Energy 2013;4(1):145-53. 9. Pathak PK, Yadav AK, Tyagi P. Design of three phase grid tied solar photovoltaic system based on three phase VSI. IICPE 2018;1-6. 10. Salam, M. A., EI-Mohanders, M. T., Goda, M., 2018. An improved perturb-and-observe based MPPT method for PV systems under varying irradiation levels. Sol. Energy 171, 547-61. 11. Ali, A. I. M., Sayed, M. A., Mohamed, E. E. M., 2018. Modified efficient perturb and observe maximum power point tracking technique for grid-tied PV system. Elect. Pow. and Energy Syst. 99, 192-202. 12. Kollimalla, S. K., Mishra, M. K., 2014. Variable perturbation size adaptive P&O MPPT algorithm for sudden changes in irradiance. IEEE Trans. on Sust. Energy. 5(3), 718-28. 13. Ahmed, J., Salam, Z., 2016. A modified P&O maximum power point tracking method with reduced steady-state oscillation and improved tracking efficiency. IEEE Trans. on Sustain. Energy. 7(4). 1506-14.

Most Commonly Utilized (MPPT) Schemes for SPV Systems  471 14. Li Q, Zhao S, Wang m, et al. An improved perturbation and observation maximum power point tracking algorithm based on a PV module four-parameter model for higher efficacy. Appl. Energy 195, pp. 523-37; 2017. 15. Huynh DC, Dunnigan MW. Development and comparison of an improved incremental conductance algorithm for tracking the MPP of a solar PV panel. IEEE Trans. Sustain Energy: 7(4); pp. 1421-29. 16. Singh B, Mishra AK, Kumar R. Solar powered water pumping system employing switched reluctance motor drive. IEEE Trans Ind Appl. 52(5); pp. 3949-57; 2016. 17. Necaibia S, Kelaiaia MS, Labar H, et al. Enhanced auto-scaling incremental conductance MPPT method, implemented on low-cost microcontroller and SEPIC converter. Sol. Energy 180; pp. 152-68; 2019. 18. Al-Dhaifallah M, Nassef AM, et al. Optimal parameter design of fractional order control based INC-MPPT for PV system. Sol. Energy 159; pp. 650-64; 2018. 19. Zhang L, et al. An advanced incremental conductance MPPT technique considering timevarying solar irradiances. IOP Conference Series; pp. 1-10; 2019. 20. Pathak PK, Yadav AK, Alvi PA. Maximum power operation of SPV system using advanced FL based control strategy. 8th International conference on power system (ICPS) 2019; 1-6. DOI: 10.1109/ICPS48983.2019.9067615 21. Yilmaz U, Turksoy O, Teke A. improved MPPT method to increase accuracy and speed in photovoltaic systems under variable atmospheric conditions. Elec Pow Energy Syst 113; pp. 634-51; 2019. 22. Yilmaz U, Kircay A, Borekci S. PV system fyzzy logic MPPT method and PI control as charge controller. Renew Sustain Energy Rev 81; pp. 994-1001; 2018. 23. Pathak PK, Yadav AK. Design of battery charging circuit through intelligent MPPT using SPV system. Sol Energy 2019;178:79-89. 24. Farajdadian S, Hosseini SMH. Design of an optimal fuzzy controller to obtain maximum power in solar power generation system. Sol. Energy 182; pp. 161-78; 2019. 25. Celik O, Teke A. A hybrid MPPT method for grid connected photovoltaic systems under rapidly changing atmospheric conditions. Elec Pow Syst Res 152; pp. 194-210; 2017. 26. Danandeh MA, Mousavi G. A new architecture of INC-fuzzy hybrid method for tracking maximum power point in PV cells. Sol. Energy 171; pp. 692-703; 2018. 27. Sher HA, Murtaza AF, et al. A new sensorless hybrid MPPT algorithm based on fractional short-circuit current measurement and P&O MPPT. IEEE Trans Sustain Energy; pp. 1-9; 2015. 28. Al-Majidi SD, Abbod MF, Al-Raweshidy HS. A novel maximum power point tracking technique based on fuzzy logic for photovoltaic systems. Int J Hydr Energy 43; pp. 14158-71; 2018.

25 An Investigation and Design of Symmetric and Asymmetric Inverter for Various Applications L. Vijayaraja1*, S. Ganesh Kumar2 and M. Rivera3 DEEE, Sri Sairam Institute of Technology, Tamilnadu, India 2 DEEE, CEG campus, Anna University, Tamilnadu, India 3 Faculty of Engineering, Universidad de Talca, Chile

1

Abstract

In recent times the Multilevel inverter has attracted many researchers and academicians towards the development of various topologies, since the MLI finds application in various sectors such as electric vehicles, integration of renewable energy sources, electric drives and various tractions. The multilevel inverters are designed by various topologies based on the applications. Design of a multilevel inverter is most challenging in the aspect of reduced components, cost and size. In this chapter an introduction to different topologies of MLI with application are discussed. Also discussed is the design topology work of 15-level Inverter With Symmetric Voltage Source, Experimentation of 27-level symmetric inverter, Design of 31-level inverter using Asymmetric voltage sources and Development of 53-level inverter using packed structures for various applications. Keywords:  Asymmetric, multilevel inverter, symmetric, switched capacitor and voltage sources

25.1 Introduction An inverter is an electrical device which converts Direct Current nature of input voltage signal into an Alternating Current output voltage. The classical single-phase four-switch inverter and six-switch three-phase inverters are used for the low-power application. These types of inverters find limitations like high dv/dt ratio, harmonics distortions in the output waveform and electromagnetic interference, which causes the inverter to produce a non-sinusoidal waveform. In order to address the medium- and high-power applications a multilevel inverter is developed. The earlier generation of MLIs, like neutral clamped inverter, flying capacitor inverter, diode-clamped inverter and cascaded H-bridge inverters were developed using a higher number of diodes, capacitors and voltage sources. Notwithstanding, this also provokes circuit complexity, thus the size of the inverter becomes increased [1, 2]. Aiming to address the various issues in the earlier generation of MLIs, many academicians and researchers *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (473–492) © 2021 Scrivener Publishing LLC

473

474  Electrical and Electronic Devices, Circuits, and Materials are involved in developing a multilevel inverter with a minimum number of devices and voltage sources. By reducing the number of components used in the MLI, the cost and size of the inverter can be minimized [3, 4]. A higher number of voltage levels and power levels are achieved by the recent multilevel inverter skeletons in the absence of transformers. This type of MLI configurations finds a considerable place in high-voltage tractions, renewable energy systems, etc. [5, 7]. The recent MLIs can be classified into two types according to the magnitude of the voltage sources; they are symmetric or asymmetric voltage MLIs. On symmetric, the amplitude of the voltage sources is equal and on asymmetric, the amplitude of the voltage sources is unequal in nature. Several sections are framed by considering these aspects. Section 25.2 describes the classical type of multilevel inverters, application of recent MLs in various areas such as: electric vehicles, power conditioning units, industrial motor drives, power grids and renewable energy systems. Also, a brief study is carried out in order to view the types of MLIs in terms of reduced switch count with low usage of voltage sources which produces minimum harmonics distortions at the output voltage waveform. In section 25.3, a 15-level symmetric source inverter is designed by connecting more proposed simple structures in series. Also, the designed inverter is simulated with both resistive and impedance load using MATrix LABoratory. The output voltage and harmonic distortion of various level inverters simulated with R and Z loads are presented. This finds application in extraction of power from solar cells and fuel cells. Section 25.4 briefly describes an experimentation of 27-level symmetric with impedance load. Also, the harmonics exist in the output voltage waveform is shown. In order to generate triggering pulses for the switches connected in the inverter, a field programmable gated array is used. The developed inverter is suitable for integration with solar panel and fuel cell to produce inverted voltage levels. In section 25.5, a 31-level inverter is developed using unequal voltage sources. This inverter shows a better performance when compared to the above sections. Also this inverter design uses a minimum number of switches and sources. The output load waveform has low harmonic content which meets the IEEE standard. This type of inverter can be implemented in electric vehicles, traction units and integration of electric power from renewable power systems. Section 25.6 describes a 53-level inverter constituted by three packed cells, each of which consists of three power switches and two unequal voltage sources. The inverted voltage at the output terminal is achieved by connecting a half bridge to the design. The design work is further validated using Matlab and output voltage waveform with the existence of harmonics. The packed cell inverter finds a suitable place in hybrid renewable energy systems, charging units for electric vehicles and motor drives.

25.2 Evaluation of Multilevel Inverters and Its Application in Recent Times The demand for electricity is increasing every day and this causes power producers to depend on renewable energy sources instead of non-renewable energy sources. According to the survey made on global electric power production, around 24% of total electric power

Asymmetric Inverter and Applications   475 is contributed by renewable energy sources, and this may rise in years to come. But from most of renewable energy sources, a DC supply is produced, whereas most of the utilities need AC supply. So there is a need of a device which converts DC supply into an AC supply. In the late 1990s, a device to convert DC supply into an AC supply, called an inverter, was developed. The inverted AC supply is fed to the grids for further utilities. The conventional inverters can produce two or three levels which can be utilised by small-scale industries and households. Also, these low-level inverters are poor in efficiency because of high distortions in the output waveforms, high switching stress and loss happens at the switch side during conduction. Because of the shortcomings in the conventional inverters, researchers had a view to develop inverters to produce multilevel at the output. Hence, these MLIs are suitable for medium-voltage and high-voltage application with low voltage stress across switch with less harmonic distortions at the output voltage waveforms. Therefore, the basic multilevel inverters, such as neutral clamped, flying capacitor and cascaded H bridges were developed. Neutral clamped and flying capacitor inverters are more reliable with high efficiency and also use fewer voltage sources compared to cascaded half bridge inverters. But they use a higher number of diodes and capacitors for clamping the voltages, to produce a low to medium voltage. In a cascaded half bridge, more switches are used to produce a high voltage level. The cascaded half bridges are used in medium- to high-voltage applications like drives, renewable energy and electric vehicles. In order to address medium- and high-voltage applications more multilevel inverter topologies have been developed and are also under research. The recent multilevel inverter topologies are classified as: symmetric and asymmetric inverters. Based on the application a suitable type of multilevel inverters are chosen or developed. A 13-level inverter is proposed and experimental setup is made for the design to verify the performance [8]. A new topology of single phase T-type five-level inverter is designed to address a grid-connected PV panel in order to achieve efficient output with less harmonic content with minimum filter size [9]. In [10], a seven-level hybrid inverter is designed using T-type inverter and cascaded fivelevel neutral point clamped inverter. A new topology of inverter is designed using packed U-cell to achieve 49-level and 147-level output voltages [11]. In [12], binary type hybrid multilevel inverter to achieve 15-level output is designed to address the three-phase systems connected with conversion system based on solar system. In [13], a 15-level inverter is tested with 1300-watt photovoltaic system. A 17-level inverter is designed and tested with induction motor drive to achieve a better performance and is best suitable for traction units and industrial drives area [14]. In [15], a nine-level inverter is designed using six switches with one DC voltage source and three capacitors where the voltage in the capacitor is balanced by its own with suitable switching style. In [16], the author has designed a nine-level inverter using one DC source, nine switches and two capacitors which are self-balanced by suitable switching. The authors in [17], designed a 39-level three-phase inverter and five-level single-phase inverter. Using two capacitors, one diode, one DC source and six switches to generate five levels at the output is experimented in real time. In [18], a 33-level inverter is designed to test the performance of permanent magnet synchronous motor using 18 switches, three capacitors and one DC source. The below Figure 25.1 shows the importance of MLI in various applications.

476  Electrical and Electronic Devices, Circuits, and Materials

DC/DC PV MULTILEVEL INVERTER WITH RSC

DC/DC

CONTROLLER CIRCUIT AC/DC WIND POWER DC/DC

SOURCES

POWER CONVERSION

UTILITIES

Figure 25.1  Multilevel inverter with alternative sources.

25.3 Design of 15-Level Inverter With Symmetric Voltage Source In this section, a 15-level inverter [19] is designed using voltage sources of equal magnitudes which are best suitable for the renewable energy systems. A simplified structure is arrived at and by connecting two or more structures in series, a higher number of voltage levels at the output is achieved. The 15-level inverter with two structures in series is shown in Figure 25.2. For Figure 25.2, the different switching combinations are explained below to achieve the positive voltage levels. 99 During the period 1, zero voltage level is reached by turning on the switches S′2 , S51, S52, T1 and T4. 99 During the period 2, first voltage level is reached by turning on the switches S1′ , S51, S52, T1 and T4. 99 During the period 3, second voltage level is reached by turning on the switches S′2 , S11, S31, S41, S52, T1 and T4. 99 During the period 4, third voltage level is reached by turning on the switches S′2 , S11, S21, S31, S52, T1 and T4. 99 During the period 5, fourth voltage level is reached by turning on the switches S1′ , S11, S21, S31, S52, T1 and T4. 99 During the period 6, fifth voltage level is reached by turning on the switches S′2 , S11, S21, S31, S12, S22, S32, T1 and T4. 99 During the period 7, sixth voltage level is reached by turning on the switches S1′ , S11, S21, S31, S12, S22, S32, T1 and T4.

Asymmetric Inverter and Applications   477 S1’

V11

V1

S2’

S11 V21 S21

S41

S51

VL

S31 V21 T4

S12 V22 S22

T3

T1

V31

IL

T2

2nd structure S42

S52

V32 S32

Figure 25.2  15-level inverter with two structures [19].

Including the zero voltage level, this inverter is able to produce seven voltage levels at positive side. In order to invert the voltage levels, a half bridge is added into the circuit. By turning on T2 and T3 instead T1 and T4, this inverter will produce the negative cycles at the output terminal. Therefore, a 15-level inverted waveform is achieved at the output by suitable switching combinations made in the above Figure 25.2. Also, the illustration of switching pattern is shown in Table 25.1. The designed structure is simulated and tested with resistive load 70 ohm. The output waveform and harmonic distortion is shown in Figures 25.3 and 25.4, respectively. This type of inverter attracts solar applications. Since the output from solar panels are low it can be used in this type of inverter as input voltages to obtain a larger voltage levels. Also, a large number of voltage levels at the output can be achieved by connecting more structures shown in Figure 25.2 in series.

25.4 Experimentation of 27-Level Symmetric Inverter Continuing section 25.3, section 25.4 describes the experimentation of inverter to produce 27-level inverted output constituted by four simple inverter structures [20] shown in Figures 25.5 and 25.6. By connecting the more number of simple structure in series, this topology can produce more levels at the output. Take all the source voltages are equal in magnitude, for example 2V, this four structured inverter can produce 26V peak to peak at the output. The simulation work is carried for the four simple structured inverter with half bridge shown in Figure 25.6. The inverter is tested with a load value of 70-ohm resistance and the load waveform is shown in Figure 25.7.

1

1

1

1

1 0

0

0

0

0

0

0

0

0

1

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

0

0

0

1

1

1

1

1

1

1

1

A half bridge is added into the circuit. By turning on T2 and T3 instead T1 and T4 can produce the necessary negative levels at the output

1

1

0

1

1

1

1

0

Negative levels

1

1

0

1

1

1

1

1

0

1

1

0

0

0

0

1

1

1

0

0

0

0

1

0

7th

1

1

0

0

1

0

1

1

1

0

0

0

0

0

0

0

0

0

0

0

0

6th

1

0

0

0

0

0

0

1

1

1

0

0

1

1

1

0

0

0

5th

1

1

1

0

0

0

T4

1

T3

4th

T2

1

T1

0

S52

3rd

S42

1

S51

0

S41

2nd

S32

0

S22

1

S12

1st

0

S31

1

S21

0

S11

0th

S′2

S1′

Levels

Table 25.1  Illustration of 15-level inverter switching.

478  Electrical and Electronic Devices, Circuits, and Materials

Asymmetric Inverter and Applications   479 Fifteen level voltage output

40 30

Voltage (V)

20 10 0

−10 −20 −30 −40 0

0.01

0.02

0.03 Time (s)

0.04

0.05

0.06

Figure 25.3  Output voltage waveform of 15-level inverter. FFT analysis

Fundamental (50Hz) = 27.23, THD = 18.95%

18 Mag (% of Fundamental)

16 14 12 10 8 6 4 2 0

0

100

200

300

400 500 600 Frequency (Hz)

700

800

900

Figure 25.4  Harmonic content of 15-level inverter. S1

V1

S1’ V2 S2 V3 S3 V4

T1

VL

T3

S5 S6

S4

Figure 25.5  Simple structured inverter [20].

T4

R LOAD

T2

1000

480  Electrical and Electronic Devices, Circuits, and Materials

Structure 1

Structure 2

H-bridge fed to RL load

Structure 4

Figure 25.6  Connection of four simple structured inverter with half bridge [20].

30 20

Voltage (V)

10 0 –10 –20 –30

0

50

100

150 200 Time (ms)

250

300

350

Figure 25.7  Voltage waveform of 27-level inverter.

The experimentation of 27-level inverter shown in Figure 25.6 is developed by taking the magnitude of all the voltage sources are equal to 15V. Also, this four structured combination uses 26 MOSFET switches to produce a 27-level inverter with a peak to peak to voltage value of 195V. The switching patterns are generated using field programmable gated array and the driver circuits drive the pulse to the switches for suitable voltage level combinations. To generate the switching patterns, fundamental frequency of 50Hz is considered. The real-time prototype is shown below in Figure 25.8. The four structured symmetric inverter shown in Figure 25.8 is connected to impedance load of 30+j 0.0628 ohm. The voltage at the output impedance terminal is shown in Figure 25.9, which achieves a peak to peak to voltage of 195 Volt. Also the harmonic content of 3.22% present in the output voltage waveform is shown in Figure 25.10 and it is found to be less than the IEEE standards.

Asymmetric Inverter and Applications   481

RL Load Transformers for source H Bridge Rectifier with regular IC

Driver circuit

MOSFET switches

Transformers for power supply for driver circuits

Figure 25.8  Prototype of four structured symmetric inverter [20].

250 200 150 100

0

1 11 21 31 41 51 61 71 81 91 101 111 121 131 141 151 161 171 181 191 201 211 221 231 241 251 261 271 281 291 301 311 321 331 341 351 361 371 381 391

Output Voltage

50

−50 −100 −150 −200 −250

Time in seconds

Figure 25.9  Output voltage waveform of 27-level inverter fed to impedance load.

482  Electrical and Electronic Devices, Circuits, and Materials FTT analysis

Fundamental (50Hz) = 13.27, THD = 3.22%

Mag (% of Fundamental)

1.2 1 0.8 0.6 0.4 0.2 0

0

100

200

300

400 500 600 Frequency (Hz)

700

800

900 1000

Figure 25.10  Presence of harmonics in 27-level inverter fed to impedance load.

The presented laboratory model is fed by 13 isolated DC voltage sources with equal magnitude. So, this model can be implemented in renewable energy systems like solar energy, fuel cells and battery charging units. The output from fuel cells and solar panels are found to be low and it can be used in this type of inverter as input voltages to obtain larger voltage levels. Also, a large number of voltage levels at the output can be achieved by connecting more structures shown in Figure 25.5 in series.

25.5 Design of 31-Level Inverter Using Asymmetric Voltage Sources The inverter presented in [21] is developed with ten power MOSFET switches and six DC voltage sources, which are unequal in magnitude. The design work shown in Figure 25.11 uses a limited number of switches to produce a high number of voltage levels at the output. Since the circuit is made of a limited number of switches and sources, the design cost of the inverter gets reduced and also the utilization of space of the inverter reduces. This 31-level inverter is designed using six unidirectional and four bidirectional switches. If the power electronic switches conduct in one direction, then it is called as unidirectional switches, and if the power electronic switches conduct in both directions (from positive to negative and from negative to positive), then it is called as bidirectional switches. The switches T1, T2, T3, T4, Sm and Sn will drive the current in one direction and switches S1′, S2′  , S3′ and S4′ will drive the current in both directions. Mathematical work is carried out to achieve the amplitude of the voltage sources, number of voltage sources, number of voltage levels and the number of power switches to be used to design the inverter.

Asymmetric Inverter and Applications   483 Sm

Vas1

T1

S1’

+

Vas2

LOAD

T3



Vas4

Vas5

S2’ Vas3

S3’

S4’ T4

T2

Vas6

Sn

Figure 25.11  31-level asymmetric inverter [21].

25.5.1 Mathematical Model of 31-Level Inverter Assume Vasd is the fundamental voltage to describe the magnitude of all the voltage sources used in designing the inverter. Since the number of voltage sources used to design the inverter is six, then the naming of Vasd (d = 1 to 6) is arrived in equations 25.1–25.4 below.



Vas1= Vas

(25.1)

The source voltages Vas2 and Vas3 are equal in amplitude and is equal to Vas1, it is given equation in 25.2

Vas1 = Vas2 = Vas3

(25.2)

The amplitude of sources voltages Vas4, Vas5 and Vas6 are given in equation 25.3

Vas4 = (nb) ∗ Vas

(25.3)

Where nb represents the number of bidirectional switches. From equation 25.3 the magnitude of voltage sources of Vas5 and Vas6 are arrived in equation 25.4

Vas4 = Vas5 = Vas6 = (nb) ∗ Vas

(25.4)

484  Electrical and Electronic Devices, Circuits, and Materials The maximum output voltage of 31–level inverter shown in Figure 25.11 is given by 25.5,



 nb  V31,max =  + 1 ∗ (Vas 1 + Vas 4 )  2 

(25.5)

The maximum number of voltage levels, number of power switches and number of voltage sources used in the inverter shown in Figure 25.11 is given by 25.6–25.8, respectively.

Nlevel = [nb∗ (2n−1)] – 1

(25.6)

Nswitch = 2(nb – 1) + 4

(25.7)

Nsource = nb + 2

(25.8)

In order to achieve the 31 level at the output, the following switching combinations shown in Table 25.2 is used. During each conduction period the number of switches involved in driving the current to load is minimized. This reduces the development of harmonic content in the voltage waveform. Simulation work for the design shown in Figure 25.11 is carried out using Matlab/ Simulink by assuming the amplitude of the voltage sources as Vas1 = Vas2 = Vas3 = 10V and Vas4 = Vas5 = Vas6 = 40V. The suitable switching combinations presented in Table 25.2 is used to generate the triggering pulses for the power switches involved in this topology. By carrying out the correct switching combinations the output level at the load terminal can be varied in equal steps to form a stepped waveform, and by reaching more levels a pure sinusoidal waveform is achieved with minimum harmonics distortions shown in Figure 25.13. The simulation design of thirty-one level inverter is tested with load value of 50 ohm resistance and the load output voltage is presented in Figure 25.12. Also harmonic content available in the output voltage waveform is found to be 3.18% and it is less than the prescribed IEEE standard is shown in Figure 25.13. The circuit presented in Figure 25.11 is tested with impedance load of 50+j0.314 ohms, and the harmonic content available in the output impedance voltage waveform is found to be 3.20%, which is less than the prescribed IEEE standard as shown in Figure 25.14. The presented inverter model in this section is suitable for all the renewable energy sources, since the inverter is designed using asymmetric voltage sources. This model provides a better efficiency when compared to the model presented in previous sections. Also, the work presented in this section uses fewer switches and sources when compared to the previous sections. Thus it leads to reduction in cost of the inverter with minimum size.

Asymmetric Inverter and Applications   485 Table 25.2  Switching combinations of presented topology. Output voltage at load terminal

S′1

S′2

S′3

S′4

T1

T2

T3

T4

Sm

Sn

Zero

0

0

0

0

0

1

0

1

0

1

Vas3

0

1

0

0

0

1

0

0

0

1

Vas3+Vas2

1

0

0

0

0

1

0

0

0

1

Vas1+Vas2+Vas3

0

0

0

0

1

1

0

0

0

1

Vas6

0

0

0

1

0

0

0

1

0

1

Vas6+ Vas3

0

1

0

1

0

0

0

0

0

1

Vas6+ Vas3+ Vas1

1

0

0

1

0

0

0

0

0

1

Vas6+ Vas3+ Vas2+ Vas1

0

0

0

1

1

0

0

0

0

1

Vas6+ Vas5

0

0

1

0

0

0

0

1

0

1

Vas6+ Vas5+ Vas3

0

1

1

0

0

0

0

0

0

1

Vas6+ Vas5+ Vas3+ Vas2

1

0

1

0

0

0

0

0

0

1

Vd6+ Vd5+ Vd3+ Vd2+ Vd1

0

0

1

0

1

0

0

0

0

1

Vas6+ Vas5+ Vas4

0

0

0

0

0

0

1

1

0

1

Vas6+ Vas5+ Vas4+ Vas3

0

1

0

0

0

0

1

0

0

1

Vas6+ Vas5+ Vas4+ Vas3+ Vas2

1

0

0

0

0

0

1

0

0

1

Vas6+ Vas5+ Vas4+ Vas3+ Vas2+ Vas1

0

0

0

0

1

0

1

0

0

1

0

0

0

0

0

1

0

1

0

1

0

- Vas1

1

0

0

0

0

0

1

0

1

0

-( Vas1+ Vas2)

0

1

0

0

0

0

1

0

1

0

-( Vas1+ Vas2+Vas3)

0

0

0

0

0

0

1

1

1

0

- Vas4

0

0

1

0

1

0

0

0

1

0

-( Vas1+ Vas4)

1

0

1

0

0

0

0

0

1

0

-( Vas1+ Vas2+Vas4)

0

1

1

0

0

0

0

0

1

0

-( Vas1+ Vas2+Vas3+Vas4)

0

0

1

0

0

0

0

1

1

0

-( Vas4+Vas5)

0

0

0

1

1

0

0

0

1

0

-(Vas1+ Vas4+Vas5)

1

0

0

1

0

0

0

0

1

0

-( Vas1+ Vas2+ Vas4+Vas5)

0

1

0

1

0

0

0

0

1

0

-( Vas1+ Vas2+ Vas3+ Vas4+Vas5)

0

0

0

1

0

0

0

1

1

0

(Continued)

486  Electrical and Electronic Devices, Circuits, and Materials Table 25.2  Switching combinations of presented topology. (Continued) Output voltage at load terminal

S′1

S′2

S′3

S′4

T1

T2

T3

T4

Sm

Sn

-( Vas4+Vas5+ Vas6)

0

0

0

0

1

1

0

0

1

0

-(Vas1+ Vas4+Vas5+ Vas6)

1

0

0

0

0

1

0

0

1

0

-( Vas1+ Vas2+ Vas4+Vas5+ Vas6)

0

1

0

0

0

1

0

0

1

0

-(Vas1+ Vas2+ Vas3+ Vas4+Vas5+ Vas6)

0

0

0

0

0

1

0

1

1

0

150

Output voltage (V)

100 50 0 –50

–100 –150

0

0.5

1

1.5

2

2.5

3

3.5

4.5

4

×104

Time in (secs)

Figure 25.12  Asymmetric output voltage of resistance load.

FFT analysis

Fundamental (50Hz) = 152.7, THD = 3.18%

Mag (% of Fundamental)

1.5

1

0.5

0

0

100

200

300

400 500 600 Frequency (Hz)

700

800

900 1000

Figure 25.13  Presence of harmonic level in asymmetric output voltage of resistance load.

Asymmetric Inverter and Applications   487 FFT analysis

Fundamental (50Hz) = 148.3, THD = 3.20%

Mag (% of Fundamental)

1.5

1

0.5

0

0

100

200

300

400 500 600 Frequency (Hz)

700

800

900 1000

Figure 25.14  Presence of harmonic level in asymmetric output voltage of impedance load.

25.6 Development of 53-Level Inverter Using Packed Structures In this section, a packed cell is introduced and it consists of three power switches and two voltage sources. All the switches in the packed cell are selected as uni-direction and two unequal voltage sources are connected to develop a three-level voltage at output terminal. In order to invert the voltage at the output terminal, a half bridge is connected. By connecting half bridge to the one packed cell can generate five levels at the output. In order to develop 53 levels [22] at the output three packed cells are used and it is connected in series. The inverter shown in Figure 25.15 comprises 13 switches which includes the switches in half bridges. Also six unequal voltage sources are utilized to 53 voltage levels at the output terminals. There are three arms present in the first packed cell left, right and middle. A voltage source is present in the left and right arm of the first packed cell, leaving no voltage source connected in middle arm. The voltage source present in the left arm is arrived by power of 3 and the voltage source present in right arm is exactly equal to two

1st packed cell

S1 + VS 1

S2

+ 1V VS2

S3 2V

T1 S4 VS3

+

+ 3V VS4 S7

VS 5

+

S5

S8

+ 9V VS6

Figure 25.15  Inverter design with three packed cell [22].

S6 6V

S9 18V

T2

R LOAD T3

T4

488  Electrical and Electronic Devices, Circuits, and Materials times that of the voltage source present in the left arm. Therefore, the amplitude of voltage sources in all the three packed cells chosen is 1V, 2V, 3V, 6V, 9V and 18V. Suitable switching combinations are developed for the above Figure 25.15, which yields a 53-level output at the load terminal, as is presented in Table 25.3. The presented packed inverter in Figure 25.15 consists of six voltage sources, named as VS1, VS2, VS3, VS4, VS5 and VS6. The voltage sources present in the left arm is arrived power of three and is given in equation 25.9.



VS1 = VS3 = VS5 = 3p-1 *Vdc

(25.9)

The amplitude of the voltage sources present in the right arm of the packed cell shown in Figure 25.15 is arrived in equation 25.10 and it is found to be two times that of the voltage source present in concerned left arms.



VS2 = VS4 = VS6 = 3p-1 *2*Vdc

(25.10)

Where ‘p’ is the number of packed cell connected to develop a 53-level inverter. For inverting the voltage waveform at the load, instead of making conduction by the switches T1 and T4 the switches T2 and T3 present in half bridge to make conduction leaving the other values the same as in the above Table 25.3. The maximum voltage across load terminal (Vpl,max), number of output voltages (Nll) and number of switches (Nsh) used in Figure 25.15 is arrived at in equations 25.11–25.13, respectively.



Vpl,max = 3n – 1 (Volt)

(25.11)



Nll = [6* (3p-1)] – 1

(25.12)



Nsh = 3p+4

(25.13)

A higher number of packed cells connected in series yields a higher number of voltage levels at the output terminal. And this type of inverter finds application in medium voltages in the integration of renewable energy systems. The simulation work is performed using Matlab for the presented design in this section using the switching Table 25.3. The design work is tested with resistive load 100 ohm and impedance load 200+1.57 ohm. The output load voltage waveforms tested with both the loads are shown in Figure 25.16 and 25.17. Also the harmonic exits in the load voltage waveform are found to less than the IEEE standards and are 1.15% and 1.94%, respectively, with a peak to peak voltage of 26V. The presented inverter model in this section is suitable for all renewable energy sources, since the inverter is designed using asymmetric voltage sources. This model provides better efficiency when compared to the model presented in previous sections. Also, the work presented in this section uses fewer switches and sources when compared to the previous section and it leads to reduction in cost of the inverter with minimum size.

Asymmetric Inverter and Applications   489 Table 25.3  Positive level generation of 3 packed cells. Voltage level

S1

S2

S3

S4

S5

S6

S7

S8

S9

T1

T2

T3

T4

0

0

1

0

0

1

0

0

1

0

1

0

0

1

1

1

0

0

0

1

0

0

1

0

1

0

0

1

2

0

0

1

0

1

0

0

1

0

1

0

0

1

3

0

1

0

1

0

0

0

1

0

1

0

0

1

4

1

0

0

1

0

0

0

1

0

1

0

0

1

5

0

0

1

1

0

0

0

1

0

1

0

0

1

6

0

1

0

0

0

1

0

1

0

1

0

0

1

7

1

0

0

0

0

1

0

1

0

1

0

0

1

8

0

0

1

0

0

1

0

1

0

1

0

0

1

9

0

1

0

0

1

0

1

0

0

1

0

0

1

10

1

0

0

0

1

0

1

0

0

1

0

0

1

11

0

0

1

0

1

0

1

0

0

1

0

0

1

12

0

1

0

1

0

0

1

0

0

1

0

0

1

13

1

0

0

1

0

0

1

0

0

1

0

0

1

14

0

0

1

1

0

0

1

0

0

1

0

0

1

15

0

1

0

0

0

1

1

0

0

1

0

0

1

16

1

0

0

0

0

1

1

0

0

1

0

0

1

17

0

0

1

0

0

1

1

0

0

1

0

0

1

18

0

1

0

0

1

0

0

0

1

1

0

0

1

19

1

0

0

0

1

0

0

0

1

1

0

0

1

20

0

0

1

0

1

0

0

0

1

1

0

0

1

21

0

1

0

1

0

0

0

0

1

1

0

0

1

22

1

0

0

1

0

0

0

0

1

1

0

0

1

23

0

0

1

1

0

0

0

0

1

1

0

0

1

24

0

1

0

0

0

1

0

0

1

1

0

0

1

25

1

0

0

0

0

1

0

0

1

1

0

0

1

26

0

0

1

0

0

1

0

0

1

1

0

0

1

490  Electrical and Electronic Devices, Circuits, and Materials Signal

Signal mag.

Selected signal: 2 cycles. FFT window (in red): 1 cycles 20 10 0 10 –20 0

0.005

0.01

0.015

0.02 Time (s)

0.025

0.03

0.035

0.04

FFT analysis

Fundamental (50Hz) = 26.16, THD= 1.15%

Mag (% of Fundamental)

0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0

0

100

200

300

400 500 600 Frequency (Hz)

700

900

800

1000

Figure 25.16  Existence of harmonics at restive load in the three packed cell inverter.

Signal

FFT window 1 of 2 cycles of selected signal 20 10 0 –10 –20 0

0.002

0.004

0.006

0.008

0.01 0.012 Time (s)

0.014

0.016

0.018

800

900

FFT analysis

Fundamental (50Hz) = 26.23, THO = 1.94%

Mag (% of Fundamental)

0.8 0.7 0.6 0.5 0.4 0.3 0.2 0.1 0

0

100

200

300

400 500 600 Frequency (Hz)

700

Figure 25.17  Existence of harmonics at restive load in the three packed cell inverter.

1000

Asymmetric Inverter and Applications   491

25.7 Summary All the inverter designs described in sections 25.3, 25.4, 25.5 and 25.6 are suitable for integrating the voltages obtain from solar panels. And the design shown in section 25.5 and 25.6 is best suitable for all type of renewable energy sources such as integration of power extracted from solar cell and fuel cells. Also, this type of inverters can be implemented in electric vehicles and motor drives. The inverter discussed in section 25.6 can be used in a hybrid renewable energy system to interact with grid, since the input voltage source are unequal in value and it is used to achieve higher voltage levels using a minimum number of switched and sources. This leads to reduction in the cost and size of the inverter.

References 1. K. K. Gupta, A. Ranjan, P. Bhatnagar, L. K. Sahu and S. Jain, “Multilevel Inverter Topologies With Reduced Device Count: A Review,” in IEEE Transactions on Power Electronics, vol. 31, no. 1, pp. 135-151, Jan. 2016. 2. L. Vijayaraja, S. G. Kumar and M. Rivera, “A review on multilevel inverter with reduced switch count,” 2016 IEEE International Conference on Automatica (ICA-ACCA), Curico, 2016, pp. 1-5. 3. Nazemi Babadi, O. Salari, M. J. Mojibian and M. T. Bina, “Modified Multilevel Inverters With Reduced Structures Based on PackedU-Cell,” in IEEE Journal of Emerging and Selected Topics in Power Electronics, vol. 6, no. 2, pp. 874-887, June 2018. 4. S. SinghNeti and V. Singh, “A Reduce Device Count Nine Level MLI Using Switched Capacitors,” 2020 First International Conference on Power, Control and Computing Technologies (ICPC2T), Raipur, India, 2020, pp. 210-214. 5. Dhanamjayulu et al., “Real-Time Implementation of a 31-Level Asymmetrical Cascaded Multilevel Inverter for Dynamic Loads,” in IEEE Access, vol. 7, pp. 51254-51266, 2019. 6. S. Shuvo, E. Hossain, T. Islam, A. Akib, S. Padmanaban and M. Z. R. Khan, “Design and Hardware Implementation Considerations of Modified Multilevel Cascaded H-Bridge Inverter for Photovoltaic System,” in IEEE Access, vol. 7, pp. 16504-16524, 2019. 7. Sheir, M. Z. Youssef and M. Orabi, “A Novel Bidirectional T-Type Multilevel Inverter for Electric Vehicle Applications,” in IEEE Transactions on Power Electronics, vol. 34, no. 7, pp. 6648-6658, July 2019. 8. J. Zeng, W. Lin, D. Cen and L. Junfeng, “Novel K-Type Multilevel Inverter with Reduced Components and Self-Balance,” in  IEEE Journal of Emerging and Selected Topics in Power Electronics. doi: 10.1109/JESTPE.2019.2939562. 9. K. S. Kumar, A. Kirubakaran and N. Subrahmanyam, “A Novel Two-Stage Hybrid T-type Five-Level Transformerless Inverter,”  2019 Innovations in Power and Advanced Computing Technologies (i-PACT), Vellore, India, 2019, pp. 1-6. 10. A. K. Yadav, K. Gopakumar, K. R. R, L. Umanand, S. Bhattacharya and W. Jarzyna, “A Hybrid 7-Level Inverter Using Low-Voltage Devices and Operation With Single DC-Link,” in  IEEE Transactions on Power Electronics, vol. 34, no. 10, pp. 9844-9853, Oct. 2019. 11. A. Nazemi Babadi, O. Salari, M. J. Mojibian and M. T. Bina, “Modified Multilevel Inverters With Reduced Structures Based on PackedU-Cell,” in IEEE Journal of Emerging and Selected Topics in Power Electronics, vol. 6, no. 2, pp. 874-887, June 2018. 12. C. M. Nirmal Mukundan, P. Jayaprakash, U. Subramaniam and D. J. Almakhles, “Binary Hybrid Multilevel Inverter-Based Grid Integrated Solar Energy Conversion System With Damped SOGI Control,” in IEEE Access, vol. 8, pp. 37214-37228, 2020.

492  Electrical and Electronic Devices, Circuits, and Materials 13. P. R. Bana, K. P. Panda, S. Padmanaban, L. Mihet-Popa, G. Panda and J. Wu, “Closed-Loop Control and Performance Evaluation of Reduced Part Count Multilevel Inverter Interfacing Grid-Connected PV System,” in IEEE Access. 14. A. Kshirsagar  et al., “17-level inverter with low component count for open-end induction motor drives,” in IET Power Electronics, vol. 11, no. 5, pp. 922-929, 1 5 2018. 15. Bhatnagar, Pallavee et al. Reduced device count version of single-stage switched-capacitor module for cascaded multilevel inverters. IET Power Electronics (2019), 12 (5):1079. 16. M. D. Siddique et al., “A Single DC Source Nine-Level Switched-Capacitor Boost Inverter Topology With Reduced Switch Count,” in IEEE Access, vol. 8, pp. 5840-5851, 2020. 17. M. H. Mondol, M. R. Tür, S. P. Biswas, M. K. Hosain, S. Shuvo and E. Hossain, “Compact Three Phase Multilevel Inverter for Low and Medium Power Photovoltaic Systems,” in IEEE Access, vol. 8, pp. 60824-60837, 2020. 18. N. Lakshmipriya, N.P. Anathamoorthy, “Using FPGA real time model for novel 33-level switched-capacitor multilevel inverter for PMSM drive”, Microprocessors and Microsystems, vol. 76, 2020, 103078. 19. S. Ponkumar, S. M. Rivera, F. Kamroon and S. G. Kumar, “Realization of cascaded multilevel inverter,”  2017 CHILEAN Conference on Electrical, Electronics Engineering, Information and Communication Technologies (CHILECON), Pucon, 2017, pp. 1-7. 20. B. Ganesh et al., “Implementation of Twenty seven level and Fifty one level Inverter using constant voltage sources,” 2019 IEEE CHILEAN Conference on Electrical, Electronics Engineering, Information and Communication Technologies (CHILECON), Valparaiso, Chile, 2019, pp. 1-4. 21. G. Raman, A. Imthiyas, M. D. Raja, L. Vijayaraja and S. G. Kumar, “Design of 31-level Asymmetric Inverter with Optimal Number of Switches,” 2019 IEEE International Conference on Intelligent Techniques in Control, Optimization and Signal Processing (INCOS), Tamilnadu, India, 2019, pp. 1-3. 22. L. Vijayaraja, S. G. Kumar and M. Rivera, “A New Topology of Multilevel Inverter with Reduced Part Count,” 2018 IEEE International Conference on Automation/XXIII Congress of the Chilean Association of Automatic Control (ICA-ACCA), Concepcion, 2018, pp. 1-5.

26 A Demand Side Management Controller Configuration for Interleaved DC-DC Converters Applicable for Renewable Energy Sources Davood Ghaderi1*, Gökay Bayrak1 and Umashankar Subramaniam2 Dept. of Electrical and Electronics Engineering, Bursa Technical University, Bursa, Turkey 2 Renewable Energy Lab, Department of Communications and Networks Engineering, College of Engineering, Prince Sultan University, Riyadh, Saudi Arabia

1

Abstract

In Micro-grid applications, accuracy and sensitivity of the Demand Side Management (DSM) process decrease when the load impedance changes. In this study, the impact of the DSM is analyzed and the interleaved structure is presented for DC-DC converter blocks equipped with adaptive PI controllers. This approach reinforces a same voltage source that can be a serial and parallel connection of Photovoltaic (PV) panels in different power rates as the input voltage source to enhance the voltage to the micro-grid DC level and is modeling the power transmission in Renewable Energy Sources (RESs) that they produce limited amounts of power. For the voltage droop problem, the Power-Voltage (P-V) approach is selected. Since the resistive loads are considered in this study, this approach can control DC currents based and depending on the DC voltages in DC micro-grid applications. For per controller block, different values of the gain coefficients are tested and the optimal droop coefficients are presented. All simulations have been done in MATLAB/SIMULINK and a prototype by power around 1kW is tested. The results of the hardware implementation confirm the theoretical and simulation outcomes. Keywords:  Micro-grid, demand side management (DSM), photovoltaic (PV), renewable energy sources (RESs), DC-DC power boost converter

26.1 Introduction Micro-grids contain the distributed sources such as Photo-Voltaic (PV) and solar cells, Fuel Cells (FCs), Micro-Turbines (MTs), etc., and the energy storage equipment, distribution network, control prototypes and structures, protection and load investigations [1–3]. Distributed Generation (DG) resources are mostly used in low voltage networks, due to their low power capacity [4]. By applying the DG units in the micro-grids, the complexity of power control and voltage regulation in the network increases dramatically but can be incorporated into the system by using the plug and play feature without altering the control strategy [5, 6]. *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (493–516) © 2021 Scrivener Publishing LLC

493

494  Electrical and Electronic Devices, Circuits, and Materials The method used with this property is the Droop Control or Droop Method [7–9]. This method is based on the theory of power dissipation in an AC system and performs voltage and frequency control using local information of each DG. This method assumes that the impedance between the sources is highly inductive and the power angle is small enough that the changings of the active power is related to the power angle and the reactive power is related to the voltage changings. This method is recognized as the P-ω and Q-V droop methods [10–12]. One of the advantages of the droop method is its simplicity, since it does not require additional communication between the inverters. Therefore, high reliability and flexibility are provided. However, this method has the following problems [10–12]: 1. Low Voltage (LV) micro-grids contain the resistive impedance, which makes the connection between active and reactive power controls and these powers cannot be controlled separately in this control process. 2. In this method, the droop coefficients are determined based on the capacity of the units and rate of loads participation. But the important point here is the compromise between voltage and frequency control based on dividing of the power values between sources. A higher droop coefficient improves the micro-load DSM by undermining the stability of the DC micro-grid and a lower coefficient decreases the accuracy of DSM. 3. Unlike P-ω control that the DG and grid systems have the same steady-state frequency in grid-connected mode and it is possible to use the same P-ω control algorithm for both grid-connected and separated modes, in Q-V droop control there is a complexity that the voltage of a variable is local and the division of the reactive power between DGs in a micro-grid system by droop characteristics cannot be sufficiently accurate. 4. The droop control method causes the deviation of the voltage and the output frequency of the micro-grid DG interface converter in islanding state. This problem becomes more acute when there are sensitive loads in the system such as electronic loads and induction motors that are very sensitive to voltage changes. 5. The difference between the output voltages of several parallel DG units causes the rotational current between them. This rotational current can cause the inverter to overflow and also reduce the efficiency of the droop control. Diverse studies have addressed the problems of the droop method. In [13], a power transfer framework is proposed for the separate control of the active and reactive powers. In [14, 15], the virtual voltage and frequency frameworks are used to control the power separately. P-V and Q-f droop control methods can also be used for resistive micro-grids [16–18], but the problem with this method is that it is not compatible with large generators in load-connected state [19]. Another way to avoid the power coupling is to use a virtual output impedance that makes the output impedance of the inverter highly inductive [20]. Resistive-inductive virtual impedance loops have been proposed for the purpose of the improving power management in [21–24]. Also in [25], generalized virtual impedance is used to reduce the rotational current between the inverter blocks. The most important problem with these methods is that it reduces the accuracy of the reactive power sharing due to the increased voltage droops of the virtual impedances [26]. In order to the voltage recovery, in [27], the droop control method is improved and the voltage derivative is used to recover the output voltage. The secondary control process is used

A Demand Side Management Controller Configuration  495 in [28–32], which is responsible for recovering the amplitude and frequency of the output voltage of DGs to nominal values. This method requires telecommunications between different parts of the network, which increases costs and vulnerability, reduces reliability and limits system development and flexibility. Also, for the accuracy of the power sharing in the micro-grids, in [33], I-V droop control method is proposed. By using a feedback loop for reactive power for each DG, the authors in [34] have increased the droop coefficients with the aim of increasing accuracy, reactive power sharing and maintaining system stability. In most of studies, have been focused on regulating the output voltage of DGs, which will often drop due to voltage drop on the intermediate impedance between sources to Point of Common Coupling (PCC) [35]. This is especially important when dealing with a critical or voltage sensitive system. On the other hand, in low voltage distribution networks, the voltage drop across the feeder is mainly dependent on the flow of the active power, while the articles focus on the effect of the reactive power on the voltage drop of the subnetworks and less on the effect of the active power. Therefore, in this paper, a control strategy for grid separation mode is proposed, while solving the problems of the drop method, adjusting the critical load voltage to the nominal value as well as accurately load, based on the capacity of the units, between sources. For this purpose, the voltage drop on the intermediate impedance between the outputs of the DG sources to the PCC is estimated for the passage of the active and reactive power and is added to the reference voltage of the drop method. The most important innovations of this article are: 1) This paper deals with low voltage (LV) DC micro-grids with resistive load and active power sharing. 2) Solving the problems of the method of failure without telecommunication between resources and realize the plug and play feature for each DG unit, 3) Improvement of the P-V droop control method by adapting its coefficients in a timely manner and taking into account the voltage droops on the load impedance, which improves the voltage control and increases the accuracy of active power sharing between sources. Section 26.2 describes the used converter types for interleaved blocks for power sharing process and the proposed adaptive control strategy for converter blocks in order to power transmission in C micro-grid. In the third part, the simulation results are presented with the proposed droop coefficients values with 3 parallel quadratic boost converter units and finally the experimental results are presented in section 26.4. ω

V

ω0

V0 Dq

Dp Pmin

(a)

Pmax

Figure 26.1  (a) P – ɷ and (b) Q-V droop methods.

Qmin

(b)

Qmax

496  Electrical and Electronic Devices, Circuits, and Materials

26.2 Control Method and Proposed Controller Investigation Generally, there are two different P – ɷ and Q-V Strategies for droop control process. Figure 26.1 presents the droop methods based on these two approaches. Based on this figure, the relation between P and ɷ and also Q and V can be obtained as below:



ω = ω0 – DP(P0 – P) DP =

ω 0 − ω min P0 − Pmax

(26.1) (26.2)

In these equations, ɷ0, P and Dp are the angular frequency of the network, output active power of the DG and droop coefficient of the active power in the network respectively. P0 is the Programmed DG output power at frequency ɷ0 in network connected operation mode. For the Q-V diagram:



V = V0 – Dq(Q0 – Q) DP =

V0 − Vmin Q0 − Qmax

(26.3) (26.4)

Vo, Q and Dq are the nominal output voltage of the converter side (load side), reactive power value of the DG and droop coefficient of the reactive power in the network respectively. These control methods are well known as the P – ɷ and Q-V control methods. With the drop method, the load between the DG sources is adjusted according to the capacitance of the units, divided by voltage and frequency, but as stated, it does not adjust the voltage and frequency to the nominal value and causes voltage and frequency deviation. Figure 26.2 illustrates the general overview of the proposed system. Two types of the converter structures can be applied based on the type of the input side. Figure 26.2a presents the state of the topology under PV panel operations and Figure 26.2b shows the connection for different parts for Wind Turbines (WTs). The output of a PV panel normally is generation a DC voltage. The rate of this voltage is completely related to temperature and irradiance and is variable in different times in a day. Many of studies were presented to fix the output voltage of these panels in a desired level. These technique is well known as the Maximum Power Point Tracking (MPPT) techniques [36–39]. In this study, the PV-connected topology will be discussed and the JIYANGYIN model of the PV arrays is selected for both simulation and implementation issues. The I-V and P-V cures for this panel can be found in Figure 26.3. As can be seen, this array can generate the optimized power value for around 26 VDC under at least 25 degree of temperature. By this figure, the reason that the first DC-DC converter is applied is clear and more sensible. The equivalent PV cell is shown in Figure 26.4. In this figure, the generated current by the cell is illustrated by IPh. But a part of this current is wasted by flowing through a diode, and internal resistances of the cell RP and RS, and finally, the total presented current can be modeled as I.

A Demand Side Management Controller Configuration  497

Low Voltage Bus

DC/DC

High Voltage Bus

DC/DC

Load side

Droop Controller

Controller DC/DC

DC/DC

Droop Controller

Controller C

DC/DC

DC/DC

Controller ll

Droop Controller

(a) AC/DC

Low Voltage Bus

DC/DC

High Voltage Bus

Load side

Droop Controller

Controller DC/DC

AC/DC

Droop Controller

Controller C

DC/DC

AC/DC

Droop Controller

Controller

(b)

Power (W)

Current (A)

Figure 26.2  General topology of the proposed DC-micro-grid connection by (a) PV and (b) WT inputs.

10

Array type: Jiangyin Hareon Power HR-200W-24V; 1 series modules; 1 parallel strings

0

25oC

45oC

5 0

10

20 Voltage (V)

30

40

400 25oC

200 0

45oC 0

10

20 Voltage (V)

Figure 26.3  The I-V and P-V characteristics of the applied PV-array.

30

40

498  Electrical and Electronic Devices, Circuits, and Materials RS + VD

ID Iph

I RP

+ Vout –



Figure 26.4  The PV cell equivalent circuit in a PV array.

The current flows through the diode can be obtained by:



  v   id = I sat exp  d  − 1   ηVT  

(26.5)

In this equation, Isat is the reverse saturation value of the current for the diode, Vd is the forwards bias voltage across the diode, VT is the thermal voltage for the diode and η is a coefficient that can be introduced to present the ideality rate of the diode. The thermal voltage VT, can be presented through (26.6):



vT =

K BT qe

(26.6)

kB is the Boltzmann coefficient, T is the working temperature value (Kelvin) and qe is the electrical load of an electron based on coulomb. The output current and voltage of the cell can be obtained by the equations (26.7) and (26.8):



I = I ph − I D −

VD RP

Vout = VD − RSI

(26.7) (26.8)

Since a serial and parallel connections of the cells is formed in an array, the total output current and voltage of the panel can be calculated by:

vPV = NSvcell

(26.9)

IPV = NPI

(26.10)

In these equations, NS and NP are the total serial and parallel cell numbers. The final proposed configuration is illustrated in Figure 26.5. A serial and parallel connection of the PV arrays is formed to produce the desired value of the power that is considered to be transferred. The output point of this configuration is connected to a DC/DC boost converter, as described, to fix the output voltage of the PV arrays. Since high level of

A Demand Side Management Controller Configuration  499 Low Voltage Bus

DC/DC

High Voltage Bus

Load side

Droop Controller DC/DC

DC/DC Droop Controller

Controller

DC/DC Droop Controller

Figure 26.5  Proposed topology.

the output currents is requested normally in power-sharing processes, normally parallel connections between PV arrays is preferable and selected. This part of the study has been analyzed in [39] under MPPT investigation. The focus point of this study is Droop controller designs for the intermediate blocks in the Figure 26.5. For that, we firstly need to increase the LVB voltage to HVB voltage level. for this purpose, the Quadratic high-gain DC-DC Boost converters are selected to be applied. The analysis of this converter has been presented in [39] in two ON and OFF working states of the power switch. Figure 26.6 shows this converter and the states of the converter circuit when the switch goes into ON and OFF modes. The gain of this converter can be presented by (26.11): 2



V  1  G= O =  Vin  1 − d 

(26.11)

G is the DC gain of the converter and d is the duty ratio of the power switch. The current of the first and second inductors can be presented by (26.12) and (26.13): 2



I LX

 1  VO = ×  1 − d  R

(26.12)



 1  VO I LY =  ×  1 − d  R

(26.13)

LX and LY are the first and second inductors, Vo is the output voltage of the converter, R is the output load value and d is the duty cycle of the switch S. The main losses resource is the dynamic losses for the inductors. Equations (26.14) and (26.15) present these losses values for the inductors LX and LY.



 2 i2  PLX = RLX ×  iLX + LX   12 

(26.14)

500  Electrical and Electronic Devices, Circuits, and Materials LX

D0

D2

+ D1

LY

+ R

C0

+

V0

S

C1

− (a)

LX

D2

D0 +

D1

LY

+ C0

+

S

C1



(b) LX

R

D0

D2

+ D1

+ C1

LY

+ C0

R

S (c)



Figure 26.6  (a) The selected converter, and the state of the converter when the switch works in (b) ON and (c) OFF modes.



 2 i2  PLY = RLY ×  iLY + LY  (26.15)  12 

RLX and RLY are the internal resistances of the inductors LX and LY. iLX, iLY and ∆iLX and ∆iLY are the average and maximum ripple of the currents of the inductors LX and LY respectively. The conductive losses for the switch and all diodes D1, D2 and DO, can be obtained by (26.16) to (26.19):





 2 i2  Pcon− M = Rds− M D ×  iLX + LX   12 

(26.16)

 2 i2  Pcon−D1 = V f 1iLX D1′ + Ron−D1D1′ ×  iLX + LX   12 

(26.17)

 2 i2  Pcon−D 2 = V f 2iLX D2′ + Ron−D 2 D2′ ×  iLX + LX   12 

(26.18)

A Demand Side Management Controller Configuration  501



 2 i2  Pcon−Do = V foiLX Do′ + Ron−Do Do′ ×  iLY + LY  (26.19)  12 

Pcon-M, Pcon-D1, Pcon-D2 and Pcon-DO are the dynamic power losses for the switch, diodes D1, D2 and DO respectively. Rds-M is the drain-source voltage of the power MOSFET, Vf is the forward voltage of the power diodes, Ron-D is the dynamic resistance of the diodes and D and D’ are the times intervals that the diode works under ON and OFF states. Qrr is the electrical charge across the forward capacitor of the diode. The switching losses for the switch and diodes can be calculated by (26.20) to (26.23):



 w = 0.5i × V × T LX C1 ON Psw − M (wON + wOFF ) × f s ,  ON  wOFF = 0.5iLX × VC1 × TOFF



(26.20)

Psw−D1 = VCD1 Qrr1 fs

(26.21)

Psw−D2 = VCD2 Qrr2 fs

(26.22)

Psw−D3 = VCD3 Qrr3 fs

(26.23)

In these equations Psw-M, Psw-D1, Psw-D2 and Psw-DO are the switching power losses for the switch, diodes D1, D2 and DO respectively. VC and f are the forward voltage on the internal parallel capacitor of the diode and the switching frequency respectively.

26.2.1 Power Sharing and Demand Side Management It is common for solar and wind power sources, which are well known as the irregular renewable energy sources, to be installed in mixed energy systems with battery backup, hydrogen fuel cells or grid connection. In order to solve the problem of energy disorder, the applied mixed energy systems have raised the issue of coordinating the energy coming from the existing sources. The issue of how much energy is taken from which energy source is called under power-sharing subject. The battery, hydrogen fuel cell and RESs can be modeled as ideal sources. Ideal energy sources produce energy just as much as loads demand. When it comes to generating energy from the load, it is also possible to energize ideal sources. However, energy sources such as wind turbines or solar panels produce energy, depending on the environmental conditions or load impedance. For example, given the current-voltage curve of a solar panel, the highest power generation appears to be at a certain point. It is possible to transmit the highest possible power to the load at the output of the panel in a single impedance value. As long as the load impedance is different, it is not possible to obtain the highest power from the solar panel. As a solution to these situations of wind and solar power systems, Maximum Power Point Tracking (MPPT) methods have been proposed. Accordingly, the wind or solar system feeds the load not directly, but through a power electronics circuit. The control mark of

502  Electrical and Electronic Devices, Circuits, and Materials this power electronics inverter is generated by the MPPT controller. The MPPT controller continuously samples the related voltage and currents to fix the output voltage of power in the module. In the wind energy system, the MPPT controller generates a control signal that will force the turbine speed and electro-magnetic moment to produce maximum power in case it is present. In the solar energy system, the MPPT controller generates the signal to ensure that the voltage and current at the panel output are such that the maximum power can be generated under the environmental conditions of the panel. In mixed energy systems, the primary source of wind and solar energy sources, even if they are forced to work at the MPP, due to environmental conditions may not be able to produce the power demanded by the load they supply. Likewise, the power generated from wind and solar sources may be higher than the value demanded by the load. Figure 26.7 illustrates a P-V characteristic curve for two DC-DC converter units with different rates of power and energy. Vd*,y is the output voltage of the converters and Pd,y is the transmitted power value by per unit. These two units reinforce the same power source and each of the units transfer equal or different levels of the input power based on components values, power capacity and droop coefficients in controller part of units. Droop controller tracks the output ends of the converter and when the current changes at the load side, changes the duty cycle of the power switches in converter structure to transfer different levels of the input power. The relation between Vd*,y and Pd,y in Figure 26.7 can be modeled according to (26.24):

Vd*, y = Vd′, y + v y Pd , y



(26.24)

In this equation, Vy and Vd′,y present the droop slope and reference voltage respectively. The droop slope is determined by the droop coefficients and the reference voltage is HVB voltage. So, by the controller part, not only will we need to drive the switched to increase the LVB to HVB voltage, but also we have to consider the effect of the droop coefficient as a new parameter to fix the voltage in HVB. Figure 26.8 presents two curves for current and voltage tracking in conventional power-sharing methods [39]. The topology contains three DC-DC converter units. For this load, controllers are switching the power components of the converter to generate fix 47.5 VDC and 2A for the DC load. As can be seen, the speed of the controller is not considerable and normally after a long while, the voltage and current are fixed. In this topology, the transferred power by the unit 2 does not change, but by load changing, the power transmission capacity of units 1 and 3 are changing. A good idea is V*d,y Unit 1 Unit 2

Steady-state points

Figure 26.7  Droop in DC micro-grid for two DC-DC converter units.

Pd,y

A Demand Side Management Controller Configuration  503 Current (A) 3.5

Voltage (V) 48.17

3

48

2.5

47.83

2

47.67

1.5

47.5

1

47.35

0.5

47.17

0

0

0.05 0.1 0.15 0.20 0.25 0.30 0.35 0.4 Time (s) (a)

0.45

47 0

0.05 0.1 0.15 0.20 0.25 0.30 Time (s) (b)

Figure 26.8  Conventional droop controller, (a) load current and (b) load voltage tracking.

changing the droop coefficients by a frequently sampling at the load side and application of a controller which is based on pure and accurate mathematical model of the converter. Figure 26.9 presents the proposed topology for power-sharing process. Three DC-DC boost converter units are considered for the designing. The HVB voltage is taking to account to be equal to 600 VDC. A voltage sensor is applied at the load ends to track this voltage and continuously share the voltage value with the droop controllers. At the same time, a current sensor is applied to check the line current and share with controllers to do any changes for the duty cycles of the switches when the current changes by comparing the reference current for per unit. When any changes in voltage or current happen, the droop coefficients G1, G2, G3 change. An initial value for the change is considered as the ΔG. The change amplitude completely is related to the new current and voltage rates at the load ends. When this parameter gets smaller, the accuracy increases. Based on Figure 26.7, if the sensed voltage, reference voltage, sensed power and reference power are considered as the VO, Vref, PO and Pref, one can obtain:

VO – Vref = (PO – Pref) × n

×

++ +–

Second Block

Third Block

Droop Controller2

Droop Controller3

Pref1

++

G2

+ –

Pref2

++ + –

Figure 26.9  Proposed droop controller.

G1

G3

Pref3



+ Output voltage

Voltage sensor

Load1

Load2

Load3

CT

Droop Controller1

Reference Voltage

First Block

Current sensor

(26.25)

504  Electrical and Electronic Devices, Circuits, and Materials

If Vo>Vref

G1new=G1old-∆G G2new=G2old-∆G G3new=G3old-∆G

Vout Measurement

Iout Measurement

Read by voltage sensor

Read by current sensor

If VoIref

If Io=Iref

If Io SOCmax

NO

(PW + PPV) > PL YES

NO

Load PV-MPPT is turned OFF to Shedding allow battery to discharge until SOCmin. Battery, Wind and Solar power is delivered to Load.

Figure 27.2  Energy management system.

SOC> SOCmin

YES

Battery is discharged until SOCmin. Battery, Wind and Solar power is delivered to Load.

520  Electrical and Electronic Devices, Circuits, and Materials

Computer Interface with MATLAB SIMULINK

DSPACE DS1104 Controller Board REAL TIME CONTROLLER

MICROGRID Boost Converter

Boost Converter

Inverter

PMSG WT Bi-directional Converter Variable Load

PV Panel

Battery

Figure 27.3  Hardware implementation of small-scale stand-alone HRES.

irradiation for the PV panel. A lead acid battery is utilized. The converters required for operation of these devices are used along with necessary controllers and equipment. The parameters for hardware equipment are shown in Table 27.1.

27.2.2 Results and Discussion Two tests were carried out to analyze the performance of the system; one test with only source variations and one test with only load variations.

27.2.2.1 Performance of HRES During Source Variations Only In the first test, the load was kept constant and the input of the renewable energy sources was varied as shown in Figure 27.4. The variations are considered in a step pattern to check the performance at each step. The effectiveness of the EMS can be validated by observing the DC bus voltage presented in Figure 27.5 and also the power at sources and load as shown in Figure 27.6.

Applications of Hybrid Wind Solar Battery Based Microgrid  521 Table 27.1  Parameters of hardware equipment. Equipment

Parameter

Value

PMSG

Nominal Power

60 W

Nominal Voltage

24 V

Nominal Current

4.2 A

Rated Speed

6000 rpm

Nominal Power

70 W

Open Circuit Voltage

24 V

Maximum Power Point Voltage

17.6 V

Short-Circuit Current

6A

Current at MPP

3.98 A

Voltage

12 V

Capacity

24 Ah

DC-bus voltage reference

50 V

Filter resistance

0.7 Ω

Filter inductance

32 mH

PV Panel

Battery DC Bus and RL Filter

Wind Speed (10m/s) & Solar Irradiance (kW/m2)

1.2 1

0.8 0.6 0.4

0.2

Solar Irradiance Wind speed

0 0

10

20

30

40 Time (s)

50

60

70

Figure 27.4  Renewable input variations. 60 DC Bus Voltage (V)

50 40 30

20 10 0

0

10

Figure 27.5  DC bus voltage.

20

30

Time (s)

40

50

60

70

522  Electrical and Electronic Devices, Circuits, and Materials 60

PMSG Power (W)

50 40 30 20 10 0 0

10

20

30

20

30

40

50

60

70

40 Time (s)

50

60

70

Time (s)

(a) Power at PMSG 70 60 PV Power (W)

50 40 30 20 10 0 0

10

(b) Power at PV 60

Battery Power (W)

40 20 0

–20 0 120

10

20

(c) Power at battery

30

Time (s)

40

50

60

70

Load Power (W)

100 80 60 40 20 0 0 10 (d) Power at load

Figure 27.6  Power at sources and load.

20

30

Time (s)

40

50

60

70

Applications of Hybrid Wind Solar Battery Based Microgrid  523

27.2.2.2 Performance of HRES During Load Variations Only In the second test, the renewable energy sources were kept constant and the load was varied as shown in Figure 27.7. The variations are considered in a step pattern to check the performance at each step. The effectiveness of the EMS can be validated by observing the DC bus voltage presented in Figure 27.8 and also the power at sources and load shown in Figure 27.9.

27.2.3 Conclusion A small-scale hybrid renewable energy system was implemented experimentally. The implementation of EMS for the maintenance of power balance of the system was validated through a series of tests conducted to check the power balance for varying conditions of both source and load. This provides a working test platform for the validation of various scenarios and control algorithms.

Wind Speed (10m/s) & Solar Irradiance (kW/m2)

1.2 1 0.8 0.6 Solar Irradiance Wind Speed

0.4 0.2 0

10

0

20

30

40

50

60

70

60

70

Time (s)

Figure 27.7  Renewable input variations.

DC Bus Voltage (V)

50 40 30 20 10 0

0

10

Figure 27.8  DC bus voltage.

20

30

Time (s)

40

50

524  Electrical and Electronic Devices, Circuits, and Materials 50

PMSG Power (W)

40 30 20 10 0

0

10

20

30

20

30

20

30

20

30

(a) Power at PMSG

Time (s)

40

50

60

70

40

50

60

70

40

50

60

70

40

50

60

70

60

PV Power (W)

50 40 30 20 10 0 0

10

(b) Power at PV

Time (s)

Battery Power (W)

20 0

–20 –40 0

10

(c) Power at battery

Time (s)

120

Load Power (W)

100 80 60 40 20 00 10 (d) Power at load

Figure 27.9  Power at sources and load.

Time (s)

Applications of Hybrid Wind Solar Battery Based Microgrid  525

27.3 Grid-Connected HRES System Research work in the area of grid-tied HRES systems has been carried out, such as simulation of an EMS for an on-grid and off-grid HRES in [12], an effective power transfer scheme for a grid-tied HRES system is discussed in [13], a control technique for a grid-tied HRES inverter in distribution system is discussed in [14] and the cogeneration of PV-wind system with back-to-back converters simulation is discussed [6]. This work discusses a multifeeder system which consists of grid and HRES as two feeders interconnected through a power conditioner and the system is simulated and tested for nonlinear load conditions and also source imperfections. The system description and performance analysis are discussed.

27.3.1 System Description When the HRES system is connected to grid, there are many challenges; there must be an effective controller and power conditioner in place to support the system in case of any disturbances [15, 16]. In this system, the HRES is considered as one of the feeders and the grid is considered as another feeder, both supplying non-linear loads; the interconnection between the two feeders is provided by power conditioner as shown in Figure 27.10. An Interline Unified power quality conditioner (IUPQC) is utilized to provide compensation during disturbances caused from load side and source side [17]. The HRES system as shown in Figure 27.11 is similar to the previous section, but as this is a grid-connected system, the ratings of the PV, wind and battery systems are much higher as shown in Table 27.2. One other difference is that a three-phase inverter is required to provide 11kV at the load end.

27.3.2 Results and Discussion The performance of the grid-connected HRES system is discussed in this section. First the internal performance of the HRES is discussed and then the performance of the entire system under two cases; voltage harmonics and current harmonics.

Non-Linear Sensitive Loads

Feeder 1 Feeder from Grid IUPQC

Feeder 2 Feeder from HRES

Figure 27.10  Block diagram of grid-connected HRES system.

Non-Linear Sensitive Loads

526  Electrical and Electronic Devices, Circuits, and Materials Three phase Boost Converter Rectifier & Filter I

Boost Converter

DC

VDC

PM SG

+







PV Array

δPV IDC ref

+ –

I

+

V

Wind Turbine VDC ref

+

PI

δW

MPPT Algorithm

PWM

PWM

PI

+ –

OFF-MPPT

SOC PV Boost Converter Control WT Boost Converter Control

3ф Inverter

Bi-directional Converter

+

IDC

VDC ref + –

IDC ref – PI +

RL Filter

+

+ VBat –

VDV

VDC

Non-Linear Sensitive Loads



vabc

δBAT PI

iabc



PWM

Three Phase Transformer

δINV

Battery Bi-directional Converter Control VDC ref

Voltage Regulator

Idq ref

Current Regulator

Vdq ref

abc Vabc ref dq

Idq , Vdq

PWM θ PLL

abc dq Inverter Control

Figure 27.11  Schematic diagram of grid-connected HRES.

27.3.2.1 HRES Output The output of the HRES needs to be similar to that of a grid and therefore it is necessary for it to provide constant voltage regardless of the variations of the input to the solar and wind systems; this is achieved by the use of a battery bank. The variations of the wind and solar input is shown in Figure 27.12. The DC bus voltage and HRES feeder voltage remains constant as shown in Figure 27.13 and Figure 27.14, respectively.

Applications of Hybrid Wind Solar Battery Based Microgrid  527 Table 27.2  Simulation parameters of grid-connected HRES. Equipment

Parameter

Value

PMSG

Nominal Power

750 kW

Nominal Voltage

575 V

Rated Speed

25 rpm

Stator Resistance

0.01 Ω

Stator Self-Inductance

7.79 mH

Pole pairs

42

Permanent Magnetic Flux

7.35 Nm/A

Total Maximum Power

600 kW

No. of arrays in parallel

3

No. of Parallel Strings per array

64

No. of Series Strings per array

10

Nominal Power

315 W

Open Circuit Voltage

64.6 V

Maximum Power Point Voltage

54.7 V

Short-Circuit Current

6.14 A

Current at MPP

5.76 A

Voltage

48 V

Capacity

58.5 Ah

No. of Batteries in series

12

DC-bus voltage reference

1000 V

Filter resistance

1 mΩ

Filter inductance

45 μH

PV Arrays

PV Module

Battery

DC Bus and RL Filter

Wind Speed (10m/s) Solar Irradiance (kW/m2)

1.4 Solar Irradiance Wind Speed

1.2 1 0.8 0.6 0.4 0.2 0 0

5

10

15

20

Figure 27.12  Solar irradiance and wind speed levels.

25 30 Time (s)

35

40

45

50

55

528  Electrical and Electronic Devices, Circuits, and Materials

DC Bus Voltage (V)

1000 800 600 400 200 0

0

10

20

30

40

50

10

20

30 Time (s)

40

50

9.99

10 Time(s)

Time (s)

Figure 27.13  DC bus voltage.

HRES Feeder Voltage (V)

1 0.5 0

0

(a) HRES feeder voltage.

HRES Feeder Voltage (V)

× 104 1 0.5 0 –0.5 –1 9.96

9.97

9.98

10.01

10.02

10.03

10.04

(b) HRES feeder voltage from 9.96s to 10.04s.

Figure 27.14  HRES feeder voltage.

27.3.2.2 Performance of Grid-Connected HRES for Nonlinear Loads When the HRES is connected to a grid, the load is nonlinear in nature; therefore this nonlinearity should not cause distubances at the source. Therefore, the power conditioner operates during this nonlinearity condition and provides the neccesary compensation as shown in Figure 27.15 and Figure 27.16.

Applications of Hybrid Wind Solar Battery Based Microgrid  529 Signal to analyze

Load Current at Feeder 2 (A)

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles 100 0 –100 0.05

0

Time (s)

0.1

0.15

FFT analysis

Mag (% of Fundamental)

Fundamental (50Hz) = 164.3, THD= 30.84% 20

10

0

0

5

10 Harmonic order

15

20

(a) Load current at feeder 1 Signal to analyze

Source Current at Feeder 2 (A)

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles 100 0 –100 0

0.05

Time (s)

0.1

0.15

FFT analysis

Mag (% of Fundamental)

Fundamental (50Hz) = 150, THD= 3.08% 3 2 1 0

0

5

10 Harmonic order

15

20

(b) Source current at feeder 1

Figure 27.15  FFT analysis at feeder 1.

27.3.2.3 Performance of Grid-Connected HRES for Source Voltage Imperfections When the HRES is connected to a grid, during some scenarios the source voltage is corrupted; this voltage should not reach the load end. Therefore, the power conditioner operates during this condition and provides the neccesary compensation as shown in Figure 27.17 and Figure 27.18.

530  Electrical and Electronic Devices, Circuits, and Materials

Load Current at Feeder 2 (A)

Signal to analyze

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles

50 0 –50 0

0.05

Mag (% of Fundamental)

FFT analysis

Time (s)

0.1

0.15

Fundamental (50Hz) = 82.37, THD= 30.79%

20

10

0

0

5

10 Harmonic order

15

20

(a) Load current at feeder 2 Signal to analyze

Source Current at Feeder 2 (A)

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles 50 0 –50 0

0.05

Time (s)

0.1

0.15

FFT analysis

Mag (% of Fundamental)

Fundamental (50Hz) = 75, THD= 3.09% 3 2 1 0

0

5

10 Harmonic order

15

20

(b) Source current at feeder 2

Figure 27.16  FFT analysis at feeder 2.

27.3.3 Conclusion The grid-connected HRES system is simulated for standard grid parameters and the performance of the system is tested and the validity of the system is shown in the results. The HRES is able to provide constant voltage regardless of renewable input variations and also the entire system is able to operate during nonlinear load conditions and also during source voltage imperfections.

Applications of Hybrid Wind Solar Battery Based Microgrid  531

Source Voltage at Feeder 2 (V)

Signal to analyze

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles

× 104 1 0 –1 0

0.05

Time (s)

0.1

0.15

FFT analysis

Mag (% of Fundamental)

Fundamental (50Hz) = 1.1e+004, THD= 44.72% 40

20

0

0

5

10 Harmonic order

15

20

(a) Source voltage at feeder 1 Signal to analyze

Load Voltage at Feeder 2 (V)

× 104

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles

1 0 –1

0

0.05

Time (s)

0.1

0.15

FFT analysis

Mag (% of Fundamental)

Fundamental (50Hz) = 1.1e, THD= 4.63% 4

2

0

0

5

10 Harmonic order

15

20

(b) Load voltage at feeder 1

Figure 27.17  FFT analysis at feeder 1.

Acknowledgements The research work presented in this chapter was carried out under an Indo-Sri Lanka joint research project funded by the DST, Govt. of India, (DST/INT/SL/P-18/2016).

532  Electrical and Electronic Devices, Circuits, and Materials Signal to analyze

× 104

Source Voltage at Feeder 2 (V)

1

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles

0 –1

0

0.05

Time (s)

0.15

0.1

FFT analysis

Fundamental (50Hz) = 1.1e+004, THD= 25.00% Mag (% of Fundamental)

20

10

0

0

5

10 Harmonic order

15

20

(a) Source voltage at feeder 2

Load Voltage at Feeder 2 (V)

Signal to analyze

× 104

1

Selected signal: 7.5 cycles. FFT window (in red): 1 cycles

0

−1

0

0.05

Time (s)

0.15

0.1

FFT analysis

Mag (% of Fundamental)

Fundamental (50Hz) = 1.1e+004, THD= 2.59% 2

1

0

0

(b) Load voltage at feeder 2

Figure 27.18  FFT analysis at feeder 2.

5

10 Harmonic order

15

20

Applications of Hybrid Wind Solar Battery Based Microgrid  533

References 1. Atika Qazi et al.; Towards Sustainable Energy: A Systematic Review of Renewable Energy Sources, Technologies, and Public Opinions. IEEE Access 2019; 7: 63837–63851. 2. Trieu Mai et al.; Renewable Electricity Futures for the United States. IEEE Trans. on Sustainable Energy 2014; 5: 372-378. 3. M. H. Nehrir et al.; A Review of Hybrid Renewable/Alternative Energy Systems for Electric Power Generation: Configurations, Control, and Applications. IEEE Trans. on Sustainable Energy 2011; 2: 392-403. 4. Pranav M.S et al.; Hybrid Renewable Energy Sources (HRES) - A Review. International Conference on Intelligent Computing, Instrumentation and Control Technologies (ICICICT) 2017: 162-165. 5. Xiaotong Song et al.; Reliability Varying Characteristics of PV-ESS-Based Standalone Microgrid. IEEE Access 2019; 7: 120872–120883. 6. A. A. A. Radwan and Y. A. I. Mohamed; Grid-Connected Wind-Solar Cogeneration Using Back-to-Back Voltage-Source Converters. IEEE Transactions on Sustainable Energy 2020; 11: 315-325. 7. Caisheng Wang; M. Hashem Nehrir; Power Management of a Stand-Alone Wind/Photovoltaic/ Fuel Cell Energy System. IEEE Trans. Energy Conversion 2008; 23: 957-967. 8. Quanyuan Jiang; et al; Energy Management of Microgrid in Grid-Connected and Stand-Alone Modes. IEEE Trans. Power Systems 2013; 28: 3380-3389. 9. Xiangjun Li; et al; Battery Energy Storage Station (BESS)-Based Smoothing Control of Photovoltaic (PV) and Wind Power Generation Fluctuations. IEEE Trans. Sustainable Energy 2013; 4: 464-473. 10. Byung-Moon Han; Battery SoC-based DC output voltage control of BESS in stand-alone DC microgrid. IEEE Region 10 Conference (TENCON) 2016: 1445–1449. 11. P. Satish Kumar;  R.P.S. Chandrasena;  V. Ramu;  G.N. Sreenivas;  K. Victor Sam Moses Babu; Energy Management System for Small Scale Hybrid Wind Solar Battery Based Microgrid. IEEE Access 2020; 8: 8336-8345. 12. K. Basaran; N. S. Cetin; S. Borekci; Energy management for on-grid and off-grid wind/PV and battery hybrid systems. IET Renewable Power Generation 2017; 11: 642-649. 13. P. Shanthi; G. Uma; M. S. Keerthana; Effective power transfer scheme for a grid connected hybrid wind/photovoltaic system; IET Renewable Power Generation 2017; 11: 1005-1017. 14. S. Mishra; I. Hussain; G. Pathak; B. Singh; dPLL-based control of a hybrid wind–solar grid connected inverter in the distribution system. IET Power Electronics 2018; 11: 952-960. 15. A. S. Anees; Grid integration of renewable energy sources: Challenges, issues and possible solutions. IEEE 5th India International Conference on Power Electronics (IICPE) 2012: 1-6. 16. O. Singh; A. Iqbal; S. Kumar; S. K. Rajput; Hybrid renewable energy system integration in the micro-grid: Indian context. International Conference on Control, Computing, Communication and Materials (ICCCCM) 2016: 1-5. 17. T. S. Prakash; P. S. Kumar; R. P. S. Chandrasena; A Novel IUPQC for Multi-Feeder Systems Using Multilevel Converters With Grid Integration of Hybrid Renewable Energy System. IEEE Access 2020; 8: 44903-44912.

28 Challenging Issues and Solutions on Battery Thermal Management for Electric Vehicles A. Gayathri1*, V. Manimegalai1 and P. Krishnakumar2 Department of EEE, Sri Krishna College of Technology, Coimbatore, Tamilnadu, India 2 CFD Engineer, Summits Hygronics Pvt. Ltd., Coimbatore, Tamilnadu, India

1

Abstract

Nowadays a major problem affecting biodiversity is pollution which is caused by burning petroleum resources used in internal combustion engine (ICE) vehicles and also by automobile exhaust namely hydrocarbons, nitrogen oxides and sulphur oxides. In this situation of deficit in fossil fuels, there is excessive release of emissions in automobile sector and also environment gets degraded. In order to solve above mentioned problems, a new concept of energy vehicles has been developed which is key to reduce environmental degradation and to protect biodiversity. Based on the merits of environmental conservation, high efficiency, nontoxic and sustainable tolerance, development of electric vehicles has the most promising feature. In substitute to ICE vehicles, Battery and hybrid electric vehicles has been an emerging technology. When analysing hybrid electric vehicles, batteries plays a major role in environmental concern. Batteries faces a problem of capacity fading due to non-uniformity of solid electrolyte interface layer at high temperature, and this enormous ambient temperature which results in overheating and battery failures and high energy density is also a critic factor in battery system for the long driving ranges and acceptable size. To control the behaviour of battery thermal process, Battery thermal management system (BTMS) comes into existence. Based on performance, weight, size, cost, reliability, safety and Energy consumption the few traditional BTMS methods are analysed in this chapter. Keywords:  Electric vehicles, batteries, thermal management system, air cooling, liquid cooling, refrigerant direct cooling, phase change material-based BTMS, heat pipe-based BTMS, thermoelectric element-based BTMS

28.1 Introduction At present, global warming, which is caused by environmental degradation, is a major problem in the world, and air pollution plays a large role in it. Apart from industrial outlets, pollution caused by the automobile sector is increasing because of conventional cars that run on fossil fuels which emit more carbon dioxide into the atmosphere. In order to reduce such a problem, an alternative idea of using cars with a combination of internal combustion *Corresponding author: [email protected] Suman Lata Tripathi, Parvej Ahmad Alvi, and Umashankar Subramaniam (eds.) Electrical and Electronic Devices, Circuits, and Materials: Technological Challenges and Solutions, (535–554) © 2021 Scrivener Publishing LLC

535

536  Electrical and Electronic Devices, Circuits, and Materials EXTERNAL CIRCUIT

ANODE

ELECTROLYTE

CATHODE

Figure 28.1  Diagrammatic representation of battery.

engine system and electric propulsion system came into existence. These Electric vehicles run with the help of batteries. Since batteries play a constant role in electric vehicles it is important to study the types and selection of batteries used in electric vehicles and their thermal behavior since a battery is temperature sensitive. In particular, battery thermal management system (BTMS) is mandatory to extend battery life, safety and its efficiency. The improvement of thermal management system performance may face several challenges, and solutions and opportunities depend on the development of BTMS in the future.

28.2 Principle and Working of Battery A battery is nothing but a single or group of cells which are chemically reacted to make the electrons move in the electric circuit. Research and progress in battery innovation are improving worldwide, and therefore, advancement in usage of batteries is being utilized far and wide right now. Batteries became an integral factor because they are a primary device to store the generated electrical energy. As long as energy is being produced, it is important to store the energy so it can be used whenever there is an electricity demand or a need to charge devices. Batteries can store Direct current alone and not Alternating current. Battery cells are typically comprised of 1. Negative Electrode called Anode 2. Positive Electrode 3. Electrolyte Batteries are associated with outer circuit, from where the negative electrode or anode produces electrons. Once all batteries are connected, the electrons developed at the anode create potential difference between two electrodes. Electrolyte prevents scattering of electrons and it makes a way for the movement of electrons from positive electrode to negative electrode when it is connected to electrical circuit and thus it produces power in the connected electrical circuit.

28.3 Types of Batteries Batteries are an important part in electric vehicles. These electric vehicles make use of energy recovery mechanism that converts kinetic energy of vehicles into electric energy which is stored in batteries. According to range, size and applications, batteries can be categorized into many types. But all these batteries come under two major types:

Challenging Issues and Solution on Battery Thermal Management   537 1. Primary or Non-Rechargeable batteries 2. Secondary or Rechargeable batteries

28.3.1 Primary or Non-Rechargeable Batteries Primary batteries are non-rechargeable batteries made of electrochemical cells in which reverse chemical reaction is not possible. These batteries are available in different ranges starting from coin cells to now AA batteries. The non-rechargeable batteries are used in devices where charging cannot be done. Some examples are batteries in military-grade devices and equipment that runs on battery power. These batteries consistently have high explicit energy, and the frameworks in which they are utilized are constantly intended to use less power so battery life can be extended for a longer period [1, 2]. A few examples are • • • •

Pacemaker Watches Remote control devices Toys

Alkaline batteries are commonly used primary batteries since they are environmental friendly, cost-effective with high specific energy; leakage is less during discharging and they are safe when compared to other types of batteries used. The only disadvantage of using alkaline batteries is to have a small load current so it can be used only in applications that operate with much less current like remote control devices and flashlights [2].

28.3.2 Secondary or Rechargeable Batteries Secondary batteries are rechargeable batteries; hence it’s possible to reverse chemical reaction by applying some voltage in it. Once the energy gets drained fully these cells can be recharged again. These batteries are used in applications where battery draining rate is high so when compared to primary batteries the cost is expensive. Secondary batteries having small capacity can be used in applications like rechargeable mobile batteries, flashlights and other electronic devices. Other applications are in the automobile sector in the development of electric vehicles and in the electricity generation sector to reduce large load fluctuations. It also used in Inverters for electricity supply. At the initial stage, when compared to primary batteries, these rechargeable batteries are costly but later become cost effective over a period of time [2]. Depending on chemical process, Secondary batteries are further classified into many types based on different characteristics that include specific energy, life cycle, and cost. They are classified as four major types as follows: • • • •

Lead-Acid battery Nickel Cadmium (Ni-Cd) battery Nickel-Metal Hydride (Ni-MH) battery Lithium-ion (Li-ion) battery

538  Electrical and Electronic Devices, Circuits, and Materials

28.3.2.1 Lead-Acid Batteries The most common type of battery used for a while is the lead-acid battery. It has two electrodes, namely lead (Pb) and lead oxide and sulfuric acid act as an electrolyte. Over a period of time, acid leaks and forms a liquid state. It costs less and has good reliability over high power applications. These battery types are normally heavy in weight so can be used in non-portable applications like energy storage in solar panel, automobiles for ignition when starting, store power during demand and load fluctuations in generation side or distribution side. The lead-acid battery still serves as an important one in today’s automobile world even though it is the oldest one. The volumetric energy for these type of batteries is very low and also it has very less energy to weight ratio but has high power to weight ratio so it can give large surge currents whenever it is necessary. Such characteristics and its cost-effective feature made these batteries work in different applications like starting of motors in cars and to store energy as backup power [1, 4, 5].

28.3.2.2 Nickel Cadmium (Ni-Cd) Nickel cadmium is a rechargeable battery used commonly for a very long period. Here the two electrodes are nickel oxide hydroxide and metallic cadmium. This type of battery is good at maintaining voltage and it can hold charge while the battery is not in use. A special fact about this battery is the memory effect, which is a major problem. For example, say you buy a battery of some capacity and discharge it only half the way and then you recharge it again. You repeat this process a few times because typical usage of your battery is from morning to evening, and every evening you put it in the charger. And your normal usage is only 50 to 60 percent of that battery capacity, and in the evening you want to recharge it, so as soon as you come home you put it on the charge. If that’s your habit, then what happens is that after several such cycles the battery actually remembers only 60 percent of its capacity; it does not remember that it has another 40 percent available in it. So this is called a memory effect because the battery has forgotten that it has extra capacity. The problem in Ni-Cd cell is that it is toxic because of cadmium. Since it is toxic, Cadmium can disturb the ecosystem when disposed [2, 3]. It has a high life cycle, i.e., it has a large number of cycles it can do and have good reliability when compared to other battery types. At low temperatures it performs well and its main advantage is that at a higher discharge rate it delivers full-rated capacity [6]. It is available in different sizes starting from sizes similar to the alkaline battery type, from AAA to D size. Ni-Cd cells can operate as a single cell as well as a group of cells called battery packs that can be used in different applications. Small-size packs can be used in Portable devices, toys and electronic gadgets. Bigger packs can be used in aircraft starting batteries, Electric vehicles and as back-up to store power supply [2, 6].

28.3.2.3 Nickel-Metal Hydride (Ni-MH) Unlike Ni-Cd batteries, these are non-toxic rechargeable batteries which can replace alkaline as well as nickel-cadmium batteries. These batteries use another type of configuration with a different chemical reaction. Similar to nickel-cadmium cells, it also has two electrodes, namely Nickel Oxide Hydroxide (NiOOH) and Nickel-metal hydride (NiMH), which is a hydrogen-­ absorbing alloy used instead of cadmium [1, 2]. The advantage of this battery is it has high capacity and also high energy density so these types of batteries can be used in devices with

Challenging Issues and Solution on Battery Thermal Management   539 high draining characteristics. When compared to Ni-Cd battery, a same-size NiMH can acquire a two or three times higher capacity, and energy density can almost be equal to a Lithium-Ion battery. The configuration of NiMH is as sensitive to the memory effect as an Ni-Cd [7].

28.3.2.4 Lithium-Ion (Li-Ion) The most popular battery type at this point is Lithium-ion and a lot of research works are being conducted on it. Chemical Configuration consists of intercalated lithium as positive electrode and graphite is used as negative electrode. It is very light in weight when compared to nickel cadmium because lithium is a very light metal with better energy density and it is a rechargeable one [8]. During charging, electrons migrate from positive electrode to negative electrode and in the discharging process, electrons move from negative electrode to positive electrode. It gets discharged over a period of time because it uses lithium in carbon as the anode and once it gets discharged the lithium leaves the positive electrode and electron gets released to the outer circuit. One of the most commonly used rechargeable batteries is Lithium-ion batteries [9]. The energy density for this battery is very high, self-discharge rate is very low, and it has no memory effect. The cost of the batteries varies according to their usage. For example, the battery uses Lithium Cobalt for handheld electronic devices which have high energy density, whereas Lithium Iron Phosphate provides low energy density and is used in power electric tools and medical equipment. According to various configurations of lithium, its performance and cost is varied in different cases. For example, lithium cobalt oxide (LiCoO2) used in Lithiumion batteries can be used for different handheld electronic devices with high energy density; when it gets damaged the safety risk is very low. When using ­lithium-ion phosphate it provides low energy density which is safer because of the reduced probability of risk in using powered electric tools and medical equipment applications. A lithium sulphur battery gives a better performance-to-weight ratio, the highest ratio among all [2, 10].

28.3.3 Selection of Batteries If we can store enough energy in a lighter and smaller area, technology revolutions like Internet of Things (IoT) can take place. The life cycle of the battery plays a major role in the successful operation of devices that need a long battery life. Although several energy management technologies are being incorporated to increase the number of cycles, a prominent battery is still the need of the hour to achieve a successful outcome [1, 3]. The various factors to be considered for selection of a battery based on the application are listed below. 1. Power Density: The maximum rate of energy that can be discharged per unit volume or mass is called power density. Applications that need lower power are laptops and phones, and those that need higher power are power tools like electric screwdrivers. 2. Energy Density:  It can be defined as gravimetric energy density and volumetric energy density, the quantity of energy stored in a battery per unit mass or volume respectively. The higher the value of energy density the larger the amount of energy that can be stored in a light and smaller area.

540  Electrical and Electronic Devices, Circuits, and Materials Table 28.1  Comparison table for the properties of different batteries. Nickel cadmium battery (Ni-Cd)

Nickel metal hydride battery (NiMH)

Lithium ion battery (Li-ion)

S.No

Properties

Lead acid battery (PbO2)

1

Specific Energy of batteries

30 to 40 Wh/ kg

40 to 60 Wh/kg

60 to 120 Wh/kg

100 to 265 Wh/kg

2

Energy Density of batteries

80 to 90 Wh/L

50 to 150 Wh/L

140 to 300 Wh/L

250 to 693 Wh/L

3

Specific Power of batteries

180 W/kg

150 W/kg

250-1000 W/kg

250-340 W/kg

4

Charge/ discharge efficiency of batteries

50 to 95%

70 to 90%

66 to 92%

80 to 90%

5

Self-discharge rate of batteries

3 to 20%/ month

10% /month

1.3 to 2.9% / month

1to 2% / month

6

Cycle durability/life of batteries

200 to 350 cycles

2000 cycles

180 to 2000 cycles

1200 cycles

3. Life cycle durability:  The maximum number of charging and discharging (Cycle) that could take place without affecting the energy density and power density of a battery accounts for the life cycle durability. The larger the number of cycles the higher the durability. 4. Safety: It is essential to consider the temperature of the environment in which the battery is in operation. At higher range of temperatures, some battery components may fail and there are possibilities of reactions that are exothermic. Extreme lower and higher temperatures in common decrease the battery performance. 5. Cost: Any engineering decisions have to be taken considering the cost of the material. There must be a good correlation between the battery chosen and its performance in the application [3].

28.3.3.1 Why Lithium-Ion Battery? There are various battery types such as Lead Acid, Nickel Cadmium and Nickel Hydroxide created earlier than the Lithium-ion batteries. Among all battery types, Lithium-ion is prioritized for almost all the applications. Only in a few applications, where cost plays a role, are Lithium-ion batteries given lesser priority. Let us discuss the reason why the lithium-ion batteries are given higher priority [10].

Challenging Issues and Solution on Battery Thermal Management   541 500 450 400

Li-ion

350 300 Volumetric Energy Density Wh/L

250

Ni-Mh

200 150

Ni-Cd

100

Lead-Acid

50 0 0

50

100

150

200

Specific Energy Density Wh/kg

Figure 28.2  Comparison of batteries based on energy density.

(i) High specific energy and volumetric energy densities Compared to other batteries, the energy density is high for lithium-ion batteries, and also it suits applications where the power-to-weight ratio plays a major role. For example, automobile vehicles constructed with the Lead acid battery will have a much shorter range (can travel for one charge of the battery) compared with lithium-ion batteries. The scope of design for the energy storage area in an application is higher because of higher volumetric energy density, especially in the automobile and aviation industry. (ii) Low self-discharge rate In an application, when the battery is kept unused for a longer period of time, then the charge in that battery will slowly get discharged, based on the temperature and relative humidity of the environment. This is called self-discharge. Usually the self-discharge rate is calculated in the energy discharged per month at a specific environment temperature. The self-discharge is very high in a lead-acid battery. (iii) Zero memory effect Memory can be explained with the Nickel Cadmium batteries, which undergo the dreaded memory effect, i.e., when the battery was partially charged the next recharge can only be done up to the value of partially charged. The future capacity of the battery is reduced. For example, if we charge the 100 Ah batteries to a value of 50 Ah, the next time the capacity of the battery becomes 50 Ah. Lithium-ion has no memory effect, unlike other battery types. Even though the Nickel Cadmium battery has a very low self-discharge, the memory effect makes it vulnerable for many applications.

542  Electrical and Electronic Devices, Circuits, and Materials (iv) Long cycle-life There is always a life cycle for a battery; no battery has an infinite life cycle. But compared with the other three batteries, the life cycle of a lithium-ion battery is longer. All the applications which use a battery always need the replacement of it over a specific period. If the range of the battery replacement time is longer, the cost and risk associated with the replacement of it can be much reduced. Moreover, the power density is also high for lithium-ion batteries; it means the battery could be recharged in a very short time compared with the others. The amount of charge available in the battery can be estimated easily, which makes the applications smarter [2, 9, 10].

28.4 Thermal Behavior of Batteries As discussed earlier, Lithium-ion batteries are sensitive to temperature because the performance of battery, lifetime and safety are based on the battery’s thermal condition. To achieve a better performance of Li-ion batteries, temperature plays a major role. Some of the thermal issues faced by Li-ion batteries are Capacity fade, thermal runaway, electrical imbalance in stacks and low temperature [12]. Due to the presence of more electrodes and electrolyte mixtures in these batteries it is not easy to construct a complete logical system that deteriorates Li-ion batteries performance and safety. When there is irregular temperature range in all cells, the performance and stability of those batteries gets disturbed. This abnormal temperature happens because of heat generated during chemical reactions released due to charging and discharging cycles and to environmental surroundings [13]. Temperature rise depends on many factors, especially based on time-temperature dependent curves which were measured at different discharge rates. The optimum temperature range is limited to a certain value in lithium-ion batteries by its own characteristics when compared to a vehicle’s temperature range. For example, if the desired operating temperature range is 30°C - 40°C, then the operating range of a particular vehicle can be around 35°C - 60°C [14]. Therefore, to avoid negative effects on Lithium-ion batteries, it is much better to maintain temperature range between 15°C - 35°C; the optimal difference in temperature (ΔTmax) between two cells can be less than 5°C [13, 14]. When compared to all other batteries, Li-ion has high energy density, but still batteries used in electric vehicles are in need of a higher than ever energy density, and also it should a have a space to assemble more cells in a pack to improve the range of the vehicle (mileage). But it leads to increase in internal heat generation and high concentration of heat is experienced by batteries [15]. So the temperature range of the battery should be controlled carefully and in general, the process of charging and discharging of batteries in EHVs, maintaining battery power within a significant range of operating temperature, peak temperature reduction and difference in temperature are the problem areas to be concentrated upon [1]. There are two main considerations: (i) Temperature goes higher When there is an increase in the electrical discharge rate of a battery then the rate of heat generation also increases. There will be a heat dissipation mechanism to remove the heat generated; so the maximum heat removal capacity of the cooling system should be larger than the heat generation rate. If the heat

Challenging Issues and Solution on Battery Thermal Management   543 dissipation rate is not higher than heat generation, it results in an increase in temperature, which causes thermal runway. (ii) Temperature gets lower When the environment temperature is less than 20C and the vehicle is operating at a lesser speed or in an off condition, the battery’s self-discharge rate will increase. To prevent the self-discharge rate there may be a heating mechanism which maintains the temperature above 20C. For example, in cold weather, the temperature of the battery pack will fall below the lower temperature limit. So, a heating function is needed to support the battery pack system to attain the proper temperature range [17].

28.5 Battery Thermal Management Systems A battery thermal management system (BTMS) is a complete infrastructure in an electric vehicle which maintains the optimum temperature of the whole battery pack. Primarily BTMS collects information such as temperature on various zones in a battery pack; it also takes various data from an electric vehicle such as speed of the vehicle, ambient temperature of air, torque at which the vehicle is being propelled, variation of temperature based on the time, and etc. All data will be processed in a control unit which is equipped with special algorithms. The control units actuate the thermal system and maintain the temperature of the battery stack [14]. Every component of the BTMS, like sensors, actuators, control unit, algorithm, cooling and heating systems, have to be designed carefully. Any failure of a component in the BTMS may result in malfunction of the BTMS which may result in thermal runaway; in an electric vehicle this may cause the death of passengers and other people [16]. For example, if the temperature sensor fails the control system may think that the battery pack is in optimum temperature, so the control system will not provide proper cooling and as a result the temperature may rise until the battery pack explodes. So the failure of the sensor has to be detected by the system and it should be indicated to avoid accidents. As we have discussed earlier, temperature leads to two major problems. The first is that maximum temperature during charging and discharging cycles leads the temperature to go beyond optimum levels and this affects performance of the battery. Secondly non­uniformity in temperature distribution in battery packs which consist of many cells reduces the life cycle of the battery. In order to achieve a high life cycle of models or pack, the temperature should be maintained uniformly in all cells [18]. Based on the research [17], a BTMS have be designed with four primary functions to maintain the battery pack in its optimum temperature. 1. Cooling The battery pack during charging and discharging generates heat and that has to be removed, so a BTMS requires a proper cooling system. The maximum heat load of the electric vehicle has to be calculated for deciding the capacity of the cooling system.

544  Electrical and Electronic Devices, Circuits, and Materials 2. Heating During cold weather conditions, the temperature of the battery pack will drop below its lower limit. So a heating system is needed to maintain the battery pack’s temperature and prevent the self-discharge of the battery. 3. Insulation When an electric vehicle is driven to various places where the ambient temperature is too cold or too hot it will immediately reflect in the battery pack, so it causes a higher work load for the BTMS. In cold regions it reduces the stored energy in the battery and reduces the range of electric vehicle. So a good insulation is mandatory for a BTMS. 4. Ventilation The hazardous gases within the battery pack have to be exhausted through proper ventilation. In certain BTMS which use an air cooled cooling system the ventilation will be combined along with cooling and heating functions. Apart from the above-mentioned functions, a good BTMS should have the following considerations too [19]. 1. Each cell and module to have optimized temperature range; in hot climate heat to be rejected and in cold climate heat to be added. 2. Minimum variations in temperature within a cell and modules. 3. Minimum variations in temperature among the modules. 4. It should be compact and weigh less. 5. Easy packaging. 6. Good reliability. 7. Low cost and easy service. 8. Ventilation availability if battery generates dangerous gases. Let us discuss in detail the various technologies of cooling and heating used in BTMS.

28.6 Methods of Battery Thermal Management Systems 1. 2. 3. 4. 5. 6.

Air Cooling BTMS Liquid Cooling BTMS Refrigerant Direct Cooling BTMS Phase Change Material-Based BTMS Heat Pipe-Based BTMS Thermoelectric Element-Based BTMS

28.6.1 Air Cooling BTMS As the name implies, the heat transfer medium is air, which makes the systems very simple and effective. There are two types of Air cooling BTMS; one is free convection heat transfer and the other is a forced heat transfer. In the case of free convection heat transfer fans made

Challenging Issues and Solution on Battery Thermal Management   545 ACTIVE SYSTEM Inlet Air

Exhaust Air

Pump/ Blower

PASSIVE SYSTEM Exhaust Air

Inlet Air

Pump/ Blower

Evaporator/ Heat Exchanger

Battery Pack/ Module

Figure 28.3  Schematic view of air cooling BTM system.

up of the materials having high thermal conductivity are used. So copper and aluminium is used since they have good thermal conductivity. The forced air cooling system consists of a Fan and blower or pump used to blow the air on the battery that reduces the heat generated in the system. In the forced air cooling system we can directly force the air over the batteries so that ventilation also could be achieved [20, 21]. Heat generated due to discharge of energy from the battery is transferred to flow stream of air and the air is sent directly to outside the car to the atmosphere. The change in rate of heat generation can be accommodated by actuating the blower or fan speed to regulate the flow rate of air based on the battery stack temperature [20]. Many researches have been carried out in this field to find out the best battery arrangement and blower to get an effective cooling system which consumes lesser energy and space. Those researches were carried out using ANSYS fluent which simulated the air flow stream upon the batteries or on the battery modules. Among many advanced cooling system, the air-cooled battery system is still dominant because of its manufacturing cost, minimal energy loss, reduced weight of battery system and limitation in layout. In addition, ventilation of air is mandatory for a particular battery system model because of producing potentially hazardous gases [21]. The air that enters into the system through the blower can be either from the atmosphere or from the inside chamber that can be conditioned by using the heater or evaporator present in an air-conditioning system. Hence the former is called active system in which an air-conditioning system is used, and the latter is called passive system. Thus active systems can provide additional cooling capacity or heating power capacity. The passive system generates approximately hundreds of watts cooling or heating range, whereas in an active system power is limited to 1 kW [21–23]. In both cases, the air is supplied by a blower or pump which is also called a forced air system [24]. This system is provided with different functions of heating, cooling and ventilation. So, there is no need of an additional ventilator for this type of system.

546  Electrical and Electronic Devices, Circuits, and Materials Pump/ Blower Exhaust Air

Liquid Heat Exchanger

Fan Battery Pack/Module

Figure 28.4  Schematic view of passive liquid cooling BTM system. Pump/ Blower

Battery Pack/Module

Compressor Liquid Heat Exchanger Valve

AC Heat Exchanger Exhaust Air

Figure 28.5  Schematic view of active liquid cooling BTM system.

28.6.2 Liquid Cooling BTMS The liquid cooling BTMS is well suited to all the shapes of li-ion batteries such as ­prismaticshaped, cylindrical-shaped and pouch-shaped. For the prismatic- or pouch-shaped batteries, each battery or battery cluster is enclosed by a solid block made of high thermal conductivity materials like aluminium or copper. The solid blocks will have an internally grooved zigzag path for the flow of liquid. In the case of cylindrical-shaped batteries a pipe or a channel will be inserted between each row or column of the battery stack. A radiator-like heat transfer device will be connected to the liquid path, for the purpose of transferring heat from liquid to the atmosphere. A pump will be in this circuit to circulate the cooling along the solid block and the radiator. The arrangements can be seen in Figures 28.4 and 28.5. The cooling liquid may be a glycol and water mixture or water alone. The cooling liquid will be treated well to

Challenging Issues and Solution on Battery Thermal Management   547 avoid salt deposits and blocking of cooling paths [25]. When the electric vehicle is operated it consumes energy from battery modules. Heat is generated in the batteries because of energy that gets discharged. Heat generated is dissipated to solid block in case of prismaticor pouched-shaped or a channel in case of cylindrical-shaped batteries [11]. The pump circulates the cooling liquid in the solid block or channel. The heat absorbed from the battery or battery pack is now transferred to the cooling liquid. Heat from the liquid is transferred to the atmosphere with a device like radiator [26]. When the electric vehicle is cruised by the driver the battery needs to discharge the energy in a higher rate. This demands the BTMS for a higher cooling rate. We can attain this higher cooling capacity with the liquid cooling BTMS by simply increasing the flow rate of cooling liquid. The control system of BTMS actuates the pumps connected in the motor to a higher speed in order to attain cooling liquid at high flow rate. Unlike Air cooling type BTMS, the sudden rise or fall in rate of heat generation can be handled efficiently by liquid cooling BTMS. Some problems like complexity and leakage potential still remain challenging in this type of cooling system. But for heavy-duty cycles the liquid BTMS is an effective consideration. Like the active and passive system in the Air cooling BTMS we have the same in the liquid cooling BTMS also [27]. But it is almost equivalent to the refrigerant-type cooling system. Let us see the refrigerant-type cooling system next.

28.6.3 Refrigerant Direct Cooling System BTMS The Refrigerant direct cooling system BTMS consists of heat exchanger blocks that enclose the battery pack or set of arrays. It works on the principle of the Vapour Compression Refrigeration System (VCRS). After the battery back’s heat exchanger a compressor will be there. Next to the compressor we have a condenser and followed by that we have an expansion device. All the above devices will be connected with copper tubes which are used to carry the refrigerant [28]. The global friendly refrigerant sill is used in the system. Let us start from the refrigerant compressor. The refrigerant which is in the form of a gaseous state is compressed to higher pressure using the compressor. The high-pressure refrigerant gas from the compressor enters the condenser. The condenser may be a liquid cooled or air-cooled condenser which condenses the high-pressure refrigerant gas into a high-pressure liquid. When the Compressor

Expansion valve

Battery Pack/Module

Evaporator

Figure 28.6  Schematic view of refrigerant cooling BTM system.

548  Electrical and Electronic Devices, Circuits, and Materials high-pressure liquid passes through the expansion valve the pressure is reduced so that the boiling point of the liquid refrigerant is reduced a lot. The evaporating refrigerant in the heat exchanger removes the heat produced in the battery pack and is fed back to the refrigerant compressor again as low-pressure gas. And the cycle continues. This kind refrigerant-type BTMS is only needed for heavy EVs such as trucks and SUVs. The refrigerant-type BTMS is higher in cost compared to the air and liquid cooling BTMS [29].

28.6.4 Phase Change Material-Based BTMS The PCM-type BTMS uses the advantage of latent heat of heating or cooling. A material has two phases of heating/cooling; first is the sensible heat phase and second is latent heat phase. The first heat phase is nothing but the increase in temperature of particular material in its same phase and its temperature cannot go beyond a limit, so now the state changes, i.e., after the limit the heat is used to change the materials state from one state to another which is called latent heat [30–32].

Cells

PCM

Battery

Figure 28.7  Battery modules with PCM matrix. heat storage

Temperature

PCM Melts

Temperature Rise

Temperature Constant liquid

Melting

Solid

PCM solidifies

Temperature Drop

Energy constant

Figure 28.8  PCM temperature variation curve.

Challenging Issues and Solution on Battery Thermal Management   549 For understanding the PCM-based BTMS lets consider an example of ice of 1 Kg in a temperature of -20 C being heated with a heat source. Due to heating, the temperature of the ice will rise from -20 C to -10 C, and then slowly attain 0 C. During the rise in temperature to 0 C the sensible heat taken place and the ice remains in the solid state. We continue heating after it reaches 0 C, now temperature will not rise; instead, ice will start to melt into liquid water. The 1 kg of ice will completely melt into water and during the process temperature remains the same at 0 C, heat is utilized for the state change of the ice which is called latent heat of melting. If we continue heating further the temperature of water will rise from 0C to 100C, liquid water’s temperature is raised with utilising heat; this is the sensible heat. After 100 C complete water will change its state from liquid to gas (vapour); during this process heat will be utilised for state change of 1 kg water and called as latent heat phase of vaporisation. The value obtained by latent heat phase is very much higher and more amount of heat is utilized during latent heat process. The PCM was initially in good condition. When temperature of the environment rises, the energy absorbed will be in the form of sensible heat and when ambient temperature exceeds the melting point of PCM system, a vast amount of heat gets absorbed at nearly constant temperature. This process continues until entire substance is transformed into the liquid form. That way, heat is stored in a PCM and then temperature is kept constant [30]. In an electric vehicle, the PCM technology can be combined with air or liquid cooling for effective usage [33].

28.6.5 Heat Pipe-Based BTMS A heat pipe is a high thermal conductivity device used to transfer the heat in an effective manner in critical and complicated areas. The heat pipe consists of two ends; one end absorbs the heat and the other rejects the heat. The thermal conductivity of a heat pipe will be many times higher than the aluminium which has the maximum thermal conductivity in metals. The battery or battery pack is enclosed with several solid blocks made of aluminium or copper on all sides. The number of heat pipes’ heat absorbing ends is inserted into the metal solid blocks. The heat rejection ends of heat pipe are fitted into another cooling system like liquid, refrigerant or air cooling method [18].

Aluminium plate

Battery Heat pipe Liquid box

Figure 28.9  Heat pipe battery management systems.

550  Electrical and Electronic Devices, Circuits, and Materials AC Supply

Inlet Air Fan

Fan

Battery Pack/Module Thermoelectric Filament

Exhaust Air

Figure 28.10  Schematic view of thermoelectric cooling BTM system.

When the electric vehicle consumes energy from the battery pack heat is generated, and the generated heat is transferred to the metal solid blocks made of aluminium or copper. Now the heat absorbing end of the heat pipes absorbs the heat from metal solid blocks and transfers it to the heat rejection end. The cooling system integrated to the heat rejection ends takes away the heat from heat pipes to the atmosphere [34]. Heat pipe–based BTMS need an additional integrated cooling system to function. Moreover the heat pipes are commercially high in cost compared to other BTMS systems. The main advantage of the heat pipes are they can be used in complicated areas so the BTMS can be designed compactly [35].

28.6.6 Thermoelectric Cooling Thermoelectric element based BTMS combined with Air cooling system can make the total thermal management system compact and efficient but restricted to smaller capacities. The system consists of the thermoelectric devices such as Peltier modules which convert the electric energy directly into thermal cooling. There are various types and sizes of Peltier modules that could be stacked together for attaining the required cooling capacity. Peltier modules have two sides; one gets cooler and the other gets hotter proportionately. When the input electricity’s polarity is changed the heating and cooling sides of the thermo electric modules get swapped [37]. Thermoelectric elements, Peltier modules are placed between battery pack and the ambient air cabin. The arrangement is made in such a way that cold air is circulated along the battery pack and cold side of the peltier module. Ambient air is circulated along the hot side of the peltier modules [35]. As stated above, when an electric vehicle runs it consumes energy from the battery pack, so it gets heated. Based on the rate of increase in heat, the control unit supplies proportionate voltage to thermoelectric module which gets cold on one side and hot on other side; a fan is used to circulate the air between Peltiers’ cold side and battery pack. The circulating air removes heat from the battery pack. It means rate of heating on battery back is matched

Challenging Issues and Solution on Battery Thermal Management   551 with the rate of cooling on Peltier modules’ side. Ambient air is circulated on hot side of thermoelectric module to keep the temperature within safe limits [38]. These kind of Peltier modules are less in efficiency and consume lot of energy for the heat transfer. So this kind of system can be utilized for only smaller-sized BTMS [36].

28.7 Conclusion In this chapter, we have discussed different types of batteries and its battery thermal management system (BTMS). The advantages of selecting lithium-ion batteries for electric vehicles and a brief discussion about each thermal management system’s arrangements and working were presented. Each BTMS has unique advantages, so based on the design and capacity of the vehicle any one of them can be chosen and built. We can also combine two types of BTMS and make a more efficient thermal management system.

References 1. Nguyen, Thu & Fraiwan, Arwa & Choi, Seokheun. (2013). Paper-based batteries: A review. Biosensors & Bioelectronics. 54C. 640-649. 10.1016/j.bios.2013.11.007. 2. https://circuitdigest.com/article. 3. Pelletier, S., Jabali, O., Laporte, G., & Veneroni, M. (2017). Battery degradation and behaviour for electric vehicles: Review and numerical analyses of several models. Transportation Research Part B: Methodological, 103, 158-187. 4. May, G. J., Davidson, A., & Monahov, B. (2018). Lead batteries for utility energy storage: A review. Journal of Energy Storage, 15, 145-157. 5. Ceraolo, M. (2000). New dynamical models of lead-acid batteries. IEEE transactions on Power Systems, 15(4), 1184-1190. 6. Pourabdollah, K. (2017). Development of electrolyte inhibitors in nickel cadmium batteries. Chemical Engineering Science, 160, 304-312. 7. Chang, S., Young, K. H., Nei, J., & Fierro, C. (2016). Reviews on the US Patents regarding nickel/metal hydride batteries. Batteries, 2(2), 10. 8. Li, M., Lu, J., Chen, Z., & Amine, K. (2018). 30 years of lithium-ion batteries.  Advanced Materials, 30(33), 1800561. 9. Liu, Z., Yu, Q., Zhao, Y., He, R., Xu, M., Feng, S., ... & Mai, L. (2019). Silicon oxides: a promising family of anode materials for lithium-ion batteries. Chemical Society Reviews, 48(1), 285-309. 10. Kim, T., Song, W., Son, D. Y., Ono, L. K., & Qi, Y. (2019). Lithium-ion batteries: outlook on present, future, and hybridized technologies. Journal of Materials Chemistry A, 7(7), 2942-2964. 11. Wu, W., Wang, S., Wu, W., Chen, K., Hong, S., & Lai, Y. (2019). A critical review of battery thermal performance and liquid based battery thermal management. Energy Conversion and Management, 182, 262-281. 12. Wang, Q., Jiang, B., Li, B., & Yan, Y. (2016). A critical review of thermal management models and solutions of lithium-ion batteries for the development of pure electric vehicles. Renewable and Sustainable Energy Reviews, 64, 106-128. 13. Feng, X., Ouyang, M., Liu, X., Lu, L., Xia, Y., & He, X. (2018). Thermal runaway mechanism of lithium ion battery for electric vehicles: A review. Energy Storage Materials, 10, 246-267. 14. Pesaran, Ahmad. (2001). Battery Thermal Management in EVs and HEVs: Issues and Solutions. Battery Man. 43.

552  Electrical and Electronic Devices, Circuits, and Materials 15. Zeng, X., Li, M., Abd El-Hady, D., Alshitari, W., Al-Bogami, A. S., Lu, J., & Amine, K. (2019). Commercialization of lithium battery technologies for electric vehicles.  Advanced Energy Materials, 9(27), 1900161. 16. Rao, Z., & Wang, S. (2011). A review of power battery thermal energy management. Renewable and Sustainable Energy Reviews, 15(9), 4554-4571. 17. Li, J., & Zhu, Z. (2014).  Battery thermal management systems of electric vehicles  (Master’s thesis). 18. Lu, M., Zhang, X., Ji, J., Xu, X., & Zhang, Y. (2020). Research progress on power battery cooling technology for electric vehicles. Journal of Energy Storage, 27, 101155. 19. Kim, J., Oh, J., & Lee, H. (2019). Review on battery thermal management system for electric vehicles. Applied Thermal Engineering, 149, 192-212. 20. Akinlabi, A. H., & Solyali, D. (2020). Configuration, design, and optimization of air-cooled battery thermal management system for electric vehicles: A review. Renewable and Sustainable Energy Reviews, 125, 109815. 21. Park, H. (2013). A design of air flow configuration for cooling lithium ion battery in hybrid electric vehicles. Journal of Power Sources, 239, 30-36. 22. X. Li, F. He, G. Zhang, et al., Experiment and simulation for pouch battery with silica cooling plates and copper mesh based air cooling thermal management system [J], Appl. Therm. Eng. 146 (2019) 866–880. 23. Fan, Y., Bao, Y., Ling, C., Chu, Y., Tan, X., & Yang, S. (2019). Experimental study on the thermal management performance of air cooling for high energy density cylindrical lithium-ion batteries. Applied Thermal Engineering, 155, 96-109. 24. Zhou, H., Zhou, F., Xu, L., & Kong, J. (2019). Thermal performance of cylindrical Lithium-ion battery thermal management system based on air distribution pipe.  International Journal of Heat and Mass Transfer, 131, 984-998. 25. Rao, Z., Qian, Z., Kuang, Y., & Li, Y. (2017). Thermal performance of liquid cooling based thermal management system for cylindrical lithium-ion battery module with variable contact surface. Applied Thermal Engineering, 123, 1514-1522. 26. Wang, C., Zhang, G., Meng, L., Li, X., Situ, W., Lv, Y., & Rao, M. (2017). Liquid cooling based on thermal silica plate for battery thermal management system. International Journal of Energy Research, 41(15), 2468-2479. 27. Jiaqiang, E., Han, D., Qiu, A., Zhu, H., Deng, Y., Chen, J., ... & Peng, Q. (2018). Orthogonal experimental design of liquid-cooling structure on the cooling effect of a liquid-cooled battery thermal management system. Applied Thermal Engineering, 132, 508-520. 28. Hamut, H. S., Dincer, I., & Naterer, G. F. (2013). Performance assessment of thermal management systems for electric and hybrid electric vehicles.  International Journal of Energy Research, 37(1), 1-12. 29. Qi, Z. (2014). Advances on air conditioning and heat pump system in electric vehicles–A review. Renewable and Sustainable Energy Reviews, 38, 754-764. 30. Mishra, A., Shukla, A., & Sharma, A. (2015). Latent heat storage through phase change materials. Resonance, 20(6), 532-541. 31. Verma, A., Shashidhara, S., & Rakshit, D. (2019). A comparative study on battery thermal management using phase change material (PCM). Thermal Science and Engineering Progress, 11, 74-83. 32. Zhao, Y., Zou, B., Li, C., & Ding, Y. (2019). Active cooling based battery thermal management using composite phase change materials. Energy Procedia, 158, 4933-4940. 33. Malik, M., Dincer, I., & Rosen, M. A. (2016). Review on use of phase change materials in battery thermal management for electric and hybrid electric vehicles. International Journal of Energy Research, 40(8), 1011-1031.

Challenging Issues and Solution on Battery Thermal Management   553 34. Parise, R. J. (2000, July). Quick charge battery with internal thermal management. In Collection of Technical Papers. 35th Intersociety Energy Conversion Engineering Conference and Exhibit (IECEC)(Cat. No. 00CH37022) (Vol. 1, pp. 120-127). IEEE. 35. Smith, J., Singh, R., Hinterberger, M., & Mochizuki, M. (2018). Battery thermal management system for electric vehicle using heat pipes.  International Journal of Thermal Sciences,  134, 517-529. 36. Singhal, M. P., Chaudhary, T., Vijay, S. K., Akhtar, T., & Vaibhav, R. S. (2019). Thermoelectric Air Conditioning. 37. Lyu, Y., Siddique, A. R. M., Majid, S. H., Biglarbegian, M., Gadsden, S. A., & Mahmud, S. (2019). Electric vehicle battery thermal management system with thermoelectric cooling.  Energy Reports, 5, 822-827. 38. Yadav, H., Srivastav, D., Kumar, G., Yadav, A. K., & Goswami, A. (2019). Experimental Investigations and Analysis of Thermoelectric Refrigerator with Multiple Peltier Modules. International Journal of Trend in Scientific Research and Development (ijtsrd), Vol. 3 Issue 3, April 2019, pp.1337-1340, URL: https://www.ijtsrd.com/papers/ijtsrd23332.pdf.

Index

1T-DRAM (CNT-FET), 123–124, 124t advantages of, 123 memory cell, 124f power consumption table, 123t read and write operation, 124 structure with chiral vectors, 123f 1T-DRAM (FinFET), block diagram of, 125f front and back gates of, 125 N-type 4-Terminal, 125f n-type TFET, 128f P-type 4-Terminal, 125f p-type TFET, 127f Read ‘0’ operation, 126f Read ‘1’ operation, 126f read and write operation, 124–128, 124–128f Write ‘0’ operation, 127f Write ‘1’ operation, 127f 1T-DRAM (MOS), 120–122, 120–122f block diagram of, 120f Read ‘0’ Operation, 121f Read ‘1’ Operation, 122f with sense amplifier, 121f Write ‘0’ Operation, 122f Write ‘1’ Operation, 122f 1-T DRAM (TFET), 128–130, 129–130f read and write operations, 128–130, 129–130f 15-level inverter, 476–477, 477f harmonic content of, 479f output voltage waveform of, 479f simple structured inverter, 479f, 480f switching, 478t 15-level symmetric source inverter, 474 27-level inverter, 477–482 output voltage waveform of, 480, 481f presence of harmonics in, 482f voltage waveform of, 480f 27-level symmetric with impedance load, 474 31-level asymmetric inverter, 483f

asymmetric output voltage of impedance load, 487f asymmetric output voltage of resistance load, 486f mathematical model of, 483–487 maximum output voltage of, 484 simulation design of, 484 switching combinations of presented topology, 485–486t 31-level inverter, 474 mathematical model of, 483–487 using asymmetric voltage sources, 482–483 5×1 keypad, 400 53-level inverter, 474 inverter design with packed cell, 487f positive level generation of 3 packed cells, 489f switching combinations, 488 three packed cell inverter, 490f using packed structures, 487–490 8051 architecture, 396 Active cleaning, 385–388 Adhesion, 423 “Aggressive scaling” progress concept, 19 Agricultural fields, 447–448 Agricultural products, correlation of dielectric properties with nutrients, 148–150, 149f description of measurement techniques, 141–145t dielectric properties of, 134, 139, 140f dielectric spectroscopy of, 140, 146–148, 146f, 147t, 148f electrical characteristics of, 134 features of, 135 grains and seeds, 147t measurement techniques, 137–138 microwave frequencies, 148f

555

556  Index microwave heating, food processing, 136 microwave drying, 137 moisture sensing, 135 principle of, 133–135 product conditioning, 136–137 promoting germination, 136 quality sensing in fruits and vegetables, 137 weeds, insects and pests control, 136 network analyzer, 139–140, 140f, 141–145t open-ended coaxial probe, 138–139 types of, 134 vital property of, 135 AI. See artificial intelligence (AI) Air cooling BTMS, 544–546, 545–546f Air Mass Coefficients (AM), 352 AlGaAs/GaAs MQW solar cell, 361–363, 362–363f effect of varying thickness of, 364f AlGaN/GaN, heterostructure energy band diagram, 91, 91f layers, 89 Alkaline batteries, 537 Al-ZnO (AZO), coating, 418, 418f deposition of, 179, 185 layer, 179 sputtering particles, 179 Amalgamation, 253 Amorphous silicon, 304 AMPS-1D software, 181 Analytical grade (AR), synthetic chemicals of, 286 Anti-reflective coating, 302 Antlions, 197 Arduino, 224, 225f processor, 246 Arrhenius behavior, 42 Artificial intelligence (AI), 221, 437 Artificial neural network (ANN), 466 AS-6P30-240W DDM, 205t AS-6P30 DDM, PV and IV comparison characteristics for, 206f AS-6P30 SDM, estimated parameters for, 199t PV and IV characteristics for, 201f Asymmetric cell configuration, 50 Asymmetric inverter, 31-level (See 31-level asymmetric inverter)

Attraction mechanism, 253 Automated cleaning technology, 375 AZO. See Al-ZnO (AZO) Bacterial Foraging Algorithm (BFA), 252 fusion of, 253 Band engineering, 20 Bandgap materials, 23 Band shifting, 23 Band-to-band (B2B) tunneling, 20, 21 Band tunneling, 120 Bandwidth, 70 tuning, 68 Barrier layer, impact of, 95 Battery, 37, 217–218, 398–399, 539 based on energy density, 541f charge controllers, 220 diagrammatic epresentation of, 536f flooded lead acid, 218 global warming, 535 innovation, 536 lithium-ion, 219 long cycle-life, 542 low self-discharge rate, 541 MPPT controllers, 219–220 primary/non-rechargeable, 537 principle and working of, 536 secondary/rechargeable batteries, 537 lead-acid batteries, 538 Lithium-Ion (Li-Ion), 539 Nickel Cadmium (Ni-Cd), 538 Nickel-Metal Hydride (Ni-MH), 538–539 selection of, 539–540 specific energy and volumetric energy densities, 541 storage power, 218 thermal behavior of, 542–543 types of, 218, 218f, 536–537 VRLA battery, 219 zero memory effect, 542 Battery thermal management system (BTMS), 536, 543 air cooling, 544–546, 545–546f component of, 543 cooling, 543 development of, 536 heating, 544 heat pipe-based, 549–550 insulation, 544

Index  557 liquid cooling, 546–547 malfunction of, 543 methods of, 544 phase change material-based, 548–549, 548f refrigerant direct cooling system, 547–548, 547f thermoelectric cooling, 550–551 ventilation, 544 Benchmark problems, normalized statistical measures for, 258–274t Bessel response, 68 BFA. See Bacterial Foraging Algorithm (BFA) Biaxial stress, 6–8 Big data, 221 Binary decision diagrams (BDD), 105 Biogeography-based Heterogeneous Cuckoo Search (BHCS) algorithm, 316 Bio-memristors, 103–104 Bit-line (BL), 121 Boltzmann coefficient, 498 Boltzmann constant, 191–192, 319, 430, 449 Boolean functions, mapping of, 105 Boost converter, 509–510t BTMS. See battery thermal management system (BTMS) Cadmium nitrate tetrahydrate, 286–287 Cadmium sulfide (CdS), crystal structure of, 287 cubic structure of, 289 deposition, 417 films, 287, 289 layer, 176, 177 nanostructured, 286 oriented development of, 289 Raman and wettability characteristics of, 286 Raman Spectroscopy, 292, 292f Raman study of nanostructured, 287 SILAR deposition process of, 286 thicknesses of, 178, 183, 183f, 185 Cadmium sulphide thin films, 285–286, 287t, 288f, 289f, 290f, 294f, 295, 296 energy bandgap values of, 294 experimental details, 286–287, 287t film formation mechanism, 288, 288f optical studies, 294, 294f, 295t Raman spectroscopy, 292, 292f scanning electron microscopy, 293–294, 293f structural studies, 289–292, 290f, 291t

thickness measurement, 289, 289f WCA of, 295–296, 295f wettability studies, 295–296, 295f Cadmium telluride, 304 Capacitor source (Cs), 121 Carbon-Nano-tube FET (CNTFET), 120 Carbon nanotubes (CNT), 120 Cation/ion transference number, 42 Cat Swarm Optimization (CSO), 316 CdS. See cadmium sulfide (CdS) Center frequency switching, 70 CFA. See chaotic firefly algorithms (CFA) Chaotic Ensemble Particle Swarm Optimizer (FC-EPSO), 316–317 Chaotic firefly algorithms (CFA), 255 Characteristics of electrolyte, 40 Charge carriers, tunneling of, 23 Charge–discharge curves, 55f Charge storage, 38 mechanism, 38 Chebyshev response, 68 Chemical attractive forces, 288 Chromium/silver front contact grid, 418, 418f, 419f CIGS. See copper indium gallium diselenide (CIGS) Circuit and system design, 20 Circuit operation, 239 CIS. See complex impedance spectroscopy (CIS) Cleaning system methods, 381–383, 381t, 382–383t artificial method of, 384 electrodynamics screen, 383t manual, 382t, 384–385 mechanical, 382t natural, 382t, 384 passive, 383t robotic, 383t types of, 381, 381f Cleaning techniques, 375 Cloud computing, 221, 228 CNT. See carbon nanotubes (CNT) Cognitinitive radio, 68 Comparative analysis, 367–369f, 367–370, 369t Complex impedance spectroscopy (CIS), 47 Concentrates solar power (CSP), 214–215, 215f Conduction mechanism, 104 Conventional boiling water mechanism, 136

558  Index Conventional deterministic methods, 251 Conventional droop controller, 503f Conventional energy sources, 447–448 Converters, in simulation and experimental approaches., 513f performance of, 504 selected and cascaded, 511f Cooling battery thermal management system (BTMS), 543 Coplanar waveguide (CPW), 70 Copper indium gallium diselenide (CIGS), 169–170, 304 conversion efficiency of, 169–170 dark J-V characteristics of, 174, 174f, 175f, 177f, 179–180f, 181f, 183f effect of heat soaks on hetero-junction, 175–176 fabrication and characterization of, 171–174 film preparation methods and deposition parameters, 171, 171f hetero-junction having different thicknesses of CdS layer, 174f i-ZnO film thickness on the performance of, 179–186 J-V characteristics, 182f light J-V characteristics of, 177f, 178–179, 180f operation of solar cell, 174 photograph of, 185, 186f series resistance, and threshold values, 176 reverse saturation current density, and ideality factor of, 175t, 176f, 177t solar cells, 175–179 J-V measurement, 172, 173f spectral response of, 185, 185f with measurement probe-Gold wire, 186f spectral response for, 181, 183f, 185f thin film solar cell, 170–171, 170f Copper zinc tin sulphide (CZTS), 411–412 crystal structure of Kesterite, 414, 414f solar cells, 414–415, 414f solar photovoltaics, 412 thin film coating, 417 thin film solar cells, 413–414, 413f CdS deposition, 417 characterization of, 420–424 chromium/silver front contact grid, 418, 418f, 419f

current-voltage (I-V) measurement, 421–422f, 421–423 fabrication of, 415–416 glass cleaning, 416, 416f Molybdenum deposition, 417, 417f quantum efficiency (QE), 423–424, 424f solar cell device, 419, 419f typical solar cell characterizations, 420, 420f ZnO and Al-ZnO coating, 418, 418f Cost-effective solar photovoltaic technology, 286 CPW. See coplanar waveguide (CPW) Crosslight, 9 CSO. See Cat Swarm Optimization (CSO) CSP. See concentrates solar power (CSP) Curve fitting method, 190 Cut-off frequency, 68 Cyclic stability, 56 Cyclic voltammetry (CV), 47 Czochralski process, 159 CZTS. See copper zinc tin sulphide (CZTS) DC-DC converter units, 502 DC micro-grid for, 502f DC-micro-grid connection, 496, 497f DDM. See double-diode model (DDM) DD PV model, 316 Degree of crystallinity, 40–41 Demand for electricity, 474–475 Demand side management (DSM), 493–494 control method and proposed controller investigation, 494–501 experimental results, 508–512 power sharing and, 501–504 proposed algorithm for power, 504f simulation results, 504–508 Deposition of dust, influencing factors for, 375 ecological factors, 375–378 bird droppings, 378 dust properties, 377 humidity, 377 on PV panel surface, 376f rainfall, 377 temperature and moisture, 376–377 factors influencing installation, 378 height, 378 orientation and tilt angle, 378 top surface of solar panels, 378 installed location and exposure time, 379 Deposition process, 287

Index  559 Deterministic methods, 191 Device design parameters, 20 Device simulation, 22 DG-TFET. See double gate TFET (DG-TFET) Dickson charge pump, 239 Dielectric engineering, 20 Dielectric permittivity of material, 134 Dielectric properties, applications of, 135 knowledge about, 136 of materials, 134–135 vs. physical/chemical properties, 149, 149f Dielectric relaxations, 150 Dielectric thickness, 95 Differential evolution integrated with mutation operator (DEIM), 316 Differential scanning calorimetry (DSC), 40–41 Diode-capacitor voltage multiplication levels, 239 Diode ideality factors, 432 Discharge rates, 542 Dislocation density, 290, 291, 291t Distributed Generation (DG) resources, 493 output voltage of, 495 Diversification, 253, 254–255 Doping concentration, 365, 365t, 366f Double-diode model (DDM), 190, 203–208, 204f, 204t, 205t, 206f, 206t, 207f, 207t, 208f, 319–320 inputs of optimization, 433t I-V Plot of, 442f parameters for, 432, 432t photovoltaic (PV) models, 319–320 Solar World Plus, 206t, 207f Solar World Pro for, 204, 205 Double gate TFET (DG-TFET), 20, 21, 22f ambimiparity behaviour of, 27 ambipolar current vs. applied gate drive voltage, 27f available capacitance on, 31 computed design parameters of hetero structure, 26t, 27 device transfer characteristics for, 28f drain voltage, 28 electrostatic behavior, 22 electrostatic performance of, 25f energy band-diagram, 24f hetero, 27, 31, 31t, 32f homo, 27, 31t, 32f

sensitivity of power delay product (PDP), 33–34f sensitivity of transconductance, 29f simulation results of, 22 transfer characteristic of hetero structure, 26f, 26t Dragonflies, 197 Drain conductance, 11–12 DRAM. See Dynamic Random-Access Memory (DRAM) Drive current, 11–12 Droop coefficients, 504 Droop control/method, 494, 504 approaches, 495f, 496 designs, 499 diverse studies, 494 Drying mechanism, 137 DSM. See demand side management (DSM) Dual-dispersion model, 343 Dual-mode resonator, 70 Dual resistance, 104 Duranta plant leaves, scattering property of, 341–343, 342f dimension of randomly selected, 343t foliage loss, 343 measurement and calculation, 343–346 average foliage loss, 345t signal strength variation, 346f Wi-Fi analyzer, 344, 344f Wi-Fi signal strength, 344, 345t result and discussion, 347–348 scattering feasibility, 346–347 tree shadowing effect, 347 Dust deposition, 374 Dust formation on solar panels, 374 Dust particles, 374 Dye sanitized solar cells (DSSC), 304 Dynamic Random-Access Memory (DRAM), 119–120, 120 1T-DRAM (CNT-FET), 123–124, 124t 1T-DRAM (FinFET), 124–128, 124–128f 1T-DRAM (MOS), 120–122, 120–122f 1-T DRAM (TFET), 128–130, 129–130f construction of, 120 design, 120 EHVs, charging and discharging of batteries in, 542 Elastic stiffness constant, 6

560  Index Electrical contacts, 302 Electrical double-layer (EDL), 39 Electrical energy, 301, 374 alternative sources of, 301 annual production of, 212 consumption of, 301 Electric batteries, 218 Electric charges, distribution of, 135 Electric double-layer capacitors (EDLCs), 38, 40f Electricity, production of, 189 Electrochemical parameters, 49 Electrochemical stability window (ESW), 42–43 Electrode, 38 Electrolyte, 38, 40, 536 cation/ion transference number, 42 electrochemical stability window (ESW), 42–43 ionic conductivity, 41–42 Arrhenius behavior, 42 Vogel-Tamman-Fulcher (VTF) behavior, 42 morphology and crystallinity, 40–41 Electromagnetic wave, frequency of, 136 propagation of, 347–348 Electron-hole pair’s production, 181 Electronic devices, frequency characteristics of, 31 Electronic sensors, 135 Electronic tunning, 70 Electrostatic capacitors, 38 schematic diagram of, 40f Electrostatic dust-removal methods, 386, 387f Emitter, 302, 355 EMS. See energy management system (EMS) Energy, band diagram, 23 bandgap, 294 conversion in PV cell, 428 demand, 373–374 density, 56 production, 301 recovery mechanism, 536 traditional sources of, 37 Energy harvesting systems, low-power, 235–236 hardware results, 246–247, 246f, 247f sources of, 235 topologies of DC-DC converter, 236

multi-input DC chopper, 239–246, 240–245f single-inductor multiple-input singleoutput (SI-MISO), 237–239, 238f synchronous boost converter, 236–237, 237f, 238f Energy management system (EMS), 518, 519, 519f effectiveness of, 520–521 Energy storage devices, 37, 43, 62 Equivalent series resistance (ESR), 49 ESR. See equivalent series resistance (ESR) FA. See firefly algorithm (FA) Fabricated hairpin bandpass filter, 73–74 Fabricated nanoscale memristor, 102 FAFPA algorithm, 253–254, 257 hybrid proposition, 254 FAGWO, 255, 257, 274 FAPS, 257 algorithm, 254–255 Faradaic mechanisms, 39 FC-EPSO. See Chaotic Ensemble Particle Swarm Optimizer (FC-EPSO) Fermi–Dirac distribution, 19 Fermi-energy level, 93 Field plate engineering technique, 94 Films, optical behaviour of, 287 Filters, size miniaturization, 71 Fin channel Field Effect Transistors (FinFETs), 10 based CMOS design, 11 device, 4 device structures, 11, 11f improvement of, 11 MOD-FinFET structure, 12f FinFETs. See Fin channel Field Effect Transistors (FinFETs) Firefly algorithm (FA), 251, 252, 316 approaches, 255 fusion of, 253 hybrid topologies with, 253 for model reduction, 255 Fitness evaluation technique, 435 Fixed-dimensional multi-modal test problems, 256 Flash memory, 119 Flooded lead acid battery, 218 Flower pollination algorithm (FPA), 252

Index  561 Foliage loss, 343 average, 344, 345t Food, composition, dielectric properties and, 150 dielectric properties of, 150 industry, 135 items, 106 material, properties of, 150 nutrients, 149 processing, 136 Food products, commercial and nutritional value of, 149 dielectric properties of, 139 Food protein sensing system, 101–102 applications of memristors, 104–105 bio-memristors, 103–104 denaturation, 107 experimental methodology and preliminary validation, food items, 106 reading voltage and current values, 107 experimental set-up, 105–106, 106f motivation, 105 post-denaturation, 107 principle of memristor, 102–103 results and discussion, egg albumin and milk, 110–113, 111–113t protein blend, 113–114 sensitivity parameters, hysteresis-line slope sensitivity, 109–110, 110f point slope-based sensitivity, 108–109, 109f resistance-based sensitivity, 108 Fossil fuels, 211–212, 374, 427 annual power production (GWh) using, 212t energy production using, 212, 212t Fractal DGS, 72–79, 73–79f, 79t Fractional short circuit current (FSCC) technique, 468 Fraction bandwidth (FBW), 70 Free-radical polymerization process, 57 Front-end rectifier, 246–247, 246f output voltage waveform of, 246–247, 246f switching pattern for, 246, 246f Fruits, quality sensing in, 137 Full-width at half-maxima (FWHM), 291–292, 291t GaAs-based HEMTs, 85, 86f Gain bandwidth (GBW) product, 31

Gallium Nitride (GaN), field plate engineering technique, 94 formation of 2DEG, 90–92, 91f HEMTs, 86–87, 87f impact of barrier layer, 95 layers, 89 parasitic effects, 93 polarization effect, 88–90, 88f, 90t surface passivation, 92–93 technology, 84 Wurtzite structure lattice, 88, 88f Galvanostatic charge/discharge (GCD), 47, 49 GaN. See Gallium Nitride (GaN) GaSb/GaAs MQWs solar cell, 356–357, 356–357f Gate dielectric engineering, 25 Gate length, 95 Gate terminal, applied voltage on, 23 Gauss-Seidel (GS) methods, 316 Gel polymer electrolytes (GPE), 46, 51 polyelectrolyte (PE) based, 53 Germination, promoting, 136 Glass, 161 cleaning, 416, 416f GOA. See Grasshopper Optimization Algorithm (GOA) Grade of services (GOS), 341 Grasshopper Optimization Algorithm (GOA), 316–317 Grasshoppers, 196 Gravitational constant, function of, 434–435 Gravitational search algorithm, 427–429, 433–435 application of, 436 flow chart for, 442f modelling of photovoltaic unit, 429–430, 429f formation of function, 431–433 two-diode structure (DDM), 430–431 optimized values of parameters by, 440t particle swarm optimization (PSO), 437–439 results and discussion, 439–443, 439f, 440–441t, 442f review of, 436 summary and future scope of work, 436–437 Grey Wolf Optimizer (GWO), 252, 324 aid of, 255 for model reduction, 255 initial count of, 255 with CFA, 255

562  Index Grid-based inverters, 219 Grid-connected HRES system, 525 block diagram of, 525, 525f DC bus voltage, 526, 528f results and discussion, 525–526 schematic diagram of, 525, 526f simulation parameters of, 526, 527f solar irradiance and wind speed levels, 526, 527f system description, 525, 526–527f Grid management, 227 GSM module, 225, 225f GWO. See Grey Wolf Optimizer (GWO) GWOCFA, 255, 257, 282 algorithm, 255 Hairpin bandpass filter, 73f design specification of, 71–72, 72t fabricated, 73–74, 76f fractal DGS (Back/GND) portion of, 74f layout of, 71–72, 72f parametric comparison of simulated work, 79t simulated return loss characteristics, 74f tunable, 78f, 79f with fractal DGS, 75f, 77–78f Hammerstein and Wiener models, 253 Hardware, 221 Hardware prototype, components specifications for, 512t Harris Hawks optimization (HHO), 317, 322 DD model, of Canadian Solar CS6K-280M by, 332t, 333f of Kyocera KC200GT by, 330t, 333f of Solarex MSX-60, 331t, 333f exploitation phase, 324 exploration phase, 323 performance, 324–325 phases of, 322–323, 323f PV module datasheet information under STC, 325t range of decision variables, 325t SD model, of Canadian Solar CS6K-280M by, 328t, 329f of Kyocera KC200GT by, 326t, 329f of Solarex MSX-60, 319f, 327t statistical measures of error function, 338–339t

TD model, of Canadian Solar CS6K-280M by, 336t, 337f of Kyocera KC200GT by, 334t, 337f of Solarex MSX-60 by, 335t, 337f turning from global to local search, 324 Heat pipe-based battery thermal management system (BTMS), 549–550 HEMTs. See High Electron Mobility Transistors (HEMTs) Heuristic method, 191 Hewlett-Packard (HP) Labs, 102 HHO. See Harris Hawks optimization (HHO) High-dimensional optimization problems, 251–252 experimental results and discussion, 256–274t, 256–282, 275f, 276–285t proposed hybrid methodologies, 253–255, 254f High Electron Mobility Transistors (HEMTs), 83–85 cost of, 84 development of, 84 GaAs-based, 85, 86f GaN, 86–87, 87f field plate engineering technique, 94 formation of 2DEG, 90–92, 91f impact of barrier layer, 95 parasitic effects, 93 polarization effect, 88–90, 88f, 90t surface passivation, 92–93 InP-based, 85–86, 86f structures, 85 High-k dielectric, 25, 25f High-temperature superconducting (HTS) filters, 70 Holm-Bonferroni amendments, 276–282t Hooke’s law, 6 HRES. See hybrid renewable energy system (HRES) Human intelligence, 437 Hybrid algorithms, 255, 275–276 Hybrid capacitor, 40f schematic diagram of, 40f Hybrid ESs, 39 Hybrid inverters, seven-level, 475 Hybrid methods, diagram of proposed, 253, 254f Hybrid renewable energy system (HRES), 517 during load variations only, 523–524f during source variations only, 520–522, 520–522f

Index  563 feeder voltage, 526, 528f FFT analysis, 529–532f, 531f, 532f grid-connected for nonlinear loads, 528–529, 529f grid-connected system, 525 results and discussion, 525–526 system description, 525, 526–527f output, 526–528, 527–528f stand-alone system, 518 system description, 518–520, 518–520f Hybrid source architecture, 239–246, 240–245f circuit diagram of, 236, 237f configuration of, 238 Hybrid source system, circuit diagram of, 236 Hydrophilic coating, 389 Hydrophobic coating, 389 Hysteresis-line slope sensitivity, 109–110, 110f IC MPPT scheme, 457f for SPV system, 458 Ideal single-diode model (ISDM), 190 IEC, technical specifications of voltage levels, 217 IGBT, boost converter and, 246 Implemented hardware, 511f Incremental conductance, 455–459 SPV voltage, 458f variable solar insolation and constant temperature, 457–458f InGaP/GaAs solar cell, 352, 358–359, 358–359f InP-based HEMTs, 85–86, 86f InP/GaAs MQW solar cell, 360–361, 360–361f Insects, 136 In situ synthesis, 54 Integrated circuits (IC), 3, 101 Intensification, 253, 254–255 Interface recombination, 423 Inter-granular voids, 423 Interleaved blocks, 495 Internet of Things (IoT). See IoT-based smart monitoring scheme smart sensors in, 213 software applications, 227 technologies developed in, 213 Inverters, 15-level, 476–477, 477f 53-level (See 53-level) grid-based, 219 multilevel, 474–476, 475, 476f

nine-level, 475 off-grid, 219 stand-alone, 219 symmetric and asymmetric (See symmetric and asymmetric inverter) 27-level (See 27-level inverter) types of, 473 Ionic conductivity, 41–42, 54, 57 Arrhenius behavior, 42 Vogel-Tamman-Fulcher (VTF) behavior, 42 Ionic liquid-based polymer electrolytes, 46 Ionic liquids (ILs), properties of, 45 Ionization energy, 93 IoT-based smart monitoring scheme, 211–213, 212t, 220 additional systems equipment, 220 application of, grid management, 227 renewable energy systems, 226–227 artificial intelligence (AI) and machine, learning, 221 cloud, 221 hardware, 221 middleware, 221 battery, 217–218 flooded lead acid battery, 218 lithium-ion battery, 219 types of, 218, 218f VRLA battery, 219 big data and cloud computing, 221 charge controller, 220 complexity, 230 concentrates solar power (CSP), 214–215, 215f data collection, 230 data handling, 230 data security, 230 devices for control and communication, 224, 225f Arduino, 224, 225f GSM module, 225, 225f Raspberry Pi, 224, 225f enhanced energy storage system, 231 inverters & other electronic equipment, 219–220 MPPT, 219 on renewable energy resources, 225–226, 230 challenges, 230 solutions, 230

564  Index passive solar design, 216, 216f rapid energy transmission system, 231 remote monitoring methods of solar PV system, 228, 229–230, 229f block diagram of, 228f physical/wired monitoring, 228 SCADA monitoring, 228 using cloud computing, 228 wireless monitoring, 228 smart sensors, 221, 222f CO2 sensor, 223 humidity sensor, 222 IR sensor, 224 light sensor, 223 MEMS (micro electro mechanical systems) sensor, 223 proximity sensor, 224 temperature sensor, 221–222 tilt sensor, 223 ultrasonic sensor, 223–224 voltage and current sensor, 223 solar microgrid system, 216–217, 216f PV module, 217, 218t solar power technologies, 213, 214f solar PV systems, 213–214 grid-connected systems, 214, 215f stand-alone modules, 214, 214f solar water heater systems, 215, 215f supply oriented power consumption, 231 I-ZnO, 181 film thickness, 179–186, 179f layer, 179 thicknesses of, 181f JIYANGYIN model, 496, 508 Kane’s model, 21, 24–25 Kirchhoff ’s Current law, 192 LabVIEW (Laboratory Virtual Instrument Engineering Workbench) program, 172 development of, 172–173 for J-V measurements, 173f Lambert W function, 316 Lead-acid batteries, 538 Lead corrosive battery, depiction of, 399, 399f Light wave analogy, 139, 139f Lilienfeld, J. E., 10 Liquid cooling, battery thermal management system (BTMS), 546–547

Lissajous plots, 114f Lithium-ion battery, 219, 539 Lorentz Gain Broadening (LGB) model, 354 Machine learning, 221 Magnetic tunning, 70 Main batteries, 218 Manual cleaning method, 384–385 MATLAB/simulink, 239, 242f circuit configuration, 240 PV equivalent circuit in, 243, 244f Maximization, 435 Maximum power point (MPP), condition, 193 optimum power at, 456 of SPV panel, 456 tracking of, 448 Maximum Power Point Tracking (MPPT) methods, 219, 447–448, 501–502 characteristic curves, at variable insolation and constant temperature, 450f at variable temperature and constant insolation, 450f control, 519 controller, 501–502 equivalent electrical circuit of a solar cell, 449f FL-based, 464f FL-DPID, 465f, 466, 466f global cumulative installed SPV capacity, 448f modeling of SPV system, 449–451 performance of proposed, 459f perturb and observe (P&O), 451–455 conventional and adaptive, 454f flowchart of, 452f fuzzy logic (FL) based, 459–466 hybrid, 466–469 incremental conductance, 455–459 performance of presented scheme, 454f proposed and classical, 453f P-V characteristic curve, 452f solar insolation pattern, 455f schematic representation of, 449f scheme, 449f, 459f, 460–463f SPV, parameters of, 469, 469f power extraction, 448–449, 467f, 468f, 469 techniques, 219, 459–460, 496

Index  565 Mechanical cleaning method, 385–386, 385f Mechanical tunning, 70 Memories, 102, 119 Memristive attributes, 102 Memristive system, signature of, 103 Memristor, 102 applications of, 104–105 fabricated nanoscale, 102 principle of, 102–103 Memristor aided logic (MAGIC), 105 MESFETs, 85 Meta-heuristic algorithms, 252, 256, 316 literature of, 252 physical phenomenon-based, 256 Metaheuristic methods, 191 Metaheuristics-based global optimization approaches, 251–252 Metal electrodes, 156 Metal organic chemical vapor deposition (MOCVD), 286 Metal oxide semiconductor field effect transistor (MOSFET), 3–4, 85, 101, 239, 480, 482 bridge rectifier, 246 conventional, 19–20, 23 drawbacks of, 101–102 experimental studies on strain technology, 12–14 mechanism in, 19 performance, 13 simulation studies in strain technology, 9–12, 10–12f structure, 7, 10f switches, 482 theory of strain technology, on parameters, 8–9 stress and, 4–6 stress matrix for biaxial and uniaxial stress, 6–8 Microcontroller PCB, 397 Micro-energy harvesters, 235 Micro-grids, 493, 495 Micro-strain, 291, 291t Microstrip circuits, 69 Microwave, 134, 136 circuit design, 140 drying, 137 energy, heat by dissipation of, 134 exposure, 137

frequencies, 140 planar filters, 68 radiation, 134 tunable filter, 70 Microwave-assisted method, 286 Microwave heating, application of, 136–137 food processing, 136 microwave drying, 137 moisture sensing, 135 principle of, 133–135 product conditioning, 136–137 promoting germination, 136 quality sensing in fruits and vegetables, 137 weeds, insects and pests control, 136 Middleware, 221 Mixed energy systems, 502 MLIs, configurations, 474 generation of, 473–474 MOALO, 202 algorithm, 200 Mobile communication systems, 68 Mobility humiliation, 91 MODA algorithm, 200–201, 205 MOGOA, 202 Molybdenum deposition, 417, 417f Monochrometor, 173 Monocrystalline, 159, 303, 303f Monocrystalline wafers, 159, 160 basic steps to, 161 process employed for making, 160f Moore’s Law, 101 MOSFET. See metal oxide semiconductor field effect transistor (MOSFET) Moth-flame optimization (MFO), 316 MPP. See maximum power point (MPP) MPPT methods. See Maximum Power Point Tracking (MPPT) methods MPPT scheme, 467f MQW. See multi-quantum well (MQW) Multi-Input Boost Converter-based system architecture, 236 Multi-input DC chopper, 239–246, 240–245f Multi-input step-up chopper, circuit diagram of hybrid source architecture with, 240, 240f comparative study on performance parameters, 245t

566  Index hardware setup of hybrid source architecture with, 247, 247f in state-1, 240, 241f load voltage and current waveforms of, 243f PV interfaced, 245f state-2 circuit of, 241f state-3 circuit of, 242f Multilevel inverter, 474–476, 475, 476f with alternative sources, 475, 476f Multimodal benchmark problems, 256, 257t Multi-modal functions, 274 Multimodality, 251 Multi-quantum well (MQW), 351–353, 352–353, 363 AlGaAs/GaAs MQW solar cell, 361–363, 362–363f based heterojunction solar cell, 354, 355f comparative analysis, 367–369f, 367–370, 369t device design, parameters, 356t and simulation setup, 354–356, 355f, 355t different density of states of, 365t GaSb/GaAs MQWs solar cell, 356–357, 356–357f heterojunction solar cells, 353, 354 InGaP/GaAs MQW solar cell, 358–359, 358–359f InP/GaAs MQW solar cell, 360–361, 360–361f layers with thickness of, 364t materials parameters, 354, 355f optimization, 363–367, 364f, 364t, 365t, 366f PV cells, 369t theoretical aspects of, 353–354 Nanofiller, 54 properties of, 45–46 Nano-scale transistors, 4 Nano TCAD ViDES, 9 Natural cleaning method, 384 Nature-based metaheuristic algorithms, 191 Nemy JB270M-60 DDM, estimated parameters for, 207t PV and IV characteristics for, 208f Nemy JB270M-60 SDM, 203, 203f estimated parameters for, 199t Network analyzer, 139–140, 140f, 141–145t Network Analyzer technique, 138–139 Newton-Raphson (NR) method, 316

Newton’s law of gravity and motion, 433–434 Nickel Cadmium (Ni-Cd), 538 Nickel-Metal Hydride (Ni-MH), 538–539 Nimbus, 157 Nine-level inverter, 475 Nonlinearity, 251 Non-rechargeable batteries, 537 Non-volatile memory, 119 Normalized statistical measurements, 256 NR method. See Newton-Raphson (NR) method N-stage conversion, 240 Numerical methods, 191 Nutrients, correlation of dielectric properties with, 148–150, 149f Nutritional value, 135 Off-grid inverters, 219 Off-grid system, 312–313, 312f Ohmic voltage, 49 On grid system, 311, 311f with battery backup, 311–312, 312f Open circuit condition, 192 Open-ended coaxial probe, 138–139 Optical energy bandgap, 295t Optical transition, 294 Optimization algorithm, 319 Optimization problem, 196 Optimization process, 438 Organic/polymer solar cells, 304 Overconsumption, 373 Overpopulation, 373 Parasitic effects, 93 Particle swarm optimization (PSO), 437–439 optimized values of parameters by, 441f Passive cleaning, 383t, 388–389 Passive solar design, 216, 216f PDP. See power delay product (PDP) PE. See polymer electrolytes (PE) Peltier effect, 395–396 Peltier impact, 393, 395–396 Peltier sensor, 400 Performance enhancement techniques, 4 Performance improvement techniques, 4 Perovskite solar cells, 304 Pests control, 136 Phase change material-based battery thermal management system (BTMS), 548–549, 548f

Index  567 Photoconductor, 156 Photoelectric cell, 306 Photovoltaic (PV), array, characteristics of, 496, 497f PV cell equivalent circuit in, 496, 498f and biomedical applications, 236 effect, 155–156, 306 hybrid source architecture with, 244 panel data sheet values, 243, 243t power system, 241–242 V-I characteristics of, 244f Photovoltaic (PV) cells, 189–190, 213 based power generation systems, 241 DD model of, 194f disadvantage of, 190 efficiency, 308 fill factor, 308 IV characteristics of, 307, 307f maximum power, 308 parameters, 190, 197 PV characteristics of, 308, 308f tested electrical parameters of, 432, 432t two-diode structure of, 430–431 VI characteristics of, 306–307 Photovoltaic (PV) devices, 351 research, 352 Photovoltaic (PV) models, 315–317 actual attainment of, 316 problem formulation, 317 double-diode model, 319–320 single-diode model, 317–319, 317f three-diode model, 320–322, 321f proposed optimization technique, 322–324, 323f results and discussions, 324–339, 325–328t, 329f, 330–332t, 333f, 334–336f, 337f, 338–339t Photovoltaic (PV) modules, 217, 219, 397 datasheet information of, 198t ranges of variables, 198t Photovoltaic (PV) panels, rating and sizing of, 217, 218t Photovoltaic (PV) system, 212 Photovoltaic unit modelling, 429–430, 429f formation of function, 431–433 two-diode structure (DDM), 430–431 Photovoltaic (PV) voltage, 238 Physical/wired monitoring, 228

Piezoelectric polarization, 89, 90 Piezoelectric tensor, 89 Planar reconfigurable filters, 70–71 Planck’s constant, 20, 166 Plasticizer, properties of, 45 PNA Network Analyzer, 139, 139f Pn solar cell, 305f Point slope-based sensitivity, 108–109, 109f Polarization, effect, 88–90, 88f, 90t related parameters, 90, 90f Pollution, 427 Polyaniline nanocones, 56 Polycrystalline, 159 material with grain-like structure, 160f Polyethylene oxide (PEO), 43 ion transport in, 43f Polymer electrolytes (PE), 37–38 and fabricated supercapacitor performance, 58–62t characteristics of electrolyte, 40 cation/ion transference number, 42 electrochemical stability window (ESW), 42–43 ionic conductivity, 41–42 morphology and crystallinity, 40–41 component to, 43 developments, 51–57f, 51–62, 58–62t matrix, constituents of, 44t modification strategies for, 46–47, 46f, 47t polymer host in, 47t preparation and characterization techniques, 47, 48t performance parameters for supercapacitors, 47–50 principle and types of supercapacitors, 38–40, 39f, 40f, 41f types of additive based, 46f Polymer host, 44 Polynomial functions, 114 Power applications, transmitted power values, 506f voltage droop for, 504, 504f, 505f Power balance equation, 519 Power converter topologies, design parameters of, 237t Power coupling, 494 Power delay product (PDP), 33–34 Power diode, forward bias of, 511

568  Index Power electronic switches, 482 Power sharing, 501–504 accuracy of, 495 methods, conventional, 502 process, topology for, 499, 503 Power switch, generated gating pulses for, 245f Power, transfer of, 235 Predicted current value, 507–508 Primary batteries, 218, 537 Product conditioning, 136–137 Proposed droop controller, 503f Proposed hybrid methodologies, 253–255, 254f Proposed optimization technique, 322–323, 323f of Harris Hawks, 323–324 Proposed system, general overview of, 496 Proposed topology, 499, 499f Protein blend, 113–114 sensitivity vs. concentrations of, 114f Pseudocapacitors, 39 schematic diagram of, 40f PSO. See particle swarm optimization (PSO) Pulsed-laser-deposition (PLD), 286 PV models. See photovoltaic (PV) models PWM-based Synchronous Boost Converter, 236 QE. See quantum efficiency (QE) Quadratic Boost converter blocks, 508 Quantum efficiency (QE), 172, 181, 423–424, 424f comparative, 424f Quantum wells, 352 thickness of, 363 use of, 365 Radio Frequency Identification (RFID), 213 Radio Frequency Integrated Circuit (RFIC) design, 67 Radio frequency (RF) signal analysis, hemo, 33f hetero, 33f performance parameter for, 32 Radio waves, 134 Ragone plots, 56f Random Access Memory (RAM), 119 Raspberry Pi, 224, 225f Read-Only Meneory (ROM), 119 Recombination at defects, 423 Redox-active electrolytes, 53 Reflectometric technique, 139

Refrigerant direct cooling system battery thermal management system (BTMS), 547–548, 547f Relative intensity, standard vs. observed, 290, 291t Relay, 399–400 Relay-type hybridization scheme, 275–276 Remote monitoring methods of solar PV system, 228, 229–230, 229f block diagram of, 228f physical/wired monitoring, 228 SCADA monitoring, 228 using cloud computing, 228 wireless monitoring, 228 Renewable energy, 225–226, 226–227, 374, 484 category of, 301 energy generation from, 427–428 form of, 213 Renewable resources for generating electricity, 189 Resistance-based sensitivity, 108 Resistive-inductive virtual impedance, 494 Resistor, 102 Respective input capacitors, 239 RFID. See Radio Frequency Identification (RFID) RF signal analysis. See radio frequency (RF) signal analysis Robotic cleaning, 383t, 387, 387f ROM. See Read-Only Meneory (ROM) Salps, 196–197 Salp swarm algorithm (SSA), 324 Salt, properties of, 45 solutions, 150 SC. See supercapacitors (SC) SCADA monitoring, 228 Scanning electron microscopy, 293–294, 293f Scattering feasibility, 346–347 signal loss, 343–344 Scheduling algorithms, 437 Scherrer constant, 290 SDM. See single-diode model (SDM) SD PV model, 316 Secondary batteries, 218 Secondary/rechargeable batteries, 537 lead-acid batteries, 538 Lithium-Ion (Li-Ion), 539

Index  569 Nickel Cadmium (Ni-Cd), 538 Nickel-Metal Hydride (Ni-MH), 538–539 Selenium, 156 Self-cleaning method, active cleaning, 385–388 passive cleaning, 388–389 Self-sufficiency, 411–412 Semiconductor, 394 diodes, 70 of group III-N, 88 layer, 7 materials, 4, 85, 87, 87t memories, 119 Sensing parameters, 102 Sensitivity parameters, protein hysteresis-line slope sensitivity, 109–110, 110f point slope-based sensitivity, 108–109, 109f resistance-based sensitivity, 108 Separator characterization techniques, 48t SEPIC converter, 456 Shockley Read Hall Recombination (SRH) Model, 353–354 Short circuit condition, 192 Shunting, 423 Signal strength, 341 SILAR. See successive ionic layer adsorption and reaction (SILAR) Silicon-based modules, 412 Silicon-germanium (SiGe), material, 7 uniaxial channel stress on, 13 Silicon on insulator (SOI), 120 Silicon solar cell technology, 170, 414 Silicon wafers, 159 Silvaco, 9 ATLASTM simulation, 21 Silver (Ag) metal, 172 Single-diode model (SDM), 190, 198–199t, 198–203, 200–203f, 317–319, 317f, 428 GSA approach for, 434 photovoltaic (PV) models, 317–319, 317f P-V and I-V curves for, 200t Single-inductor, dual input single output (SI-DISO), 236, 244 voltage output and current waveform of, 239, 239f Single-inductor multiple-input single-output (SI-MISO), 237–239, 238f hybrid source architecture based, 238, 238f

Slow fading, 341–342 Smart sensors, 221, 222f CO2 sensor, 223 humidity sensor, 222 IR sensor, 224 light sensor, 223 MEMS (micro electro mechanical systems) sensor, 223 proximity sensor, 224 temperature sensor, 221–222 tilt sensor, 223 ultrasonic sensor, 223–224 voltage and current sensor, 223 Software analysis, 400–401 gathering with Cx51, 401 KEIL compiler, 401 program for AT89S52, 402–409 running Cx51 from the command prompt, 401–402 Solar cells, 155 battery, 156, 156f connection in series, 162f constructional features of, 158 design, multi-junction cells for, 352 device, 419, 419f efficiency, 166 equivalent electrical circuit of, 449, 449f evolution of, 157, 157f glass, 161 history of, 155–157 materials used in manufacturing of, 158–159, 158f maximum power point of, 307 multicrystalline cells, 159, 160f one-diode model of, 243f panels, 164, 164f parallel combination of, 162–163, 162f, 163f parameters estimated for, 428–429 PN Junction, 165, 165f polycrystalline material with grain-like structure, 159, 160f process of making crystals for, 160–161 quality of, 166 series combination of, 161, 162f series-parallel combination of, 163–164, 163–164f silicon wafers, 159, 159f single-diode model of, 242 structure of, 429, 429f

570  Index time line for, 157, 157f types of, 159–160 uses/applications of, 166 working of, 165–166 Solar cells, parameter estimation of, 189–191 double-diode model, 194–196, 194f, 203–208, 204f, 204t, 205t, 206f, 206t, 207f, 207t, 208f methodology, 196–197 problem statement, 191–192 results and discussions, 197–198 single-diode model, 192–194, 192f, 198–199t, 198–203, 200–203f Solar energy, 351 alteration of, 448 conversion efficiency, 157 potential of, 156 use of, 155 Solar insolation level, 469 Solar microgrid system, 216–217, 216f PV module, 217, 218t Solar movement, 157 Solar panels, 213–214 Solar photovoltaic (SPV) cells, 301, 305, 305f, 373–375 capacity, 448, 448f cell structure, 448 classification, 303, 303f first-generation solar cells, 303–304 second-generation solar cells, 304 third-generation solar cells, 304–305 connection methods, 309 bridge linked (BL) configuration, 309, 310f parallel configuration, 309, 309f series configuration, 309, 309f series-parallel configuration, 309, 310f efficiency of, 308 electrical characteristics, 379–380 equivalent electrical circuit of solar cell, 449, 449f fill factor, 308 influencing factors for deposition of dust, 375 ecological factors, 375–378 factors influencing installation, 378 installed location and exposure time, 379 mathematical modelling of, 306–308, 306–308f maximum power, 308

methods of cleaning system, 381–383, 381t, 382–383t manual, 384–385 natural, 384 need for, 302 off-grid system, 312–313, 312f on grid system, 311, 311f with battery backup, 311–312, 312f optical characteristics, 380–381 power analysis, 467f power extraction, 448–449 prominent problem for, 448 self-cleaning method active cleaning, 385–388 passive cleaning, 388–389 structure of, 302–303 thermal characteristic, 381 variable insolation and constant temperature, 449, 450f voltage magnitude, 456 working, 306 Solar photovoltaics, 411–412, 412 Solar photovoltaic unit, 431–432 Solar power, 301 technologies, 213, 214f Solar PV system, 213–214, 305f components, 220 grid-connected systems, 214, 215f performance of, 305 stand-alone modules, 214, 214f Solar radiation, 397, 398t Solar water heater systems, 215, 215f Solar World Plus, monocrystalline, 201 SW280 SDM, 199t Solar World plus 280W DDM, 206t Solar World Plus DDM, 207f Solar World Pro DDM, 204f, 205 Solar World Pro SDM, 199t, 200 Solenoid valve, 400 Solid electrolyte, 57 Solid polymer electrolytes (SPE), 46 dielectric constant, 54 Solid-state air-conditioning system, 393–394 block diagram of proposed system, 395f description of proposed model, 395, 395f existing framework vs. proposed system, 396, 396t

Index  571 hardware implementation, 5×1 keypad, 400 8051 architecture, 396 battery, 398–399 depiction of lead corrosive battery, 399, 399f depiction of sunlight-based board, 397, 398f microcontroller PCB, 397 Peltier sensor, 400 photovoltaic module, 397 relay, 399–400 solar radiation, 397, 398t solenoid valve, 400 Peltier impact, 395–396 software analysis, 400–401 gathering with Cx51, 401 KEIL compiler, 401 program for AT89S52, 402–409 running Cx51 from the command prompt, 401–402 thermoelectric cooler (TEC), 394–395 Solid-state supercapacitor, 52 Solvents, properties of, 45 Spectral response, 172 Spin coating, 286 Split ring resonators (SRR), 70 SPV cells. See solar photovoltaic (SPV) cells SSA. See Salp swarm algorithm (SSA) Stand-alone HRES system, 518 DC bus voltage, 521f, 523f energy management system, 519f hardware implementation of, 520f parameters of hardware equipment, 521t power at sources and load, 522f, 524f renewable input variations, 521f, 523f schematic diagram of, 518, 518f system description, 518–520, 518–520f Stand-alone inverters, 219 State of charge (SOC) control, 518 Storms in deserts, 374–375 Strain, definition of, 4 engineering, 4 matrix, 5 on MOSFET parameters, 8–9 tensor, 5–6 Strain engineering, MOSFET structure, 13 in non-volatile memory devices, 13

substrate curvature in, 14 Strain technology, 11 experimental studies on, 12–14 introduction of, 7 simulation studies in, 9–12, 10–12f theory, on parameters, 8–9 stress and, 4–8 Stress, 4–6 biaxial and uniaxial, 6–8 definition of, 4 matrix for biaxial and uniaxial stress, 6–8 Substances, knowledge of complex permittivity of, 140 Substrate, 302 Subthreshold (SS), 19–20, 23, 25 Successive ionic layer adsorption and reaction (SILAR), 296 CdS thin films by, 288 cycles, 287, 292 deposition method, 286 immersion, 296 Sunlight-based board, depiction of, 397, 398f Supercapacitors (SC), 37 asymmetric, 56 with B-GPE, 51f Cell#1 and Cell#2, 54f charge–discharge cycles, 54f cyclic voltammograms, 53f development of, 39f electrochemical performance of, 38, 52 energy density of, 38, 40, 41f, 57 fabrication of, 56 from cyclic voltammetry, 49 performance parameters for, 47–50 principle and types of, 38–40, 39f, 40f, 41f Super hydrophilic surface, 388–389 Surface passivation, 92–93 Sustainability, virtue of, 412 Sustainable energy, sources in India, 212t sources of, 212 SW280 SDM, 202, 202f Swarm intelligence, 437, 438 Switch, conductive losses for, 500 Switching behavior, of Tunnel FET, 23–24, 24f Symmetric and asymmetric inverter, 473–474, 480, 481f

572  Index designed structure, 477 design of 15-level inverter with, 476–477, 477f design of 31-level inverter using asymmetric voltage sources, 482–483 development of 53-level inverter using packed structures, 487–490 evaluation of multilevel inverters, 474–476, 476f experimentation of 27-level, 477–482 mathematical model of 31-level inverter, 483–487 Symmetric cell configuration, 50 Symmetric source inverter, 15-level, 474 Symmetric voltage source, 15-level inverter with, 476–477, 477f with two structures, 477f Synchronous boost converter, 236–237, 237f, 238f Synchronous step-up converter, 237, 238f Synchronous topology, 236–237 Synopsys, 9 TDM. See three-diode model (TDM) TD PV model, 320–321 Technology Computer Aided Design (TCAD) simulation software, 9, 11f Temperature sensor, 543 Tensile channel stress, 11 Test functions, selected graphical plots of, 275f Thermal management system performance, 536 Thermoelectric coolers (TECs), 393–394, 394–395 Thermoelectric cooling (TEC), 393–394, 396 battery thermal management system (BTMS), 550–551 Thin films, growth of, 14 Thin film solar cells, 413–414, 413f CdS deposition, 417 characterization of, 420–424 chromium/silver front contact grid, 418, 418f, 419f fabrication of, 415–416 glass cleaning, 416, 416f Molybdenum deposition, 417, 417f solar cell device, 419, 419f ZnO and Al-ZnO coating, 418, 418f Three-diode model (TDM), 320–322, 321f, 428 photovoltaic (PV) models, 320–322, 321f ThrEshold Adaptive Memristor Model (TEAM), 102 Traditional capacitor, 38 Transconductance, 11–12, 28

3D visualization of, 29, 29f hetero and homo structures, 31 Transistor, dimensions of, 3 Transmitted power values, 506–507f Tree shadowing effect, 347 Triple-diode model (TDM), 190 Tunable bandpass filters, 70 Tunable filter, 68 replacing filter bank, 69f Tunable RF/microwave filter, 67–69, 69, 69f literature review, 70 planar reconfigurable filters, 70–71 proposed work, 71 design of hairpin bandpass filter, 71–79, 72f, 72t, 73–79f, 79t Tunnel FET (TFET), architecture, 120 capacitances of, 31 device, basic structure of, 20 device characteristics and performance of, 21 electrostatic performance of, 25 switching behavior of, 23 Tunneling charge carriers, 20 Tunneling field effect transistor (Tunnel FET), 19–20x analysis approach and device parameters, 21–23, 22f, 22t device design parameters for simulation, 22f double gate, 25 hetero, 25f, 29, 29f, 30f homo, 25f, 29, 30f Kane’s model, 21 results and discussion, 24–34, 25–30f, 25t, 26t, 31t, 32–34f switching behavior of, 23–24, 24f working principles of, 20–21 Two-dimensional electron gas (2DEG), formation of, 90–92, 91f transport concentration, 92 Two-diode (DDM) model, 428, 430–431 equivalent circuit of, 439f photovoltaic unit, modelling of, 430–431 structure, 430–431 Ultra-low-power, applications, 34 electronics, 20 Ultrasonic sensor, 223–224 Uniaxial stress, 6–8

Index  573 Unimodal benchmark problems, 256, 257t Unimodal test functions, 256 Vacuum evaporation, 286 Value weightage, 435 Van der Waals forces, 288 Variable capacitors, 70 Vector Network Analyzer (VNA), 73–74 Vegetables, quality sensing in, 137 Vibration source, magnitude of, 239 Virtual impedance, 494 Vogel-Tamman-Fulcher (VTF) behavior, 42 Volatile memory, 119 Voltage multiplication levels, 240 Voltage multiplier (VM) stages, 240 Voltage sensor, 503 Voltage stability, 54 VRLA battery, 219 Wafers, types of, 159 Water contact angle (WCA) measurement, 295, 295f of CdS films, 295–296, 295f

Weeds, 136 Wettability studies, 295–296, 295f Whale optimization algorithm (WOA), 316, 324 Wi-Fi, analyzer, 344, 344f line of sight (LOS) of, 347–348 signal strength, 345, 345t, 346f Wilcoxon test, 276t Wind Turbines (WTs), 496 Wireless local area network (WLAN), 343 Wireless monitoring, 228 WKB (Wentzel-Kramers-Brillouin) approximation, 20, 25 Word line (WL), 121 X-ray diffraction (XRD), 40 Yttrium-Iron-Garnet (YIG) spheres, 70 ZnO, bi-layer, 176 coating, 418, 418f

Also of Interest Check out these other related titles from Scrivener Publishing Green Energy: Solar Energy, Photovoltaics, and Smart Cities, edited by Suman Lata Tripathi and Sanjeevikumar Padmanaban, ISBN 9781119760764. Covering the concepts and fundamentals of green energy, this volume, written and edited by a global team of experts, also goes into the practical applications that can be utilized across multiple industries, for both the engineer and the student. DUE IN SPRING 2021 Microgrid Technologies, edited by C. Sharmeela, P. Sivaraman, P. Sanjeevikumar, and Jens Bo Holm-Nielsen, ISBN 9781119710790. Covering the concepts and fundamentals of microgrid technologies, this volume, written and edited by a global team of experts, also goes into the practical applications that can be utilized across multiple industries, for both the engineer and the student. DUE IN SPRING 2021 Progress in Solar Energy Technology and Applications, edited by Umakanta Sahoo, ISBN 9781119555605. This first volume in the new groundbreaking series, Advances in Renewable Energy, covers the latest concepts, trends, techniques, processes, and materials in solar energy, focusing on the state-of-the-art for the field and written by a group of world-­renowned experts. NOW AVAILABLE! Energy Storage 2nd Edition, by Ralph Zito and Haleh Ardibili, ISBN 9781119083597. A revision of the groundbreaking study of methods for storing energy on a massive scale to be used in wind, solar, and other renewable energy systems. NOW AVAILABLE! Nuclear Power: Policies, Practices, and the Future, by Darryl Siemer, ISBN 9781119657781. Written from an engineer’s perspective, this is a treatise on the state of nuclear power today, its benefits, and its future, focusing on both policy and technological issues. NOW AVAILABLE! Zero-Waste Engineering 2nd Edition: A New Era of Sustainable Technology Development, by M. M. Kahn and M. R. Islam, ISBN 9781119184898. This book outlines how to develop zero-waste engineering following natural pathways that are truly sustainable using methods that have been developed for sustainability, such as solar air conditioning, natural desalination, green building, chemical-free biofuel, fuel cells, scientifically renewable energy, and new mathematicaland economic models. NOW AVAILABLE! Sustainable Energy Pricing, by Gary Zatzman, ISBN 9780470901632. In this controversial new volume, the author explores a new science of energy pricing and how it can be done in a way that is sustainable for the world’s economy and environment. NOW AVAILABLE!

Advanced Petroleum Reservoir Simulation, by M.R. Islam, S.H. Mousavizadegan, Shabbir Mustafiz, and Jamal H. Abou-Kassem, ISBN 9780470625811. The state of the art in petroleum reservoir simulation. NOW AVAILABLE! Sustainable Resource Development, by Gary Zatzman, ISBN 9781118290392. Taking a new, fresh look at how the energy industry and we, as a planet, are developing our energy resources, this book looks at what is right and wrong about energy resource development. This book aids engineers and scientists in achieving a true sustainability in this field, both from an economic and environmental perspective. NOW AVAILABLE! The Greening of Petroleum Operations, by M. R. Islam et al., ISBN 9780470625903. The state of the art in petroleum operations, from a “green” perspective. NOW AVAILABLE! Emergency Response Management for Offshore Oil Spills, by Nicholas P. Cheremisinoff, PhD, and Anton Davletshin, ISBN 9780470927120. The first book to examine the Deepwater Horizon disaster and offer processes for safety and environmental protection. NOW AVAILABLE! Biogas Production, Edited by Ackmez Mudhoo, ISBN 9781118062852. This volume covers the most cutting-edge pretreatment processes being used and studied today for the production of biogas during anaerobic digestion processes using different feedstocks, in the most efficient and economical methods possible. NOW AVAILABLE! Bioremediation and Sustainability: Research and Applications, Edited by Romeela Mohee and Ackmez Mudhoo, ISBN 9781118062845. Bioremediation and Sustainability is an up-to-date and comprehensive treatment of research and applications for some of the most important low-cost, “green,” emerging technologies in chemical and environmental engineering. NOW AVAILABLE! Green Chemistry and Environmental Remediation, Edited by Rashmi Sanghi and Vandana Singh, ISBN 9780470943083. Presents high quality research papers as well as in depth review articles on the new emerging green face of multidimensional environmental chemistry. NOW AVAILABLE! Bioremediation of Petroleum and Petroleum Products, by James Speight and Karuna Arjoon, ISBN 9780470938492. With petroleum-related spills, explosions, and health issues in the headlines almost every day, the issue of remediation of petroleum and petroleum products is taking on increasing importance, for the survival of our environment, our planet, and our future. This book is the first of its kind to explore this difficult issue from an engineering and scientific point of view and offer solutions and reasonable courses of action. NOW AVAILABLE!

WILEY END USER LICENSE AGREEMENT Go to www.wiley.com/go/eula to access Wiley’s ebook EULA.