Микроконтроллеры AT90C2333 и AT90C4433 фирмы Atmel


185 28 649KB

Russian Pages 57 Year 2003

Report DMCA / Copyright

DOWNLOAD PDF FILE

Table of contents :
КВАРЦЕВЫЙ ГЕНЕРАТОР......Page 2
Файл регистров общего назначения......Page 3
Статическое ОЗУ данных......Page 4
Регистр состояния – SREG 3Fh(5Fh)......Page 7
Обработка сброса......Page 8
Сброс по включению питания......Page 9
СБРОС ПО СТОРОЖЕВОМУ ТАЙМЕРУ......Page 10
ОБРАБОТКА ПРЕРЫВАНИЙ......Page 11
ОБЩИЙ РЕГИСТР ФЛАГОВ ПРЕРЫВАНИЙ......Page 12
РЕГИСТР ФЛАГОВ ПРЕРЫВАНИЙ ОТ ТАЙМЕРОВ/СЧЕТЧИКОВ – TIFR......Page 13
ВРЕМЯ РЕАКЦИИ НА ПРЕРЫВАНИЕ......Page 14
Режимы обмена данными......Page 27
Recommend Papers

Микроконтроллеры AT90C2333 и AT90C4433 фирмы Atmel

  • 0 0 0
  • Like this paper and download? You can publish your own PDF file online for free in a few minutes! Sign Up
File loading please wait...
Citation preview

AT90S2333 AT90S2333 RISC

AT90S4433 AVR. 1MIPS . AVR . 32

32

:2

Atmel

8-

, , AT90S2333

AT90S4433

, RISC

, -

. CISC ; 128/256

/4

/

AT90S4433

; 32

;

;

( . AT90S2333/4433

EEPROM; 128 / ; 6-

, 20 ; 10-

; SPI . ,

/ ,

; .Э

, SPI

),

(Idle Mode)

; -

, (Power Down Mode)

, . Atmel. SPI 8-

. , AT90S2333/4433

RISC

, AT90S2333/4433

, /

,

.

RESET PD0/RXD PD1/TXD PD2/INT0 PD3/INT1 PD4/T0 VCC GND XTAL1 XTAL2 PD5/T1 PD6/AIN0 PD7/AIN1 PB0/ICP PB1/OC1 PB2/SS PB3/MOSI PB4/MISO PB5/SCK AVCC AREF AGND PC0/ADC0 PC1/ADC1 PC2/ADC2 PC3/ADC3 PC4/ADC4

29 30 31 32 1 2 4 5 7 8 9 10 11 12 13 14 15 16 17 18 20 21 23 24 25 26 27

PDIP 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27

PC5/ADC5

28

28

. ,

Ы GND Port B (PB5..PB0) .

B

6B

/ 20

, B Port

. ,

PB0..PB5 .

,

(P 5..P 0) .

.

6-

/ 20

,

. ,

P 0..P 5 .

. Port D (PD5..PD0) .

D

8B

/ 20

.

, .

D

RESET -

PD0..PD7 ,

-

,

.

. ),

( -

. XTAL1 XTAL2 -

. . .Э

AVCC . AREF -

.

,

2.7 ...AVCC.

AGND -

,

.

GND.

ɄȼȺɊɐȿȼɕɃ ȽȿɇȿɊȺɌɈɊ XTAL1

XTAL2 .

, ,

.

,

XTAL2

HC, XTAL2

5pF. , XTAL1

.

Ɉɛɡɨɪ ɚɪɯɢɬɟɤɬɭɪɵ ɩɪɨɰɟɫɫɨɪɨɜ. 32 8-

,

.

.

,

,

. 32

-

16-

, (16-

(

X, Y

Z)

Z).

. c

,

.

. ,

, 00h-1Fh 64

/ ,

,

. ,

/

.

/

,

(20h- 5Fh). AVR

. .

,

.

.

-

. 32-

16-

AVR

. 16-

,

.

. . , .

(SP)

(

). 8-

/

/

.

,

/ AVR.

AVR /

.

,

. .

.

.

Ɏɚɣɥ ɪɟɝɢɫɬɪɨɜ ɨɛɳɟɝɨ ɧɚɡɧɚɱɟɧɢɹ . .Э OR,

SBCI, SUBI, CPI, ANDI, ORI - R16..R31. . , 32

, ,

LDI, SBC, SUB, CP, AND . .

. :

,

R26..R31 . Э

X, Y

Z , X,Y,Z

Ɋɟɝɢɫɬɪ X

15 7

0 1Bh (R27)

Ɋɟɝɢɫɬɪ Y

1Ah (R26)

15 7

0

0 0

7

1Dh (R29)

Ɋɟɝɢɫɬɪ Z

0 0

7

1Ch (R28)

15 7

0

0 0

7

1Fh (R31)

1Eh (R30)

, .

Ⱥɪɢɮɦɟɬɢɤɨ-ɥɨɝɢɱɟɫɤɨɟ ɭɫɬɪɨɣɫɬɜɨ - ȺɅɍ 32

. .

,

-

.

Ɂɚɝɪɭɠɚɟɦɚɹ ɩɚɦɹɬɶ ɩɪɨɝɪɚɦɦ. 16-

AT90S2333/4433 32-

2/4

.

,

1/2 Kx16.

1024/2048

10/11

1000

. -

.

.

EEPROM ɩɚɦɹɬɶ ɞɚɧɧɵɯ AT90S2333/4433

128/256

(EEPROM). EEPROM . EEPROM

, 100000 ,

/ .

. EEPROM

ɋɬɚɬɢɱɟɫɤɨɟ ɈɁɍ ɞɚɧɧɵɯ

SPI

.

AT90S2333/4433. 224

, 96

/

. /

,

128 -

.

:

,

,

,

.

R26..R31

. .

63 Y

Z. X, Y 32

/

128

Z. , 64

.

ȼɪɟɦɹ ɜɵɩɨɥɧɟɧɢɹ ɤɨɦɚɧɞ. AVR

. .

(pipeline)

,

.

1MIPS

,

.

R0 R1 : R30 R31

00h 01h : 1E 1F

\ 00h 01h : 3Eh 3Fh -

20h 21h : 5Eh 5Fh 61h : DEh DFh

MHz,

-

ɉɪɨɫɬɪɚɧɫɬɜɨ ɜɜɨɞɚ/ɜɵɜɨɞɚ AT90S2333/4433 Ⱥɞɪɟɫɚ 3Fh(5Fh) 3Dh(5Dh) 3Bh(5Bh) 3Ah(5Ah) 39h(59h) 38h(58h) 35h(55h) 34h(54h) 33h(53h) 32h(52h) 2Fh(4Fh) 2Eh(4Eh)

ɪɟɝɢɫɬɪɵ SREG SP GIMSK GIFR TIMSK TIFR MCUCR MCUSR TCCR0 TCNT0 TCCR1A TCCR1B

ɧɚɡɜɚɧɢɟ Status REGister Stack pointer low General Interrupt MaSK register General Interrupt Flag Register Timer/counter Interrupt mask register Timer/counter Interrupt Flag register MCU general Control Register MCU Status Register Timer/Counter 0 Control Register Timer/Counter 0 (8- ) Timer/Counter 1 Control Register A Timer/Counter 1 Control Register B

2Dh(4Dh) 2Ch(4Ch) 2Bh(4Bh) 2Ah(4Ah) 27h(47h) 26h(46h) 21h(41h) 1Eh(3Eh) 1Dh(3Dh) 1Ch(3Ch) 18h(38h) 17h(37h) 16h(36h) 15h(35h) 14h(34h) 13h(33h) 12h(32h) 11h(31h) 10h(30h) 0Fh(2Fh) 0Eh(2Eh) 0Dh(2Dh) 0Ch(2Ch) 0Bh(2Bh) 0Ah(2Ah) 09h(29h) 08h(28h) 07h(27h) 06h(26h) 05h(25h) 04h(24h) 03h(23h)

TCNT1H TCNT1L OCR1H OCR1L ICR1H ICR1L WDTCR EEAR EEDR EECR PORTB DDRB PINB PORT DDR PIN PORTD DDRD PIND SPDR SPSR SPCR UDR USR UCR UBRR ACSR ADMUX ADCSR ADCH ADCL UBRRHI

Timer/Counter 1 High byte Timer/Counter 1 Low byte Output Compare Register 1 high byte Output Compare Register 1 low byte T/C 1 Input Cupture Register High Byte T/C 1 Input Cupture Register Low Byte Watchdog Timer Control Register EEPROM Address Register EEPROM Data Register EEPROM Control Register Data Register, Port B Data Direction Register Port B Input pins, Port B Data Register, Port Data Direction Register Port Input pins, Port Data Register, Port D Data Direction Register Port D Input pins, Port D SPI I/O Data Register SPI Status Register SPI Control Register UART Data Register UART Status Register UART Control Register UART Baud Rate Register Analog Comparator Control and Status Register ADC multiplexer Select register ADC Control and Status Register ADC data register High ADC data register Low UART Baud Rate Register HIgh

:

ɮɭɧɤɰɢɢ

/ / .

. 0 /

0 (8

)

.A .B

1 1 / /

1 1 .1 .1 \ 1 \ 1

B B B

D D D SPI SPI SPI

. .

.

( (

.) .) (

.)

/ IN /

.

/ 32-

OUT, 00h..1Fh

SBI SBIC

. IN, OUT, SBIS , . ,

/

. CBI.

SBIS.

SBIC,

$00..$3F. $20. /

Ɋɟɝɢɫɬɪ ɫɨɫɬɨɹɧɢɹ – SREG 3Fh(5Fh) 3Fh (5Fh)

7 I R\W 0

3Fh(5Fh)

6 T R\W 0

5 H R\W 0

7 - I:

/

4 S R\W 0

:

3 V R\W 0

2 N R\W 0

1 Z R\W 0

0 S R\W 0

.

. - GIMSK

(0),

GIMSK/TIMSK RETI, .

6 - T:

.

TIMSK.

I . BLD (Bit LoaD)

BST (Bit STore)

. BST,

T 5 - H: 4 - S:

(

. Э . , S = N XOR V. ).

. S

N(



2 - N: .

) .

. Э

3 - V:

1 - Z: . 0 - C:

T BLD.

. . .

.Э .



. .

V

ɍɤɚɡɚɬɟɥɶ ɫɬɟɤɚ SP Э

8-

3Dh (5Dh)

. 8-

,

60h -DFh.

3Dh(5Dh)

7 SP7 R\W 0

6 SP6 R\W 0

5 SP5 R\W 0

4 SP4 R\W 0

3 SP3 R\W 0

2 SP2 R\W 0

1 SP1 R\W 0

0 SP0 R\W 0

. . 1

PUSH

CALL

.

2 1

POP

2

(RET

RETI).

***

ɋɛɪɨɫ ɢ ɨɛɪɚɛɨɬɤɚ ɩɪɟɪɵɜɚɧɢɣ. .Э

13 .

1,

I

. .

Э

. .

0

,

INT0 -

. .

:

000h

rjmp RESET

Ɉɛɪɚɛɨɬɤɚ ɫɛɪɨɫɚ

001h 002h 003h 004h 005h 006h 007h 008h 009h 00Ah 00Bh 00Ch 00Dh 00Eh

rjmp EXT_INT0 rjmp EXT_INT1 rjmp TIM1_CAPT rjmp TIM1_COMP rjmp TIM1_OVF rjmp TIM0_OVF rjmp SPI_STC rjmp UART_RXC rjmp UART_DRE rjmp UART_TXC rjmp ADC rjmp EE_RDY rjmp ANA_COMP

Ɉɛɪɚɛɨɬɤɚ IRQ0 Ɉɛɪɚɛɨɬɤɚ IRQ1 Ɉɛɪɚɛɨɬɤɚ ɡɚɯɜɚɬɚ ɬɚɣɦɟɪɚ 1 Ɉɛɪɚɛɨɬɤɚ ɫɨɜɩɚɞɟɧɢɹ ɬɚɣɦɟɪɚ 1 Ɉɛɪɚɛɨɬɤɚ ɩɟɪɟɩɨɥɧɟɧɢɹ ɬɚɣɦɟɪɚ 1 Ɉɛɪɚɛɨɬɤɚ ɩɟɪɟɩɨɥɧɟɧɢɹ ɬɚɣɦɟɪɚ 0 Ɉɛɪɚɛɨɬɤɚ ɩɟɪɟɞɚɱɢ ɩɨ SPI Ɉɛɪɚɛɨɬɤɚ ɩɪɢɟɦɚ ɛɚɣɬɚ Ɉɛɪɚɛɨɬɤɚ ɨɫɜɨɛɨɠɞɟɧɢɹ UDR Ɉɛɪɚɛɨɬɤɚ ɩɟɪɟɞɚɱɢ ɛɚɣɬɚ Ɉɛɪɚɛɨɬɤɚ ɩɪɟɨɛɪɚɡɨɜɚɧɢɹ Ⱥɐɉ Ɉɛɪɚɛɨɬɤɚ ɝɨɬɨɜɧɨɫɬɢ EEPROM Ɉɛɪɚɛɨɬɤɚ ɚɧɚɥɨɝɨɜ. ɤɨɦɩɚɪɚɬɨɪɚ ɇɚɱɚɥɨ ɨɫɧɨɜɧɨɣ ɩɪɨɝɪɚɦɦɵ

-

ɋɛɪɨɫ ɢ ɜɟɤɬɨɪɵ ɩɪɟɪɵɜɚɧɢɣ. ɇɨɦɟɪ ɜɟɤɬɨɪɚ 1 2 3 4 5 6 7 8 9 10 11 12 13

14

Ⱥɞɪɟɫ

ɂɫɬɨɱɧɢɤ

000h 001h 002h 003h 004h 005h 006h 007h 008h 009h 00Ah 00Bh 00Ch

RESET INT0 INT1 TIMER1 CAPT TIMER1 COMP TIMER1 OVF TIMER0 OVF SPI, STC UART RX UART UDRE UART TX ADC RDY

00Dh

Ɉɩɢɫɚɧɢɟ ɩɪɟɪɵɜɚɧɢɹ ,

Brown-Out reset 0 1 /

.

1 /

1 / /

1 0

SPI . . . EEPROM

COMP

ɂɋɌɈɑɇɂɄɂ ɋȻɊɈɋȺ AT90S2333/4433 * *

. .

VCC

.

GND.

RESET

. *

.

,

. * Brown-Out

Vcc

.

/ $000,

, RJMP -

. ,

.

ɋɛɪɨɫ ɩɨ ɜɤɥɸɱɟɧɢɸ ɩɢɬɚɧɢɹ .

- 2.2 .

. ,

. . Э

4.

Ɍɚɛɥɢɰɚ 3. ɏɚɪ ɚɤɬɟɪɢɫɬɢɤɢ ɫɛɪɨɫɚ.(Vcc=5.0V)

Vpower Vreset Vbodlevel

Min

Typ

Max

1.7v

2.2v

2.7v

2.6v 3.8v

0.6Vcc 2.7v 4.0v

2.8v 4.2v

RESET Brown-Out

BODLEVEL=1

Brown-Out

BODLEVEL=0

Ɍɚɛɥɢɰɚ 4. ɍɫɬɚɧɨɜɤɚ ɜɪɟɦɟɧɢ ɫɛɪɨɫɚ ȼɪɟɦɹ ɡɚɩɭɫɤɚ 4mS + 6CK 6CK 64mS + 16K CK 4mS + 16K CK 16K CK 64mS + 1K CK 4mS + 1K CK 1K CK

CKSEL [2:0] 000 001 010 011 100 101 110 111

ȼɇȿɒɇɂɃ ɋȻɊɈɋ RESET. . Tout

Vrst

,

.

BROWN-OUT AT90S2333/4433 -

. BODEN. . BODLEVEL .

BODEN

, (

2.7

.4).

4 50

.

,

3 4 (7

2.7 ).

ɋȻɊɈɋ ɉɈ ɋɌɈɊɈɀȿȼɈɆɍ ɌȺɃɆȿɊɍ

1 .

,

ɊȿȽɂɋɌɊ ɋɈɋɌɈəɇɂə ɉɊɈɐȿɋɋɈɊȺ - MCUSR Э

,

. MCUSR

7 R 0

34h(54h)

7..4 -

.

6 R 0

5 R 0

4 R 0

3 WDRF R 0

2 BORF R 0

1 EXTRF R\W 0

AT90S2333/4433

0 PORF R\W 0

0.

3 - WDRF -

. .

2 - BORF -

. .

1 - EXTRF . 0 - PORF -

,

. MCUSR

.

.

ɈȻɊȺȻɈɌɄȺ ɉɊȿɊɕȼȺɇɂɃ AT90S2333/4433

GIMSK /

TIMSK -

. I

.

(

)

. 1

I

- RETI. , ,

. .

,

ɈȻɓɂɃ ɊȿȽɂɋɌɊ ɆȺɋɄɂ ɉɊȿɊɕȼȺɇɂɃ GIMSK 7 INT1 R\W 0

3Bh(5Bh)

6 INT0 R\W 0

5 R 0

7 - INT1: ,

4 R 0

1

3 R 0

2 R 0

1 R 0

.

,

.

. .

002h

"). 0

.

,

.

.

ISC00)

,

"

5..0 -

I (ISC01 -

(MCUCR) . .

ISC10)

,

"

6 - INT0: ,

.(

I (ISC11 -

(MCUCR) . .(

0 R 0

$001

"). .

AT90S2333/4433

0.

ɈȻɓɂɃ ɊȿȽɂɋɌɊ ɎɅȺȽɈȼ ɉɊȿɊɕȼȺɇɂɃ GIFR 7 INTF1 R\W 0

3Bh(5Bh)

6 INTF0 R\W 0

7 - INTF1:

5 R 0

1: I

"1".

4 R 0

SREG

3 R 0

INT1

002h.

INT1 GIMSK, .

2 R 0

1 R 0

0 R 0

, INTF1 ,

,

. 6 - INTF0:

0: I

"1".

SREG

001h.

INT0

INT0 GIMSK, .

, INTF0 ,

,

.

5..0 -

.

AT90S2333/4433

0.

ɊȿȽɂɋɌɊ ɆȺɋɄɂ ɉɊȿɊɕȼȺɇɂə ɈɌ ɌȺɃɆȿɊȺ/ɋɑȿɌɑɂɄȺ – TIMSK TIMSK

39h(59h)

7 TOIE1 R\W 0

6 OCIE1A R\W 0

5 R\W 0

4 R\W 0

7 - TOIE1:

3 TICIE1 R\W 0

/

2 R\W 0

/

$005)

/ .

/ 0000h.

,

1.

1.

/

1

/

1:

, /

(TIFR)

-

,

6 - OCIE1A: 004h)

0 R\W 0

1:

, (

1 TOIE0 R\W 0

/ 1

1. TIFR

.

OCIE1A ( ("1")

. 5,4 -

; AT90S2333/4433

0.

3 - TICIE1:

: , 11 (PD6/ICP). ; AT90S2333/4433

2-

TICIE1 . TIFR

( ("1") 0.

1 - TOIE0:

/

0.

1,

1,

/ (006h).

(TIFR) 0-

003h) .

/

0. (TOV0)

1.

; AT90S2333/4433

0.

ɊȿȽɂɋɌɊ ɎɅȺȽɈȼ ɉɊȿɊɕȼȺɇɂɃ ɈɌ ɌȺɃɆȿɊɈȼ/ɋɑȿɌɑɂɄɈȼ – TIFR TIFR

38h(58h)

7 TOV1 R\W 0

6 OCF1 R\W 0

5 R\W 0

4 R\W 0

3 ICF1 R\W 0

2 R\W 0

1 TOV0 R\W 0

0 R\W 0

I

7 - TOV1: / 1. , TOV1 / 1

/

1:

TOV1

("1")

TOV1

. ,

.

I SREG /

1.

,

0000h.

6 - OCF1A: 1 . , TIMSK,

1 : OCR1A.

/

"1" .

OCF1A

5,4 -

I SREG

3 - ICF1:

0.

1: /

("1")

,

1

,

ICR1. ICF1 .

,

,

.

; AT90S2333/4433

0.

1 - TOV0:

1:

TOV0

("1") . TOIE0 TIMSK,

0. .

I SREG /

0-

OCIE1A

.

; AT90S2333/4433

2-

TOIE1 TIMSK,

/ , TOV0

0.

; AT90S2333/4433

0. ȼɇȿɒɇɂȿ ɉɊȿɊɕȼȺɇɂə INT0 INT1. ,



.



,

-

MCUCR.

, ,

. MCUCR. ȼɊȿɆə ɊȿȺɄɐɂɂ ɇȺ ɉɊȿɊɕȼȺɇɂȿ -4

.

. ,

4

2. 2

.

,

. 4

.

4

. . ,

,

SREG

,

.

SREG, ( . .

. /

1

) ,

.

,

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɆɂɄɊɈɄɈɇɌɊɈɅɅȿɊɈɆ – MCUCR Э

. MCUCR

7 R 0

35h(55h)

7,6 -

.

6 R 0

5 SE R\W 0

4 SM R\W 0

3 ISC11 R\W 0

2 ISC10 R\W 0

1 ISC01 R\W 0

AT90S2333/4433

0.

Sleep: Э

5 - SE:

0 ISC00 R\W 0

1,

SLEEP

. SLEEP. 4 - SM:

Sleep: Э Sleep

. (Idle mode).

down).

(0), (Power

,.

3,2 - ISC11, ISC10: I

1:

INT1

SREG :

1,0 - ISC01, ISC00: I GIMSK. 6

GIMSK.

0:

INT0

SREG . Ɍɚɛɥɢɰɚ 5. ɍɩɪɚɜɥɟɧɢɟ ɫɪɚɛɚɬɵɜɚɧɢɟɦ ɩɪɟɪɵɜɚɧɢɹ 1.

ISC11 0 0 1 1

Ɉɩɢɫɚɧɢɟ

ISC10 0 1 0 1

:

INT1 INT1 INT1 INT1

. ISC11/ISC10 GIMSK.

INT1 .

Ɍɚɛɥɢɰɚ 6. ɍɩɪɚɜɥɟɧɢɟ ɫɪɚɛɚɬɵɜɚɧɢɟɦ ɩɪɟɪɵɜɚɧɢɹ 0. ISC01 0 0 1 1 :

Ɉɩɢɫɚɧɢɟ

ISC0 0 1 0 1

INT0 INT0 . ISC01 GIMSK.

ISC00,

INT0 INT0

INT0 .

ɊȿɀɂɆɕ ɉɈɇɂɀȿɇɇɈȽɈ ɗɇȿɊȽɈɉɈɌɊȿȻɅȿɇɂə. (1)

SE

MCUCR,

SLEEP. ,

, SLEEP.

/

.

,

. ,

.

. .

SM

(0),

/

SLEEP



, ,

(Idle mode).

,

/

.

,

ACSR. Э

ACD .

.

Э

. SM

(1),

SLEEP

(Power Down Mode). .

.

, .

,

, brown-out . ,

. Э

. , 1uS

.

, 5

25

.

, . .

CKSEL, 7.

,

,

,

, .

Ɍɚɛɥɢɰɚ 7. ɍɫɬɚɧɨɜɤɚ ɡɚɞɟɪɠɤɢ ɜɤɥɸɱɟɧɢɹ CKSEL [2:0] 000 001 010 011 100 101 110 111

ȼɪɟɦɹ ɡɚɩɭɫɤɚ 6CK 6CK 16K CK 16K CK 16K CK 1K CK 1K CK 1K CK

ɌȺɃɆȿɊɕ/ɋɑȿɌɑɂɄɂ AT90S2333/4433

/

. 8-

16-

.

10-

. . (CK),

(CK/8, CK/64, CK/256 ,

CK/1024)

.

,

. 8-ɊȺɁɊəȾɇɕɃ ɌȺɃɆȿɊ/ɋɑȿɌɑɂɄ 0

8(CK/8, CK/64, CK/256 TCCR0.

/ CK/1024),

- CK, TIFR.

TCCR0. TIMSK.

/

,

.

, . 8-

. /

, . . ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɌȺɃɆȿɊɈɆ/ɋɑȿɌɑɂɄɈɆ 0 - TCCR0 TCCR0

7 R 0

33h(53h)

7..3 -

.

6 R 0

4 R 0

3 R 0

2 CS02 R\W 0

AT90S2333/4433

2,1,0 - CS02, CS01, CS00 -

Ɉɩɢɫɚɧɢɟ Ɍɚɣɦɟɪ/ɫɱɟɬɱɢɤ ɨɫɬɚɧɨɜɥɟɧ CK CK/8 CK/64 CK/256 CK/1024 ȼɧɟɲɧɢɣ ɜɵɜɨɞ T0, ɧɚɪɚɫɬɚɸɳɢɣ ɮɪɨɧɬ ȼɧɟɲɧɢɣ ɜɵɜɨɞ T0, ɫɩɚɞɚɸɳɢɣ ɮɪɨɧɬ

/

/ (0 -

1 CS01 R\W 0

0 CS00 R\W 0

0.

.Э Ɍɚɛɥɢɰɚ 8. ȼɵɛɨɪ ɤɨɷɮɮɢɰɢɟɧɬɚ ɩɪɟɞɜɚɪɢɬɟɥɶɧɨɝɨ ɞɟɥɟɧɢɹ

CS02 CS01 CS00 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 Stop .

5 R 0

).

.

.

ɌȺɃɆȿɊ/ɋɑȿɌɑɂɄ 0 - TCNT0. TCNT0 7 MSB R\W 0

32h(52h)

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

/

0 LSB R\W 0

. ,

/

/

, .

16-ɊȺɁɊəȾɇɕɃ ɌȺɃɆȿɊ/ɋɑȿɌɑɂɄ 1 16(CK/8, CK/64, CK/256 TCCR1A.

/ 1 CK/1024), (

- CK, ,

) TIMSK.

1 /

1

TIFR.

,

.

, .

.

16-

/

1

, . .

/

1

OCR1A .

/ OCR1

.

1

8-, 9-

10-

-

. .

. /

1

ICR1 TCCR1.

- ICP. , ,

, 4-

.

ɊȿȽɂɋɌɊ A ɍɉɊȺȼɅȿɇɂə ɌȺɃɆȿɊɈɆ/ɋɑȿɌɑɂɄɈɆ 1 - TCCR1A

2Fh(4Fh)

7 COM1A1 R\W 0

6 COM1A0 R\W 0

5 R 0

4 R 0

7,6 - COM1A1, COM1A0:

,

3 R 0

1 /

2 R 0

1 PWM11 R\W 0

0: Э 1. .

OC1 , 9.

, TIMSK.

COM1A1 ,

5..2 -

(

.

.

0 PWM10 R\W 0

.

COM1A0

, .

AT90S2313

0. :Э

1,0 - PWM11, PWM10: . 10).

/

1

. 9.

COM1A1 COM1A0 0 0 0 1 1 0 1 1

Ɉɩɢɫɚɧɢɟ 1

/

(0) (1)

OC1 OC1 OC1 OC1

10. PWM11 0 0 1 1

Ɉɩɢɫɚɧɢɟ

PWM10 0 1 0 1

8 9 10

ɊȿȽɂɋɌɊ B ɍɉɊȺȼɅȿɇɂə ɌȺɃɆȿɊɈɆ/ɋɑȿɌɑɂɄɈɆ 1 - TCCR1B

2Eh(4Eh)

7 ICNC1 R\W 0

6 ICES1 R\W 0

5 R 0

7 - ICNC1: .

4 R 0

3 CTC1 R\W 0

:

2 CS12 R\W 0

1 CS11 R\W 0

0 CS10 R\W 0

(0), (

) ICP.

ICP.

ICES1. 6 - ICES1:

5,4 -

:

.

AT90S2333/4433

ICES1 ICP.

. (0)

/ -

1 .

0.

3 - CTC1:

1 . /

:

(1), ,

/

/

1

1

0000h

,

. ,

1

.

1,

CTC1,

: ... -1, , +1,0,1... 8: ... -1, -1, -1, -1, -1, -1, -1, -1, , , , , , , , , +1,0,0,0,0,0,0,0,0,1... . :Э

2,1,0 - CS12, CS11, CS10:

/

11. CS12 CS11 CS10 0 0 0 Ɍɚɣɦɟɪ/ɫɱɟɬɱɢɤ 1 ɨɫɬɚɧɨɜɥɟɧ 0 0 1 CK 0 1 0 CK/8 0 1 1 CK/64 1 0 0 CK/256 1 0 1 CK/1024 1 1 0 ɋɩɚɞɚɸɳɢɣ ɮɪɨɧɬ ɧɚ ɜɵɜɨɞɟ T1 1 1 1 ɇɚɪɚɫɬɚɸɳɢɣ ɮɪɨɧɬ ɧɚ ɜɵɜɨɞɟ T1 Stop .

/

/ (0 -

.

). ɌȺɃɆȿɊ/ɋɑȿɌɑɂɄ 1 - TCNT1H ɂ TCNT1L TCNT1H

2Dh(4Dh)

7 MSB R\W 0

6 R\W 0

5 R\W 0

4 R\W 0

3 R\W 0

2 R\W 0

1 R\W 0

0 R\W 0

TCNT1L 7

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

2Ch(4Ch)

Э

16-

,

/

, OCR1

ICR1.

1. (TEMP). Э

-

0 LSB R\W 0

1.

TEMP

, .

-

1: ,

,

TCNT1H, TEMP TCNT1H. TCNT1L, 1616-

16-

/

1: TEMP, TEMP.

TEMP. /

1.

, ,

TCNT1H TCNT1H,

.

TCNT1L. /

1

(

-

.

/

/

)

1,

,

. ɊȿȽɂɋɌɊ ɋɈȼɉȺȾȿɇɂə ɌȺɃɆȿɊȺ/ɋɑȿɌɑɂɄȺ 1 - OCR1H ɂ OCR1L OCR1H 7 MSB R\W 0

2Bh(4Bh)

6

5

4

3

2

1

0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

OCR1L 7

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

0 LSB R\W 0

3Dh(5Dh)

16-

,

. /

/

1

1. OCR1A ,

.

, , OCR1AL. TCNT1 TEMP

,

, 16-

, (TEMP). OCR1AH .

, ICR1,

TEMP ,

.

ɊȿȽɂɋɌɊ ɁȺɏȼȺɌȺ ɌȺɃɆȿɊȺ/ɋɑȿɌɑɂɄȺ 1 - ICR1H ɂ ICR1L ICR1H 7 MSB R\W 0

25h(45h)

6

5

4

3

2

1

0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

ICR1L 7

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

0 LSB R\W 0

24h(44h)

16-

. ICES1)

(

ICP ICF1.

ICR1. ,

/

16-

, ,

ICR1H

ICR1L, ,

(TEMP). 16-

TEMP

TCNT1 ,

,

.

. .

1

OCR1,

TEMP

.

ɌȺɃɆȿɊ/ɋɑȿɌɑɂɄ 1 ȼ ɊȿɀɂɆȿ ɒɂɆ -

(

10-

" /

),

/

1

OCR1A

"

1

0

( ,

8, 9

10COM1A1

8, 9 PB3(OC1).

,

OCR1A, TCCR1 ( .

COM1A0

.

.10). .

PD1(OC1) .11).

12.

.

8 9 10

00FFh (255) 01FFh (511) 03FFh (1023)

Ftc1/510 Ftc1/1022 Ftc1/2046

13. COM1A1 COM1A0 0 0 0 1 1 0

OC1

, (

1

)

,

1

( ,

)

OCR1A, 10 /

.

(

),

OCR1A. OCR1 OC1

OCR1, 0000h COM1A0. Э

(TOP), . 14.

.

OCR1A

,

14.

COM1A1

OCR=0000h

COM1A1 COM1A0 1 0 1 0 1 1 1 1

OCR1A 0000h TOP 0000h TOP

TOP OC1

1 (TOV1) 1

/

TOV1

0000h. ,

, . .

.

.

ɋɌɈɊɈɀȿȼɈɃ ɌȺɃɆȿɊ 1 MHz ( 5 ).

16

2048 mS.

WDR

.

8-

,

WDR WDR, AT90S2313

,

. ,

. , ,

WDTCR.

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɋɌɈɊɈɀȿȼɕɆ ɌȺɃɆȿɊɈɆ – WDTCR WDTCR 7

6

5

4 WDTOE R 0

21h(41h) R 0

R 0

7..5 -

.

R 0

2 WDP2 R\W 0

AT90S2333/4433

1 WDP1 R\W 0

0 WDP0 R\W 0

0.

4 - WDTOE , 3 - WDE-

3 WDE R\W 0

.

WDE

.

(1). .

,

.

(1),

.

,

,

WDTOE

1.

: 1.

1

2.

WDTOE

WDE.

WDE WDE

0,

. 2..0 - WDP2..0 -

.

, .

15 Vcc=5V.

15.

WDP2 0 0 0 0 1 1 1 1

WDP1 0 0 1 1 0 0 1 1

ɩɟɪɢɨɞ ɜɪɟɦɟɧɢ 16K ɰɢɤɥɨɜ 32K ɰɢɤɥɨɜ 64K ɰɢɤɥɨɜ 128K ɰɢɤɥɨɜ 256 ɰɢɤɥɨɜ 512 ɰɢɤɥɨɜ 1024 ɰɢɤɥɨɜ 2048 ɰɢɤɥɨɜ

WDP0 0 1 0 1 0 1 0 1

ɑɌȿɇɂȿ ɂ ɁȺɉɂɋɖ ȼ ɗɇȿɊȽɈɇȿɁȺȼɂɋɂɆɍɘ ɉȺɆəɌɖ (EEPROM) .Э . EEPROM. EEPROM

2.5-4 mS , .

/ ,

. , EEPROM

EEPROM

,

, EEPROM EEPROM

. 2

. . ɊȿȽɂɋɌɊ ȺȾɊȿɋȺ EEPROM – EEAR

1Eh(3Eh)

7 EEAR7 R\W 0

6 EEAR6 R\W 0

5 EEAR5 R\W 0

EEAR 0 127/255.

4 EEAR4 R\W 0

3 EEAR3 R\W 0

128/256

2 EEAR2 R\W 0

1 EEAR1 R\W 0

0 EEAR0 R\W 0

EEPROM. EEAR

,

EEPROM

.

ɊȿȽɂɋɌɊ ȾȺɇɇɕɏ EEPROM – EEDR

1Dh(3Dh)

7 MSB R\W 0

7..0 - EEDR7..0 EEAR. EEAR.

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

EEPROM.

EEDR

,

0 LSB R\W 0

EEPROM EEPROM

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə EEPROM - EECR 7

6

5

4

3 EERIE R 0

1Ch(3Ch) R 0

R 0

7..4 -

.

R 0

EEPROM.

I

SREG EEWE.

, .Э

,

0 EERE R\W 0

0.

EEPROM. Э

2 - EEMWE EEMWE EEWE .

1 EEWE R\W 0

AT90S2333/4433

3 - EERIE -

,

EERIE,

EEWE.

EEWE

EEPROM.

,

.

1 - EEWE EEWE EEPROM.

R 0

2 EEMWE R\W 0

EEPROM. EEPROM EEMWE,

EEWE

EEPROM. "1"

EEWE.

EEPROM

(

1 2 3 4 5

EEWE EEAR ( EEDR ( 1 EEMWE EEMWE

4 (

2.5 mS

Vcc=5V

2

3

):

) ) EEWE

4mS ,

Vcc=2.7),

EEWE

. .

EEWE,

.

0 - EERE -

EEPROM. EEAR,

EERE EERE.

EEPROM. EERE EERE.

EEPROM . EEWE,

, .

, EERE,

EEDR.

ɉɈɋɅȿȾɈȼȺɌȿɅɖɇɕɃ ɂɇɌȿɊɎȿɃɋ SPI SPI

AT90S2333/4433 :

. SPI1. 2. 3. 4. 5. 6. 7.

3-

; ; ; ; ;

Idle. .

PB5(SCK) SPI PB3(MOSI)

. .

PB3(MOSI) (

SPIE

SPCR),

. (SPIF). PB2(SS)

.

. 16-

.

,

.

. .

SPI

,

.

. SS

.

/

SPI

MOSI, MISO, SCK

. 16.

SPI

MOSI MISO SCK SS

ɊȺȻɈɌȺ ȼɕȼɈȾȺ SS SPI SS.

(

MSTR

SS

SPCR),

,

SPI.

/

,

,

SPI

SS

. SPI

, .

SPI MSTR SPCR, SPIF SPSR, SPI

1. 2.

: SPI

.

MOSI

.

MSTR.

MSTR

,

.

SPI

, . .

. SS

,

SPI

SCK

,

, MISO, SS

SS

. , ,

.

SS

, .

. ,

SPI

Ɋɟɠɢɦɵ ɨɛɦɟɧɚ ɞɚɧɧɵɦɢ CPHA

CPOL

SCK .

.

.

SCK, CPOL=0 CPOL=1

,

CPHA SCK (

CPOL

, . ,

CPOL=0

CPHA=1

CPHA=0 CPOL=1 -

).

SCK. ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə SPI – SPCR 7 SPIE R\W 0

0Dh(2Dh)

6 SPE R\W 0

5 DORD R\W 0

7 - SPIE -

4 MSTR R\W 0

3 CPOL R\W 0

SPI -

2 CPHA R\W 1

,

SPI,

1 SPR1 R\W 0

SPIF

SPSR

. SPI - Э

6 - SPE -

0 SPRO0 R\W 0

SPI.

5 - DORD –

-

,

.

.

4 - MSTR -

/ .

-

SPI

,

SS

MSTR,

MSTR

SPIF

SPSR.

. - Э

3 - CPOL -

SCK, .

, , 2 - CPHA CPHA=0 CPOL=1 -

. .-Э SCK (

).

. ,

CPOL=0

CPHA=1

SCK. SPI. - Э

1,0 - SPR1,SPR0 . SCK

SPI .

: 17. SPR1 0 0

CPOL=0 CPOL=1

SPR0 0 1

SCK SCK Fck/4 Fck/16

SPR1 1 1

SPR0 0 1

SCK Fck/64 Fck/128

ɊȿȽɂɋɌɊ ɋɈɋɌɈəɇɂə SPI – SPSR 7 SPIF R 0

0Eh(2Eh)

6 WCOL R 0

7 - SPIF -

5

4 -

R 0

-

R 1

5..0 -

.

R 0

,

SPIF,

, SPIF ,

SS,

SPI.

.-Э ,

)

R 0

.

SPSR 6 - WCOL -

0

SPIF

.Э SPI .

,

1 -

R 0

SPCR ,

2 -

R 0

SPI. SPIE

3

SPDR .

.

SPIF, WCOL SPDR. 0.

SPSR AT90S2333/4433

(

SPI

EEPROM SPI

,

. ɊȿȽɂɋɌɊ ȾȺɇɇɕɏ SPI – SPDR

0Fh(2Fh)

7 MSB R\W 0

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

0 LSB R\W 0

SPI SPI.

.

.

ɍɇɂȼȿɊɋȺɅɖɇɕɃ ȺɋɂɇɏɊɈɇɇɕɃ ɉɊɂȿɆɈ-ɉȿɊȿȾȺɌɑɂɄ AT90S2333/4433 -8 -

(UART),

:

9

-

,

.

. ɉɟɪɟɞɚɱɚ ɞɚɧɧɵɯ /

UART - UDR.

UDR : -

UDR

.

. -

UDR

.

,

. UART - USR

-

, UART ( (

),

CHR9 ,

,

UDR

9 TXB8

UCR),

10(11)-

10 UCR

,

(

).

. TXD.

.

,

,

. , UDRE

UDR TXD TXEN UCR . TXEN DDRD.

0

9-

9-

,

UDR

/ DDD1

- UDRE/

.

UDRE. UDR. ,

USR

- TXC.

. ,

TXEN,

PD1

UART

PD1

RXD 16

,

16

( ).

, . RXD.

, 1 0. 8, 9 10

, . 1. UDR

FE. . UDR

RXC

,

, ,

-

.

,

USR

10

,

.

RXB8

8, 9 ,

-

.

UCR.

USR. .

9-

FE UDR UDR

9UDR

- OR.

,

, ,

UCR -

UDR UDR.

.

OR

, . /

DDD0

OR RXEN .

UCR RXEN,

UDR .

PD0 PD0

-

DDRD. .

,

. , , . CHR9

9-

(

UCSRB).

1,

. (

8CHR9

9-

.

UCSRB),

. 9-

,

1.

.

1. 2.

(

MPCM UCSRA) .

, RXC UCSRA.

3.

UDR

.

MPCM UCSRA,

,

.

4.

(RXC 8-

(FE MPCM, .

5.

9-

,

UCSRA), , 2.

UDR

,

UCSRA). 0. RXC

FE

ɍɩɪɚɜɥɟɧɢɟ UART ɊȿȽɂɋɌɊ ȼȼɈȾȺ/ȼɕȼɈȾȺ UART 7 MSB R\W 0

0Ch(2Ch)

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 1

R\W 0

UDR

0 LSB R\W 0

, ,

.

-

.

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɂ ɋɈɋɌɈəɇɂə UART (UɋSRA) 7 RXC R 0

0Bh(2Bh)

6 TXC R\W 0

5 UDRE

4 FE R\W 0

R 1



7 - RXC -

3 OR R\W 0

2

1 -

-

R\W 1

R\W 0

0 MPCM R\W 0

1

UDR.

.

UCR,

RXCIE . RXC

UDR.

,

UDR

RXC,

. 6 - TXC )

. Э UDR

,

1

(



, .

TXIE

UCR,

TXC .

5 - UDRE -

UART



1

. UDRIE

. TXC 1.

, ,

UCR, UDR. UDR, 1,

. .

0.

. . , . .

FE

. .Э

3 - OR -

, . . , . .



, ,

UDRE

, UDRE,



4 - FE -

UDR

,

UDR

.

UDR

OR

UDR. 2..1 Э

.

AT90S2333/4433

0. .Э

0 - MPCM -

. .

MPCM

.

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɂ ɋɈɋɌɈəɇɂə UART (UɋSRB) 7 RXCIE R\W 0

0Ah(2Ah)

6 TXCIE R\W 0

5 UDRIE R\W 0

4 RXEN R\W 0

7 - RXCIE UCSRA

3 TXEN R\W 0

2 CHR9 R\W 1

.

1 RXB8 R 0

(1),

RXC

(

6 - TXCIE -

0 TXB8 W 0

).

.

,

(

,

TXC

5 - UDRIE UDRE UCSRA ).

.

, UART (

4 - RXEN , TXC, OR

. FE

.

3 - TXEN -

UCSRA

).

,

RXEN

.

,

UART. . UART.

, ,

UDR.

2 - CHR9 - 9-

.

, RXB8

9-

9 TXB8

. 9-

. 1 - RXB8 -

8

.

CHR9,

0 - TXB8 -

8

.

CHR9,

99-

. .

.

ȽȿɇȿɊȺɌɈɊ ɋɄɈɊɈɋɌɂ ɉȿɊȿȾȺɑɂ , : BAUD = Fck / (16*(UBRR+1)) BAUD ( ) Fck UBRR UBRRH

UBRR (0...4095) UBRR . .18

., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200 ., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200 ., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200

1.0000 MHz 25 12 6 3 2 1 1 0 0 0 3.2768 MHz 84 42 20 13 10 6 4 3 2 1 7.3728 MHz 191 95 47 31 23 15 11 7 5 3

. % 0.2 0.2 7.5 7.8 7.8 7.8 22.9 7.8 22.9 84.3 . % 0.4 0.8 1.6 1.6 3.1 1.6 6.3 12.5 12.5 12.5 . % 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0

., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200 ., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200 ., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200

1.8432 MHz 47 23 11 7 5 3 2 1 1 0 3.6864 MHz 95 47 23 15 11 7 5 3 2 1 8.0000 MHz 207 103 51 34 25 16 12 8 6 3

. % 0 0 0 0 0 0 0 0 33.0 0 . % 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 . % 0.2 0.2 0.2 0.8 0.2 2.1 0.2 3.7 7.5 7.8

., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200 ., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200 ., 2400 4800 9600 14400 19200 28800 38400 57600 76800 115200

2.0000 MHz 51 25 12 8 6 3 2 1 1 0 4.0000 MHz 103 51 25 16 12 8 6 3 2 1 9.2160 MHz 239 119 59 39 29 19 14 9 7 4

. % 0.2 0.2 0.2 3.7 7.5 7.8 7.8 7.8 22.9 7.8 . % 0.2 0.2 0.2 2.1 0.2 3.7 7.5 7.8 7.8 7.8 . % 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 6.7 0.0

ɊȿȽɂɋɌɊ ɋɄɈɊɈɋɌɂ ɉȿɊȿȾȺɑɂ (UBRR) UBRRHI 7

6

5

4

3 MSB R\W 0

03h(23h) R 0

R 0

R 0

R 0

2

1 -

0 -

R\W 0

R\W 0

R\W 0

UBRR 7

6

5

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

R\W 0

0 LSB R\W 0

09h(29h)

Э

12-

, .

UBRRHI

4

, UBRR - 8

.

ȺɇȺɅɈȽɈȼɕɃ ɄɈɆɉȺɊȺɌɈɊ PD7(AIN1). Comparator Output). ,

PD6 (AIN0) , / .

ACO (Analog 1.

,

.

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɂ ɋɈɋɌɈəɇɂə ȺɇȺɅɈȽɈȼɈȽɈ ɄɈɆɉȺɊȺɌɈɊȺ (ACSR). ACSR 7 ACD R\W 0

08h(28h)

6 AINBG R 0

5 ACO

4 ACI R\W 0

R 0

7 - ACD .

3 ACIE R\W 0

2 ACIC R\W 1

.

1 ACIS1 R\W 0

0 ACIS0 R\W 0

, .

.

ACD

ACIE

ACSR.

.

6 - AINBG 1.22 + 0.05 .

.

,

AIN0

AIN0.

5 - ACO -

.

ACO

. .Э ACIS1

4 - ACI ACIE (1) . 3 - ACIE -

ACIS0. I ACI -

. ACI .

. .

2 - ACIC -

I (0),

,

.

.

,

/

1

. ,

. , /

1,

TICIE1

1,0 - ACIS1, ACIS0 -

. TIMSK. .

19.

.

ACIS1/ACIS0

ACIS1 ACIS0 0 0 0 1 1 0 1 1 :

ACIS1/ACIS0 ACSR.

.

ȺɇȺɅɈȽɈ-ɐɂɎɊɈȼɈɃ ɉɊȿɈȻɊȺɁɈȼȺɌȿɅɖ : -6 -

10 +- 1/2 65-260 uS

Sleep

AT90S2333/4433 . ,

10-

. C. . AVCC

VCC

AGND.

GND,

AVCC AREF. Э

+-0.3 . AGND-AVCC. ɊȺȻɈɌȺ Ⱥɐɉ -

. .

.

ADFR

ADMUX

ADCSR.

. .

"1" "

(ADEN ,

13.

25

- ADCS. Э .

1 , ,



-

. 10

ADCH

ADCSR). .

"

,

-

ADCL,

.

, . Э

:



ADCL. ADCL .

ADCH.

, (

ADCL

,

ADCH, .

ADCH),

. 50-200 kHz.

13

260 uS. . ADPS0-ADPS2.

, . .

65

100 ADEN ,

ADEN

. , ,

ADEN , :

ADCSR.

, .

1.

,

. .

ADEN=1 ADSC=0 ADFR=0 ADIE=1 2. 3.

.

. , .

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɆɍɅɖɌɂɉɅȿɄɋɈɊɈɆ Ⱥɐɉ – AMUX

07h(27h)

7 R\W 0

7-

6 ADCBG R 0

5

4 -

R 0

R\W 0

; AT90S2333/4433

6 - ADCBG 1.22+-0.05 , 5..3 2..0 - MUX2..MUX0 .

3 -

R\W 0

2 MUX2 R\W 1

1 MUX1 R\W 0

0 MUX0 R\W 0

0. -

, .

MUX2..MUX0.

AT90S2333/4433

0. .

ɊȿȽɂɋɌɊ ɍɉɊȺȼɅȿɇɂə ɂ ɋɈɋɌɈəɇɂə Ⱥɐɉ – ADCSR ADCSR 7 ADEN R\W 0

06h(26h)

6 ADSC R\W 0

7 - ADEN .

5 ADFR R\W 0

4 ADIF R\W 0

.-

3 ADIE R\W 0

2 ADPS2 R\W 1

1 ADPS1 R\W 0

"1"

. ,

6 - ADSC -

0 ADPS0 R\W 0

"0" .

. "1".

ADSC .

ADSC

ADSC,

" ADSC

. ,

"

. .Э

, .

"

"

,

. ADSC

.

. 5 - ADFR -

. -

,

.

. . .-Э

4 - ADIF .

(I

SREG) ADIE, ADIF "1". .Э

. . ,

SBI

-

-

ADCSR

CBI.

3 - ADIE -

.-

I

SREG

. . - Э

2..0 - ADPS2..ADPS0 . 20. ADPS2 ADPS1 ADPS0 0 0 0 0 0 1 0 1 0 0 1 1

. 2 2 4 8

ADPS2 ADPS1 ADPS0 1 0 0 1 0 1 1 1 0 1 1 1

. 16 32 64 128

0

ɊȿȽɂɋɌɊ ȾȺɇɇɕɏ Ⱥɐɉ - ADCL ɂ ADCH ADCH 7 -

05h(25h)

6 -

R 0

5

4 -

R 0

R 0

3 -

2 MSB

-

R 0

R 0

1

R 0

R 0

0 R 0

ADCL 7

6

5

4

3

2

1

0 LSB

04h(24h) R 0

R 0

R 0

R 0

R 0

R 1

R 0

R 0

ɋɤɚɧɢɪɨɜɚɧɢɟ ɚɧɚɥɨɝɨɜɵɯ ɤɚɧɚɥɨɜ , . .

:

-

,

-

ADIF.

, .

ADMUX .

, -

,

ADMUX

,

,

. Ɍɟɯɧɢɤɚ ɩɨɞɚɜɥɟɧɢɹ ɲɭɦɚ Ⱥɐɉ AT90S2333/4433

,

.

,

: 1. .

.

2.

. .

3.

AVcc

4. 5.

-

Vcc

RC

,

: . ,

.

ɏȺɊȺɄɌȿɊɂɋɌɂɄɂ Ⱥɐɉ (T=-40...+85 ɝɪɚɞ.) 10 0.2( ),0.5( 0.2( ),0.5( 1( ) . . 65...260 50...200 Vcc+-0.3 ( Agnd...AVcc 6..10( )..13 100 ( )

(Vref>2V), (Vref>2V),

. (

)

AVcc .

. .

.

.

.

.

) ) .

. .

. .

. .

6 )

ɉɈɊɌɕ ȼȼɈȾȺ/ȼɕȼɈȾȺ B B 6-

/

.

: 37h)

B - PINB (16h, 36h). /

PORTB (18h, 38h),

- DDRB (17h,

B

,

.

mA

. PB0..PB5

. ,

B

, B

(Iil).

20. 21.

PB0 PB1 PB2 PB3 PB4 PB5

B

ICP ( OC1 ( SS ( MOSI ( MISO ( SCK (

. .

/

1) ,

1) SPI)

/

SPI) SPI)

/ SPI)

DDRB

PORTB

20

ɊȿȽɂɋɌɊ ȾȺɇɇɕɏ ɉɈɊɌȺ B PORTB 7 R\W 0

18h(38h)

6 R\W 0

5 PORTB5 R\W 0

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

0 PORTB0 R\W 0

ɊȿȽɂɋɌɊ ɇȺɉɊȺȼɅȿɇɂə ȾȺɇɇɕɏ ɉɈɊɌȺ B DDRB 7 R\W 0

17h(37h)

6 R\W 0

5 DDB5 R\W 0

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

0 DDB0 R\W 0

ȼɕȼɈȾɕ ɉɈɊɌȺ B PINB 7 -

16h(36h)

6 -

R 0

PINB

5 PINB5 R\W Z

R 0

4

3

2

1

R\W Z

R\W Z

R\W Z

R\W Z

0 PINBO R\W Z

,

B.

PORTB, .

-

,

PINB

ɉɈɊɌ B, ɄȺɄ ɉɈɊɌ ȼȼɈȾȺ/ȼɕȼɈȾȺ ɈȻɓȿȽɈ ɇȺɁɇȺɑȿɇɂə 6 DDBn

B

/

.

DDRB (0) -

. .

(1),

. ,

PORTBn , PORTBn

.

(0)

. 22. DDBn PORTBn 0 0 0 1 1 0 1 1

/

DDBn

B

. PBn

Iil, 0 1

n = 5...0 -

ȺɅɖɌȿɊɇȺɌɂȼɇɕȿ ɎɍɇɄɐɂɂ PORTB SCK - PORTB, Bit 5 -

SPI.

SPI

,

DDB5.

, , MISO - PORTB, Bit 4 PB4

, DDB4.

DDB5. . SPI

PORTB5. SPI. SPI

SPI. , ,

DDB4. MOSI - PORTB, Bit 3 -

PORTB4. SPI.

, DDB3.

SPI

,

SPI ,

DDB3. PORTB3. SPI. SS - PORTB, Bit 2. DDB2. SPI

.

.

, .

SPI

DDB2. PORTB2. OC1 - PORTB, Bit 1.

, ,

. PB1

.

, SPI.

.

SPI. / (DDB1=1).

.

OC1 .

ICP - PORTB, Bit 0.

.

PB0

/ .

.

1. .

1.

ɉɨɪɬ C C 6-

/

.

: 34h)

C - PINC (13h, 33h). / C

PORTC (15h, 35h),

- DDRC (14h,

C

,

. 20 mA

. .

PC0..PC5

, (Iil). ,

, C-

. .



Vcc/2

. ɊȿȽɂɋɌɊ ȾȺɇɇɕɏ ɉɈɊɌȺ C – PORTC 7 -

15h(35h)

6 -

R 0

R 0

5 PORTC5 R\W 0

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

0 PORTC0 R\W 0

ɊȿȽɂɋɌɊ ɇȺɉɊȺȼɅȿɇɂə ȾȺɇɇɕɏ ɉɈɊɌȺ B DDRC 7 -

14h(34h)

6 -

R 0

R 0

5 DDC5 R\W 0

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

0 DDC0 R\W 0

ȼɕȼɈȾɕ ɉɈɊɌȺ B PINC 7 -

13h(33h)

6 -

R 0

PINC

R 0

5 PINC5 R\W Z

4

3

2

1

R\W Z

R\W Z

R\W Z

R\W Z

, PORTC, .

0 PINC0 R\W Z

C. -

,

PINC

ɉɈɊɌ C, ɄȺɄ ɉɈɊɌ ȼȼɈȾȺ/ȼɕȼɈȾȺ ɈȻɓȿȽɈ ɇȺɁɇȺɑȿɇɂə 6 DDCn

C

/

.

DDRC (0) -

.

(1),

.

. ,

PORTCn , PORTCn

.

(0)

. 23. DDC 0 0 1 1

PORTC 0 1 0 1

DDCn

In\Out 0 1 0 1

C

PBn

Iil, 0 1

ɉɨɪɬ D D 8-

/

.

: 31h)

D - PIND (10h, 30h). / D

PORTD (12h, 32h),

- DDRD (11h,

D

,

. 20 mA

PD0..PD7

. . ,

,

(Iil).

.

24.

PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7

RXD ( TXD ( INT0 ( INT1 ( T0 ( T1 ( AIN0 ( AIN1 (

D

UART) UART) 0) 1) 0) 1) .

) )

ɊȿȽɂɋɌɊ ȾȺɇɇɕɏ ɉɈɊɌȺ D – PORTD 7 -

15h(35h) R 0

6 R 0

7 -

14h(34h) R 0

6 -

5 DDD5 R\W 0

R 0

7 -

13h(33h)

5 PORTD5 R\W 0

R 0

6 -

5 PIND5 R\W Z

R 0

PIND

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

4

3

2

1

R\W 0

R\W 0

R\W 0

R\W 0

4

3

2

1

R\W Z

R\W Z

R\W Z

R\W Z

0 PORTD0 R\W 0

0 DDD0 R\W 0

0 PIND0 R\W Z

,

D.

PORTD, .

-

,

PIND

ɉɈɊɌ D, ɄȺɄ ɉɈɊɌ ȼȼɈȾȺ/ȼɕȼɈȾȺ ɈȻɓȿȽɈ ɇȺɁɇȺɑȿɇɂə DDDn

DDRD (0) -

.

(1),

.

PORTDn , PORTDn

.

. , (0)

. 25. DDDn 0 0 1 1

PORTDn 0 1 0 1

DDDn

D

In\Out PDn

Iil, 0 1 D

AIN1 -

D,

7 -

. ,

Vcc/2

(DDD7=0) .



.

AIN0 -

D,

6 -

. ,

Vcc/2

(DDD6=0) .



.

T1 -

D,

5-

/

1.

.

.

T0 -

D,

4-

/

0.

.

.

INT1 -

D,

3-

1.

.

.

INT0 -

D,

2-

0.

.

.

TXD -

D,

1-

UART.

UART,

DDRD1

. RXD -

D,

0-

UART. UART

. .

UART,

DDRD0 ,

PORTD0

ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂȿ ɉȺɆəɌɂ ,

(1)

(0),

20. 26.

1 2 3

LB1 1 0 0

LB2 1 1 0

EEPROM 2, : Ȼɢɬɵ ɤɨɧɮɢɝɭɪɚɰɢɢ (Fuse bits)

AT90S2333/4433

- SPIEN, BODLEVEL, BODEN,

CKSEL[2:0].

SPIEN (0) . (0). BODLEVEL. - 2.7 ,

. . -4 .

BODEN.

. (0),

.

CKSEL[2:0]. 4 010 - 64mS+16K CK.

. .

Atmel AT90S4433 1. $000: $1E 2. $001: $92 - 4 3. $002: $01 1. $000: $1E 2. $001: $91 - 2 3. $002: $05 -

(1),

3-



: - Atmel $01=$92 - /

AT90S4433 - Atmel

$01=$92 - /

AT90S2333

AT90S2333:

,



ɉɪɨɝɪɚɦɦɢɪɨɜɚɧɢɟ ɮɥɷɲ ɩɚɦɹɬɢ ɢ EEPROM AT90S2333/4433

2

4

256 (

.

FFh)

.

(12 ) +12

,

(

.

). EEPROM

. .

ɉɚɪɚɥɥɟɥɶɧɨɟ ɩɪɨɝɪɚɦɦɢɪɨɜɚɧɢɟ. ,

,

.

, .

. 27. / .

XA0

RDY/BSY

PD1

OE WR BS XA0 XA1

PD2 PD3 PD4 PD5 PD6

0: 1: (

0)

(

0)

XTAL XTAL

XA1

0 1 XTAL1.

: 28. XA1 XA0 0 0

XA1

XA0

XTAL1 (

/

BS 0 1 1

(

1 0 1

WR

/

BS)

,

OE ,

. 29. 29.

7 6 5 4 3 2 1 0

, D3-BODEN, D2-D0-CKSEL (0 , (

,1 0) (

, BODLEVEL, D3-BODEN, D2-D0 -CKSEL (

: D5-SPIEN, D4-BODLEVEL, ) : D2 - LB2, D1 - LB1

BS=1) ( ,1

0)

D2-LB2, D1-LB1 ( 0)

: D5-SPIEN, D4BS=0)

. -

ȼɏɈȾ ȼ ɊȿɀɂɆ ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂə : 1. 2. 3. BS.

4.5...5.5 RESET BS 0 12 RESET

. 100

.

100 BS

,

.

-

ɋɌɂɊȺɇɂȿ ɄɊɂɋɌȺɅɅȺ ,

.

.

1. 2. 3. 4.

.(

). " " XA1,XA0 '10'. Э BS 0 PC(1..0) PB(5..0) '1000 0000'. Э XTAL1. XTAL1, . 10

. . . WR, .

RDY/BSY.

ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂȿ ɎɅɗɒ ɉȺɆəɌɂ 1. 2. 3. 4.

" XA1,XA0 '10'. Э BS 0 PC(1..0) PB(5..0) '0001 0000'. Э XTAL1.

1. 2. 3. 4.

XA1,XA0 '00'. Э BS 0. Э PC(1..0) PB(5..0)

1. 2. 3. 4.

XA1,XA0 '00'. Э BS 1. Э PC(1..0) PB(5..0)

1. 2. 3.

XA1,XA0 '01'. Э PC(1..0) PB(5..0)

1. 2. 3.

1. 2. 3.

" .

.

. . . XTAL1.

.

. . . ($00..$01) XTAL1.

.

. . XTAL1.

BS '0'. Э WR

.

. .Э

. RDY/BSY ,

XA1,XA0 '01'. Э PC(1..0) PB(5..0)

RDY/BSY

. . XTAL1.

. .

.

BS '1'. Э WR

1. 2. 3.

. .Э

. RDY/BSY ,

.

RDY/BSY

. ,

. * * (256

).

ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂȿ ɉȺɆəɌɂ ȾȺɇɇɕɏ ( ,

):

1. 2. 3. 4.

'0001 0001'. (00h - 7Fh/FFh). WR

RDY/BSY 1. . ɑɌȿɇɂȿ ɉȺɆəɌɂ ɉɊɈȽɊȺɆɆ (

,

): 1. 2. 3. 4. 5. 6.

'0000 0010' ($00 - $FF) ($00 - $03/$07) OE '0', BS '0'. BS '1'. OE '1'.

PC(1..0) PB(5..0)

.

PB

. . ɑɌȿɇɂȿ ɉȺɆəɌɂ ȾȺɇɇɕɏ (

,

): 1. 2. 3. 4.

'0000 0011' (00h - 7Fh) OE '0', BS '0'. OE '1'.

PC(1..0) PB(5..0)

. .

ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂȿ ȻɂɌɈȼ ɄɈɇɎɂȽɍɊȺɐɂɂ ( ,

):

1. 2.

'0100 0000' 5=0 4=0 4=1 3=0 3=1 2-0 =0 -

-

SPIEN, 5=1 BODLEVEL, BODLEVEL BODEN, BODEN CKSEL,

SPIEN

3.

2-0 =1 WR

CKSEL RDY/BSY

.

ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂȿ ȻɂɌɈȼ ȻɅɈɄɂɊɈȼɄɂ ( , 1. 2.

): '0010 0000'

2=0 1=0 -

LB2 LB1

3.

WR

RDY/BSY .

.

ɑɌȿɇɂȿ ȻɂɌɈȼ ɄɈɇɎɂȽɍɊȺɐɂɂ ɂ ȻɅɈɄɂɊɈȼɄɂ ( 1. 2.

3.

3.

, '0000 0100' OE '0', BS '0'. 5 - SPIEN - '0' 4 - BODLEVEL - '0' 3 - BODEN - '0' 2-0 - CKSEL - '0' OE '0', BS '1'. 2 - LB2 - '0' 1 - LB1 - '0' OE '1'.

): PC(1..0) PB(5..0)

.

PC(1..0) PB(5..0)

.

ɑɌȿɇɂȿ ȻȺɃɌɈȼ ɋɂȽɇȺɌɍɊɕ (

, 1. 2. 3.

): 0000 1000 ($00 - $02),

OE

BS

"0".

PC(1..0) PB(5..0). OE "1". .

31. T=21..27 ±C, Vcc=4.5-5.5V tDVXH

.

.

.

Min 67

.

Typ

Max nS

XTAL1 tXHXL tXLDH

XTAL

67 67

nS nS

67 67

nS nS nS nS nS mS

XTAL1 = 1 tBVVL tWLWH tWHRL tXLOL tOLDV tWLRH

BS

WR WR WR XL XL WR

:

tWHRL

1 0 0 0

0

RDY/BSY OE 0

0(

RDY/BSY

1(

,

)

20 67

)

tWLRH

0.5

20 0.7 RDY/BSY

0.9

.

ɉɈɋɅȿȾɈȼȺɌȿɅɖɇȺə ɁȺȽɊɍɁɄȺ , RESET ).

MISO (

SPI, SCK, MOSI ( )

. RESET

/

.

,

(

),

.

FFh. : 000h - 3FFh/7FFh

07Fh/0FFh

000h XTAL1

. XTAL1

SCK :>2 :>2

XTAL2.

: XTAL1 XTAL1

ɉɈȾɌȼȿɊɀȾȿɇɂȿ ȾȺɇɇɕɏ , ,

FFh. .Э FFh,



,

4mS. FFh,

,

EEPROM

FFh



.

FFh, 4mS.

ȺɅȽɈɊɂɌɆ ɉɈɋɅȿȾɈȼȺɌȿɅɖɇɈȽɈ ɉɊɈȽɊȺɆɆɂɊɈȼȺɇɂə AT90S2333/4433 (

.

.32):

1.

SCK

: VCC GND, XTAL1 XTAL2, ,

'0'

RESET

SCK

'0'. XTAL1.( '0',

SCK

RESET

, SCK "0". 20 mS

2. 3.

MOSI/PB3. ,

.

, SCK

53h,

(53h) 53h

. .

, 32

.

4.

(

),

RESET

10 mS,

2.

5.

. EEPROM

.

,

,

.

FFh

.

6.

, MISO/PB4.

7. 8.

RESET ( ) RESET '1'.

)

'1' XTAL1 '0' (

. -

CLK. CLK. 32.

. . . .

1 1010 1100

2 0101 0011

3 xxxx xxxx

4 xxxx xxxx

1010 1100 0010 H000

100x xxxx 0000 aaaa

xxxx xxxx bbbb bbbb

xxxx xxxx oooo oooo

0100 H000

0000 aaaa

bbbb bbbb

.

. RESET '0' . o

iiii iiii

ab .

.

i ab

. .

-

1010 0000 1100 0000 0101 1000 1010 1100

0000 000a 0000 000a xxxx xxxx 111x x21x

bbbb bbbb bbbb bbbb xxxx xxxx xxxx xxxx

oooo oooo iiii iiii xxxx x21x xxxx xxxx

0011 0000 1010 1100

xxxx xxxx 11_176543

xxxx xxbb xxxx xxxx

oooo oooo xxxx xxxx

o i 2

1

ab ab

0–

1-

.

.

1,2=0 o

-

b 3,4,5,6,7

.

"0" -

1010 0000

xxxx xxxx

xxxx xxxx

xx87 6543

0–

a H x 3 8

;b;o= '0', '1';i; - ; 1 - 1; 2 - 2; - CKSEL0; 4 - CKSEL1; 5 - CKSEL2; 6 - BODEN; 7 - BODLEVEL; - SPIEN;

................................. -40± - +105± ................................ -65± - +150± RESET .............. -1.0V - +7.0V ..................... 6.6V .................... 40.0 mA VCC GND .......................140.0 mA . Э

: , /

. 1-

. / .

ɏȺɊȺɄɌȿɊɂɋɌɂɄɂ ɉɈ ɉɈɋɌɈəɇɇɈɆɍ ɌɈɄɍ Ta = -40...+85±C, Vcc=2.7...6.0V ( ) ./ ./ . '0' - -0.5/_/0.3Vcc V '1'( XTAL1 RESET) - 0.7Vcc/_/Vcc+0.5 V XTAL1 RESET - 0.7Vcc/_/Vcc+0.5 V '0'( B,C,D) Il=20mA, Vcc=5V - _/_/0.5 V Il=10mA, Vcc=3V - _/_/0.5 V '1'( B,C,D) I0h=10mA, Vcc=5V - Vcc-0.5/_/_ V I0h=5mA, Vcc=2.7V - Vcc-0.5/_/_ V - 100/_/500 10/50/100 : , 3V, 4MHz - _/3.0/_ mA (idle mode), 3V, 4MHz - _/750/_ uA WDT , 3V - _/10/_ uA WDT , 3V - _/_/1 uA Vcc=5V - _/_/20 mV - 1/5/10 nA Vcc=2.7V - _/750/_ nS Vcc=4.0V - _/500/_ nS ɉɊɂɆȿɑȺɇɂə: 1. -

: - 20 mA - 80mA ,

. .

2.

- 2V ɉȺɊȺɆȿɌɊɕ ȼɇȿɒɇȿȽɈ ɌȺɄɌɈȼɈȽɈ ɋɂȽɇȺɅȺ

'1' '0'

Vcc=2.7...6.0 V min max 0 4

Vcc=4.0...6.0 V min max 0 8

250 115 115

125 58.3 58.3 10

ɋɩɢɫɨɤ ɪɟɝɢɫɬɪɨɜ.

4.15

. MH z nS nS nS nS

Ⱥɞɪɟɫ ɪɟɝɢɫɬɪɚ 3Fh (5Fh) 3Eh (5Eh) 3Dh (5Dh) 3Ch (5Ch) 3Bh (5Bh) 3Ah (5Ah) 39h (59h) 38h (58h) 37h (57h) 36h (56h) 35h (55h) 34h (54h) 33h (53h) 32h (52h) 31h (51h) 30h (50h) 2Fh (4Fh) 2Eh (4Eh) 2Dh (4Dh) 2 h (4 h) 2Bh (4Bh) 2Ah (4Ah) 27h (47h) 26h (46h) 21h (41h) 20h (40h) 1Fh (3Fh) 1Eh (3Eh) 1Dh (3Dh) 1Ch (3Ch) 18h (38h) 17h (37h) 16h (36h) 15h (35h) 14h (34h) 13h (33h) 12h (32h) 11h (31h) 10h (30h) 0Fh (2Fh) 0Eh (2Eh) 0Dh (2Dh) 0Ch (2Ch) 0Bh (2Bh) 0Ah (2Ah) 09h (29h) 08h (28h) 07h (27h) 06h (26h) 05h (25h) 04h (24h) 03h ( 23h) 00h (20h)

ɧɚɡɜɚɧɢɟ ɟɝɢɫɬɪɚ SREG

Bit 7 I

Bit 6 T

Bit 5 H

Bit 4 S

Bit 3 V

Bit 2 N

Bit 1 Z

Bit 0 C

SP

SP7

SP6

SP5

SP4

SP3

SP2

SP1

SP0

GIMSK GIFR TIMSK TIFR

INT1 INTF1 TOIE1 TOV1

INT0 INTF0 OCIE1 OCF1

-

-

TICE1 ICF1

-

TOIE0 TOV0

-

MCUCR MCUSR TCCR0 TCNT0

-

-

SE -

SM -

ISC11 WDRF (8

ISC10 BORF CS02

ISC01 EXTRF CS01

ISC00 PORF CS00

TCCR1A TCCR1B TCNT1H TCNT1L OCR1AH OCR1AL

COM11 ICNC1

CTC1

CS12

PWM11 CS11

PWM10 CS10

/

COM10 ICES1

-

/ / 1 1

/ / / /

ICR1H ICR1L

)

1 1

1 1

WDTCR

-

-

-

WDTOE

WDE

WDP2

WDP1

WDP0

EEAR EEDR EECR

-

-

-

-

EERIE

EEMWE

EEWE

EERE

-

-

PORTB5 DDB5 PINB5 PORTC5 DDC5 PINC5 PORTD5 DDD5 PIND5

PORTB4 DDB4 PINB4 PORTC4 DDC4 PINC4 PORTD4 DDD4 PIND4

SPIF SPIE

WCOL SPE

DORD

MSTR

RXC RXCIE

TXC TXCIE

UDRE UDRIE

FE RXEN

ACD ADEN ADC7

AINBG ADCBG ADSC ADC6

ACO ADFR ADC5

ACI ADIF ADC4

PORTB DDRB PINB PORTC DDRC PINC PORTD DDRD PIND SPDR SPSR SPCR UDR USR UCR UBRR ACSR ADMUX ADCSR ADCH ADCL UBRRH -

PORTB3 PORTB2 PORTB1 DDB3 DDB2 DDB1 PINB3 PINB2 PINB1 PORTC3 PORTC2 PORTC1 DDC3 DDC2 DDC1 PINC3 PINC2 PINC1 PORTD3 PORTD2 PORTD1 DDD3 DDD2 DDD1 PIND3 PIND2 PIND1 SPI CPOL CPHA SPR1 UART OR TXEN CHR9 RXB8 UART ACIE ACIC ACIS1 MUX2 MUX1 ADIE ADPS2 ADPS1 ADC9 ADC3 ADC2 ADC1 UART ( )

PORTB0 DDB0 PINB0 PORTC0 DDC0 PINC0 PORTD0 DDD0 PIND0 SPR0 TXB8 ACIS0 MUX0 ADPS0 ADC8 ADC0

:

,

"0". .

ɇɚɛɨɪ ɤɨɦɚɧɞ

ADD ADC ADIW SUB SUBI SBIW SBC SBCI AND ANDI OR ORI EOR COM NEG SBR CBR INC DEC TST CLR SER

Rd, Rr Rd, Rr Rdl,K Rd, Rr Rd, K Rdl,K Rd, Rr Rd, K Rd, Rr Rd, K Rd, Rr Rd, K Rd, Rr Rd Rd Rd,K Rd,K Rd Rd Rd Rd Rd

RJMP LJMP RCALL ICALL RET RETI CPSE CP CPC CPI SBRC SBRS SBIC SBIS BRBS BRBC BREQ BRNE BRCS BRCC BRSH BRLO

k

1 2 . . 1 1 0

1 Ы (Z)

k (Z)

Rd,Rr Rd,Rr Rd,Rr Rd,K Rr,b Rr,b P, b P, b s, k s, k k k k k k k

,

Rd=Rr

I\O I\O s s Z=1 if(Z=0)

Rd